KR102377966B1 - Cd (critical dimension) 제어를 위한 단일 플라즈마 챔버에서 ald (atomic layer deposition) 및 에칭 - Google Patents
Cd (critical dimension) 제어를 위한 단일 플라즈마 챔버에서 ald (atomic layer deposition) 및 에칭Info
- Publication number
- KR102377966B1 KR102377966B1 KR1020207017862A KR20207017862A KR102377966B1 KR 102377966 B1 KR102377966 B1 KR 102377966B1 KR 1020207017862 A KR1020207017862 A KR 1020207017862A KR 20207017862 A KR20207017862 A KR 20207017862A KR 102377966 B1 KR102377966 B1 KR 102377966B1
- Authority
- KR
- South Korea
- Prior art keywords
- ald
- etch
- control
- atomic layer
- layer deposition
- Prior art date
Links
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/308—Chemical or electrical treatment, e.g. electrolytic etching using masks
- H01L21/3083—Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/3086—Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
- H01L21/30655—Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/04—Coating on selected surface areas, e.g. using masks
- C23C16/045—Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/40—Oxides
- C23C16/401—Oxides containing silicon
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45536—Use of plasma, radiation or electromagnetic fields
- C23C16/45542—Plasma being used non-continuously during the ALD reactions
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/56—After-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02164—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/0217—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02172—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
- H01L21/02175—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
- H01L21/02186—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/0228—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0337—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
KR1020227009173A KR20220039850A (ko) | 2017-11-21 | 2018-11-13 | Cd (critical dimension) 제어를 위한 단일 플라즈마 챔버에서 ald (atomic layer deposition) 및 에칭 |
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US15/820,108 US10734238B2 (en) | 2017-11-21 | 2017-11-21 | Atomic layer deposition and etch in a single plasma chamber for critical dimension control |
US15/820,108 | 2017-11-21 | ||
PCT/US2018/060732 WO2019103876A1 (en) | 2017-11-21 | 2018-11-13 | Atomic layer deposition and etch in a single plasma chamber for critical dimension control |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020227009173A Division KR20220039850A (ko) | 2017-11-21 | 2018-11-13 | Cd (critical dimension) 제어를 위한 단일 플라즈마 챔버에서 ald (atomic layer deposition) 및 에칭 |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20200079344A KR20200079344A (ko) | 2020-07-02 |
KR102377966B1 true KR102377966B1 (ko) | 2022-03-22 |
Family
ID=66534537
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020207017862A KR102377966B1 (ko) | 2017-11-21 | 2018-11-13 | Cd (critical dimension) 제어를 위한 단일 플라즈마 챔버에서 ald (atomic layer deposition) 및 에칭 |
KR1020227009173A KR20220039850A (ko) | 2017-11-21 | 2018-11-13 | Cd (critical dimension) 제어를 위한 단일 플라즈마 챔버에서 ald (atomic layer deposition) 및 에칭 |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020227009173A KR20220039850A (ko) | 2017-11-21 | 2018-11-13 | Cd (critical dimension) 제어를 위한 단일 플라즈마 챔버에서 ald (atomic layer deposition) 및 에칭 |
Country Status (6)
Country | Link |
---|---|
US (2) | US10734238B2 (ko) |
JP (2) | JP7097983B2 (ko) |
KR (2) | KR102377966B1 (ko) |
CN (1) | CN111615742A (ko) |
TW (2) | TWI779131B (ko) |
WO (1) | WO2019103876A1 (ko) |
Families Citing this family (16)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN109524302B (zh) * | 2017-09-20 | 2020-12-15 | 华邦电子股份有限公司 | 半导体组件及其制造方法 |
US10727045B2 (en) * | 2017-09-29 | 2020-07-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for manufacturing a semiconductor device |
US10658174B2 (en) | 2017-11-21 | 2020-05-19 | Lam Research Corporation | Atomic layer deposition and etch for reducing roughness |
US10734238B2 (en) | 2017-11-21 | 2020-08-04 | Lam Research Corporation | Atomic layer deposition and etch in a single plasma chamber for critical dimension control |
US10515815B2 (en) | 2017-11-21 | 2019-12-24 | Lam Research Corporation | Atomic layer deposition and etch in a single plasma chamber for fin field effect transistor formation |
US10734228B2 (en) * | 2017-12-19 | 2020-08-04 | Tokyo Electron Limited | Manufacturing methods to apply stress engineering to self-aligned multi-patterning (SAMP) processes |
WO2019123057A1 (fr) | 2017-12-22 | 2019-06-27 | Watch Out Sa | Cible tridimensionnelle avec double structure, dispositif et procédé de mesure optique avec une telle cible |
US11127599B2 (en) * | 2018-01-12 | 2021-09-21 | Applied Materials, Inc. | Methods for etching a hardmask layer |
US10446394B2 (en) | 2018-01-26 | 2019-10-15 | Lam Research Corporation | Spacer profile control using atomic layer deposition in a multiple patterning process |
US10566194B2 (en) | 2018-05-07 | 2020-02-18 | Lam Research Corporation | Selective deposition of etch-stop layer for enhanced patterning |
JP2020122740A (ja) * | 2019-01-31 | 2020-08-13 | セイコーエプソン株式会社 | 構造体形成方法およびデバイス |
KR20210056778A (ko) | 2019-11-11 | 2021-05-20 | 삼성전자주식회사 | 집적회로 소자 및 그 제조 방법 |
CN113707659B (zh) * | 2020-05-22 | 2023-12-12 | 长鑫存储技术有限公司 | 半导体器件中孔、半导体器件的制备方法及半导体器件 |
EP3958293A4 (en) * | 2020-05-22 | 2022-12-28 | Changxin Memory Technologies, Inc. | SEMICONDUCTOR DEVICE HOLES, SEMICONDUCTOR DEVICE PREPARATION METHOD, AND SEMICONDUCTOR DEVICE |
US11264281B2 (en) * | 2020-07-09 | 2022-03-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with reduced loading effect |
US20220301887A1 (en) * | 2021-03-16 | 2022-09-22 | Applied Materials, Inc. | Ruthenium etching process |
Family Cites Families (77)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP3472397B2 (ja) * | 1994-11-01 | 2003-12-02 | 松下電器産業株式会社 | ドライエッチング方法 |
US7758794B2 (en) | 2001-10-29 | 2010-07-20 | Princeton University | Method of making an article comprising nanoscale patterns with reduced edge roughness |
JP4066517B2 (ja) * | 1998-06-17 | 2008-03-26 | ソニー株式会社 | 電子装置の製造方法 |
KR100767762B1 (ko) | 2000-01-18 | 2007-10-17 | 에이에스엠 저펜 가부시기가이샤 | 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치 |
US20030010354A1 (en) | 2000-03-27 | 2003-01-16 | Applied Materials, Inc. | Fluorine process for cleaning semiconductor process chamber |
US7250371B2 (en) | 2003-08-26 | 2007-07-31 | Lam Research Corporation | Reduction of feature critical dimensions |
US20060134917A1 (en) * | 2004-12-16 | 2006-06-22 | Lam Research Corporation | Reduction of etch mask feature critical dimensions |
US7491647B2 (en) | 2005-03-08 | 2009-02-17 | Lam Research Corporation | Etch with striation control |
US7253118B2 (en) | 2005-03-15 | 2007-08-07 | Micron Technology, Inc. | Pitch reduced patterns relative to photolithography features |
US7645707B2 (en) | 2005-03-30 | 2010-01-12 | Lam Research Corporation | Etch profile control |
US7271108B2 (en) | 2005-06-28 | 2007-09-18 | Lam Research Corporation | Multiple mask process with etch mask stack |
US7459363B2 (en) | 2006-02-22 | 2008-12-02 | Micron Technology, Inc. | Line edge roughness reduction |
CN102318037B (zh) * | 2007-12-21 | 2014-03-05 | 朗姆研究公司 | 利用arc层打开的cd偏置负载控制 |
US8029688B2 (en) | 2008-01-07 | 2011-10-04 | Samsung Electronics Co., Ltd. | Method of fine patterning semiconductor device |
US7998872B2 (en) | 2008-02-06 | 2011-08-16 | Tokyo Electron Limited | Method for etching a silicon-containing ARC layer to reduce roughness and CD |
JP5223364B2 (ja) | 2008-02-07 | 2013-06-26 | 東京エレクトロン株式会社 | プラズマエッチング方法及び記憶媒体 |
JP5254049B2 (ja) | 2008-02-15 | 2013-08-07 | 東京エレクトロン株式会社 | パターン形成方法及び半導体装置の製造方法 |
US8866254B2 (en) | 2008-02-19 | 2014-10-21 | Micron Technology, Inc. | Devices including fin transistors robust to gate shorts and methods of making the same |
JP4972594B2 (ja) | 2008-03-26 | 2012-07-11 | 東京エレクトロン株式会社 | エッチング方法及び半導体デバイスの製造方法 |
US8252194B2 (en) | 2008-05-02 | 2012-08-28 | Micron Technology, Inc. | Methods of removing silicon oxide |
WO2009150870A1 (ja) | 2008-06-13 | 2009-12-17 | 東京エレクトロン株式会社 | 半導体装置の製造方法 |
KR100992653B1 (ko) * | 2008-10-13 | 2010-11-05 | 주식회사 동부하이텍 | 원자층 증착방식을 이용한 미세홀 패턴 형성방법 |
JP5386962B2 (ja) | 2008-12-12 | 2014-01-15 | 三菱電機株式会社 | エッチング方法およびエッチング方法を用いた半導体装置の製造方法 |
KR101532012B1 (ko) | 2008-12-24 | 2015-06-30 | 삼성전자주식회사 | 반도체 소자 및 반도체 소자의 패턴 형성 방법 |
US8298949B2 (en) | 2009-01-07 | 2012-10-30 | Lam Research Corporation | Profile and CD uniformity control by plasma oxidation treatment |
EP2306497B1 (en) | 2009-10-02 | 2012-06-06 | Imec | Method for manufacturing a low defect interface between a dielectric and a III/V compound |
US9892917B2 (en) | 2010-04-15 | 2018-02-13 | Lam Research Corporation | Plasma assisted atomic layer deposition of multi-layer films for patterning applications |
US8608852B2 (en) | 2010-06-11 | 2013-12-17 | Applied Materials, Inc. | Temperature controlled plasma processing chamber component with zone dependent thermal efficiencies |
US8901016B2 (en) | 2010-12-28 | 2014-12-02 | Asm Japan K.K. | Method of forming metal oxide hardmask |
US8334083B2 (en) | 2011-03-22 | 2012-12-18 | Tokyo Electron Limited | Etch process for controlling pattern CD and integrity in multi-layer masks |
JP5919371B2 (ja) | 2011-04-07 | 2016-05-18 | ピコサン オーワイPicosun Oy | プラズマ源による原子層堆積 |
US8298951B1 (en) | 2011-04-13 | 2012-10-30 | Asm Japan K.K. | Footing reduction using etch-selective layer |
US20130189845A1 (en) | 2012-01-19 | 2013-07-25 | Applied Materials, Inc. | Conformal amorphous carbon for spacer and spacer protection applications |
US8716149B2 (en) | 2012-05-29 | 2014-05-06 | GlobalFoundries, Inc. | Methods for fabricating integrated circuits having improved spacers |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
CN103839781B (zh) * | 2012-11-21 | 2016-05-25 | 中芯国际集成电路制造(上海)有限公司 | 半导体精细图案的形成方法 |
JP2014107520A (ja) | 2012-11-30 | 2014-06-09 | Hitachi High-Technologies Corp | プラズマエッチング方法 |
US8815685B2 (en) | 2013-01-31 | 2014-08-26 | GlobalFoundries, Inc. | Methods for fabricating integrated circuits having confined epitaxial growth regions |
US9184233B2 (en) | 2013-02-27 | 2015-11-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Structure and method for defect passivation to reduce junction leakage for finFET device |
US9412871B2 (en) | 2013-03-08 | 2016-08-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET with channel backside passivation layer device and method |
JP6095528B2 (ja) | 2013-09-04 | 2017-03-15 | 株式会社日立ハイテクノロジーズ | プラズマ処理方法 |
US9287262B2 (en) | 2013-10-10 | 2016-03-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Passivated and faceted for fin field effect transistor |
KR101674972B1 (ko) * | 2013-12-26 | 2016-11-10 | 한국과학기술원 | 나노 스케일 패터닝 방법 및 이로부터 제조된 전자기기용 집적소자 |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9899234B2 (en) * | 2014-06-30 | 2018-02-20 | Lam Research Corporation | Liner and barrier applications for subtractive metal integration |
JP6334296B2 (ja) | 2014-07-04 | 2018-05-30 | 株式会社日立ハイテクノロジーズ | プラズマ処理方法 |
KR102269055B1 (ko) | 2014-07-16 | 2021-06-28 | 삼성전자주식회사 | 반도체 소자의 제조 방법 |
CN105470132B (zh) | 2014-09-03 | 2018-08-10 | 中芯国际集成电路制造(上海)有限公司 | 鳍式场效应管的形成方法 |
US9818633B2 (en) | 2014-10-17 | 2017-11-14 | Lam Research Corporation | Equipment front end module for transferring wafers and method of transferring wafers |
US9659929B2 (en) | 2014-10-31 | 2017-05-23 | Infineon Technologies Dresden Gmbh | Semiconductor device with enhancement and depletion FinFET cells |
US9576811B2 (en) | 2015-01-12 | 2017-02-21 | Lam Research Corporation | Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch) |
US9991132B2 (en) | 2015-04-17 | 2018-06-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Lithographic technique incorporating varied pattern materials |
US9806252B2 (en) * | 2015-04-20 | 2017-10-31 | Lam Research Corporation | Dry plasma etch method to pattern MRAM stack |
US9870899B2 (en) | 2015-04-24 | 2018-01-16 | Lam Research Corporation | Cobalt etch back |
US9653571B2 (en) | 2015-06-15 | 2017-05-16 | International Business Machines Corporation | Freestanding spacer having sub-lithographic lateral dimension and method of forming same |
US9922839B2 (en) | 2015-06-23 | 2018-03-20 | Lam Research Corporation | Low roughness EUV lithography |
JP6468955B2 (ja) | 2015-06-23 | 2019-02-13 | 東京エレクトロン株式会社 | シリコン含有膜の成膜方法及び成膜装置 |
US20170053793A1 (en) * | 2015-08-17 | 2017-02-23 | Tokyo Electron Limited | Method and system for sculpting spacer sidewall mask |
US9543148B1 (en) | 2015-09-01 | 2017-01-10 | Lam Research Corporation | Mask shrink layer for high aspect ratio dielectric etch |
US10692974B2 (en) | 2015-09-18 | 2020-06-23 | Intel Corporation | Deuterium-based passivation of non-planar transistor interfaces |
KR102207120B1 (ko) | 2016-01-29 | 2021-01-22 | 도쿄엘렉트론가부시키가이샤 | 메모리 핀 패턴을 형성하기 위한 방법 및 시스템 |
US10727073B2 (en) | 2016-02-04 | 2020-07-28 | Lam Research Corporation | Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces |
KR102452999B1 (ko) * | 2016-05-03 | 2022-10-07 | 삼성전자주식회사 | 반도체 장치 제조 방법 |
US9997631B2 (en) | 2016-06-03 | 2018-06-12 | Taiwan Semiconductor Manufacturing Company | Methods for reducing contact resistance in semiconductors manufacturing process |
US10074543B2 (en) | 2016-08-31 | 2018-09-11 | Lam Research Corporation | High dry etch rate materials for semiconductor patterning applications |
US10483169B2 (en) * | 2016-09-29 | 2019-11-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET cut-last process using oxide trench fill |
US10546748B2 (en) | 2017-02-17 | 2020-01-28 | Lam Research Corporation | Tin oxide films in semiconductor device manufacturing |
US10559461B2 (en) | 2017-04-19 | 2020-02-11 | Lam Research Corporation | Selective deposition with atomic layer etch reset |
US9997371B1 (en) | 2017-04-24 | 2018-06-12 | Lam Research Corporation | Atomic layer etch methods and hardware for patterning applications |
US10943830B2 (en) * | 2017-08-30 | 2021-03-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Self-aligned structure for semiconductor devices |
US10319588B2 (en) * | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10553495B2 (en) * | 2017-10-19 | 2020-02-04 | International Business Machines Corporation | Nanosheet transistors with different gate dielectrics and workfunction metals |
US10770354B2 (en) * | 2017-11-15 | 2020-09-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of forming integrated circuit with low-k sidewall spacers for gate stacks |
US10734238B2 (en) | 2017-11-21 | 2020-08-04 | Lam Research Corporation | Atomic layer deposition and etch in a single plasma chamber for critical dimension control |
US10515815B2 (en) | 2017-11-21 | 2019-12-24 | Lam Research Corporation | Atomic layer deposition and etch in a single plasma chamber for fin field effect transistor formation |
US10658174B2 (en) | 2017-11-21 | 2020-05-19 | Lam Research Corporation | Atomic layer deposition and etch for reducing roughness |
US10446394B2 (en) | 2018-01-26 | 2019-10-15 | Lam Research Corporation | Spacer profile control using atomic layer deposition in a multiple patterning process |
-
2017
- 2017-11-21 US US15/820,108 patent/US10734238B2/en active Active
-
2018
- 2018-11-13 JP JP2020545226A patent/JP7097983B2/ja active Active
- 2018-11-13 KR KR1020207017862A patent/KR102377966B1/ko active IP Right Grant
- 2018-11-13 WO PCT/US2018/060732 patent/WO2019103876A1/en active Application Filing
- 2018-11-13 KR KR1020227009173A patent/KR20220039850A/ko not_active Application Discontinuation
- 2018-11-13 CN CN201880087023.7A patent/CN111615742A/zh active Pending
- 2018-11-20 TW TW107141186A patent/TWI779131B/zh active
- 2018-11-20 TW TW111133287A patent/TW202301469A/zh unknown
-
2020
- 2020-06-25 US US16/946,529 patent/US11211253B2/en active Active
-
2022
- 2022-04-11 JP JP2022064928A patent/JP7246547B2/ja active Active
Also Published As
Publication number | Publication date |
---|---|
US20200328087A1 (en) | 2020-10-15 |
US11211253B2 (en) | 2021-12-28 |
JP7097983B2 (ja) | 2022-07-08 |
CN111615742A (zh) | 2020-09-01 |
WO2019103876A1 (en) | 2019-05-31 |
TWI779131B (zh) | 2022-10-01 |
TW202301469A (zh) | 2023-01-01 |
TW201936965A (zh) | 2019-09-16 |
JP2021504972A (ja) | 2021-02-15 |
KR20200079344A (ko) | 2020-07-02 |
KR20220039850A (ko) | 2022-03-29 |
JP2022092006A (ja) | 2022-06-21 |
US10734238B2 (en) | 2020-08-04 |
US20190157095A1 (en) | 2019-05-23 |
JP7246547B2 (ja) | 2023-03-27 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR102377966B1 (ko) | Cd (critical dimension) 제어를 위한 단일 플라즈마 챔버에서 ald (atomic layer deposition) 및 에칭 | |
SG10201600099VA (en) | Integrating atomic scale processes: ald (atomic layer deposition) and ale (atomic layer etch) | |
TWI800279B (zh) | 原子層蝕刻中方向性之控制 | |
SG10201700452RA (en) | High temperature atomic layer deposition of silicon-containing films | |
EP3692567A4 (en) | HIGH ENERGY ATOMIC ETCHING | |
SG10201606450VA (en) | Atomic layer etching of tungsten for enhanced tungsten deposition fill | |
EP3556899A4 (en) | VAPOR PHASE DEPOSIT MASK DEVICE AND METHOD FOR MANUFACTURING A STEAM DEPOSIT MASK DEVICE | |
EP3698395A4 (en) | SOURCE OF NON-MATCHING PLASMA USED FOR THE MANUFACTURE OF SEMICONDUCTOR SLICES | |
SG10201602127RA (en) | Ultrathin atomic layer deposition film accuracy thickness control | |
SG11201707998TA (en) | Method for atomic layer etching | |
EP3311398A4 (en) | TRANSITION METAL DRYING BY ATOMIC LAYER REMOVAL OF OXIDE LAYERS FOR THE MANUFACTURE OF COMPONENTS | |
EP3512978A4 (en) | DEVICE AND METHOD FOR ATOMIC LAYER DEPOSITION | |
EP3572553A4 (en) | STEAM PHASE DEPOSIT MASK AND METHOD FOR MANUFACTURING THE LATTER | |
EP3512979A4 (en) | PARTICLE COATING BY ATOMIC LAYER DEPOSITION (ALD) | |
SG10202109658QA (en) | Wafer level uniformity control in remote plasma film deposition | |
EP3423610A4 (en) | PLASMA ETCH RESISTANT FILM AND METHOD FOR MANUFACTURING THE SAME | |
SG10201608588SA (en) | Susceptor For Holding A Semiconductor Wafer Having Orientation Notch, A Method For Depositing A Layer On A Semiconductor Wafer, And Semiconductor Wafer | |
EP3821457A4 (en) | ETCHING ATOMIC LAYER ETCHING USING ELECTRON EXCITATION | |
EP3649670A4 (en) | PROCESSES FOR FORMING A STACK OF DEPOSITED MULTIPLE SEMICONDUCTOR LAYERS | |
IL295424B1 (en) | Plasma source and method for its production | |
EP3506335A4 (en) | PLASMA ETCHING PROCESS | |
EP3432345A4 (en) | PLASMA ETCHING PROCESS | |
EP3414357A4 (en) | DEVICE FOR ATMOSPHERIC DEPOSITION | |
EP3432346A4 (en) | plasma etching | |
EP3307744A4 (en) | VAPOR DEPOSITION METHODS FOR FORMING THIN FILMS CONTAINING OXYGEN AND SILICON |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
E701 | Decision to grant or registration of patent right | ||
GRNT | Written decision to grant |