CN111615742A - 针对关键尺寸控制在单一等离子体室中的原子层沉积和蚀刻 - Google Patents

针对关键尺寸控制在单一等离子体室中的原子层沉积和蚀刻 Download PDF

Info

Publication number
CN111615742A
CN111615742A CN201880087023.7A CN201880087023A CN111615742A CN 111615742 A CN111615742 A CN 111615742A CN 201880087023 A CN201880087023 A CN 201880087023A CN 111615742 A CN111615742 A CN 111615742A
Authority
CN
China
Prior art keywords
feature
layer
features
substrate
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201880087023.7A
Other languages
English (en)
Inventor
周翔
木村吉江
张杜明
许晨
加内什·乌帕德亚雅
米切尔·布鲁克斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN111615742A publication Critical patent/CN111615742A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Drying Of Semiconductors (AREA)
  • Superconductors And Manufacturing Methods Therefor (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明描述了使用集成式原子层沉积(ALD)和蚀刻处理来对衬底特征进行关键尺寸(CD)控制的方法和装置。方法包括:蚀刻以在衬底上形成特征掩模图案,该宽度小于待随后通过该特征掩模图案形成的结构的期望宽度;通过ALD保形地沉积钝化层,从而增加特征掩模图案的宽度到所述期望宽度,并蚀刻衬底的层至所需的深度以形成具有所述期望宽度的多个结构。

Description

针对关键尺寸控制在单一等离子体室中的原子层沉积和蚀刻
相关申请的交叉引用
本申请要求于2017年11月21日提交的并且名称为“ATOMIC LAYER DEPOSITIONAND ETCH IN A SINGLE PLASMA CHAMBER FOR CRITICAL DIMENSION CONTROL”的美国专利申请No.15/820,108的优先权利益,在此通过引用将其整体并入本文并且用于所有目的。
技术领域
本公开内容总体上涉及在半导体装置制造中的集成式沉积和蚀刻处理,更具体而言,涉及在集成电路制造中的关键尺寸控制的集成式原子层沉积(ALD)及蚀刻处理。
背景技术
随着半导体工业中的装置及特征(feature)尺寸持续缩小,小关键尺寸的特征的图案化在先进集成电路(ICs)的制造中将持续变得重要。现今的光刻方法可能受限于其图案化小关键尺寸特征的能力。
发明内容
该公开内容是关于关键尺寸(CD)控制的方法。该方法包含:在等离子体室中蚀刻衬底的第一层以形成特征掩模图案,所述特征掩模图案所具有的宽度小于待由所述特征掩模图案所形成的多个结构的期望宽度。所述方法还包括:在所述离子体室中通过原子层沉积(ALD)以在所述特征掩模图案上沉积第一钝化层,所述第一钝化层被沉积以具有使所述特征掩模图案的宽度增加至所述期望宽度的厚度。所述方法还包括:在所述离子体室中蚀刻所述衬底的第二层以形成具有所述期望宽度的所述多个结构。
在一些实施方案中,所述特征掩模图案包含在孤立特征区域中的一个或多个孤立特征以及在密集特征区域中的一个或多个密集特征,所述密集特征区域具有比所述孤立特征区域大的特征密度。在沉积所述第一钝化层之后,CD增益在所述孤立特征区域中的所述一个或多个孤立特征与所述密集特征区域中的所述一个或多个密集特征之间可以是相同或实质上相似的。在蚀刻所述衬底的所述第二层之后,深度变化在所述孤立特征区域与所述密集特征区域之间可以是相同或实质上相似的。在一些实施方案中,所述方法还包含:在所述离子体室中重复通过ALD沉积所述第二层和蚀刻所述第二层的操作,其中,在重复通过ALD沉积所述第二层和蚀刻所述第二层的操作之后,CD增益在所述孤立特征区域中的孤立特征与所述密集特征区域中的密集特征之间是相同或实质上相似的。在一些实施方案中,所述特征掩模图案包含具有第一材料的一个或多个第一特征以及具有第二材料的一个或多个第二特征,所述第二材料不同于所述第一材料,其中,在沉积所述第一钝化层之后,CD增益在所述一个或多个第一特征与所述一个或多个第二特征之间是相同或实质上相似的。在一些实施方案中,在操作之间没有导致真空破坏的情况下执行在所述离子体室中通过ALD沉积所述第二层和蚀刻所述第二层的操作。在一些实施方案中,所述第一钝化层的厚度是介于约0.5nm至约3nm之间。在一些实施方案中,所述方法还包含:在蚀刻所述衬底的所述第二层之后,在所述离子体室中通过ALD以在所述多个结构上沉积第二钝化层,所述第二钝化层被沉积为具有对应于期望CD增益的厚度。在一些实施方案中,所述第一钝化层包含硅氧化物(SiOx)。在一些实施方案中,通过ALD以沉积所述第一钝化层包含:将前体导入所述离子体室中以吸附于所述特征掩模图案上,利用等离子体使所述前体转化以形成吸附受限量的所述第一钝化层,以及重复将所述前体导入及使所述前体转化的操作,直到在所述特征掩模图案上沉积所述厚度的所述第一钝化层为止。
以下参照附图以进一步说明这些及其他方面。
附图说明
图1为根据一些实现方式而用于执行蚀刻及ALD操作的示例处理设备的示意图。
图2A-2I为根据一些实现方式的衬底的处于示例多重图案化方案(scheme)中的衬底的示意图。
图3A显示了使用常规蚀刻及ALD处理的衬底传输的示例性处理流程。
图3B显示了使用集成式蚀刻及ALD处理的衬底传输的示例性处理流程。
图4为根据一些实现方式而使用蚀刻及ALD处理的关键尺寸(CD)恢复的示例方法的流程图。
图5A-5C为孤立的与密集的衬底特征的示意图,这些衬底特征经历在等离子体室中使用常规沉积处理进行的沉积和蚀刻。
图6A-6C为孤立的与密集的衬底特征的示意图,这些衬底特征部经历(使用根据一些实现方式的集成式ALD及蚀刻处理的)沉积和蚀刻。
图7A-7C为不同材料的衬底特征的示意图,这些衬底特征经历在等离子体室中使用常规沉积处理进行的沉积和蚀刻。
图8A-8C为不同材料的衬底特征的示意图,这些衬底特征经历使用根据一些实现方式的集成式ALD及蚀刻处理进行的沉积和蚀刻。
图9A-9C为在单独的(separate)工具中经历沉积和蚀刻的锥形衬底特征的示意图。
图10A-10D为锥形衬底特征的示意图,这些衬底特征经历使用根据一些实现方式的集成式ALD及蚀刻处理进行的沉积和蚀刻。
具体实施方式
前言
在以下的叙述中,说明了大量的特定细节,以提供对本发明的彻底理解。在没有这些特定细节中的一些或全部的情况下即可实行本发明。在其他示例中,为了不使本发明难以理解,常规的处理操作不会详细描述。虽然本发明与特定实施方案一同叙述,但应理解,其并非试图限制本发明。
在本公开内容中,用语“半导体晶片”、“晶片”、“衬底”、“晶片衬底”、及“部分加工的集成电路”是可互换地使用的。本领域普通技术人员将会理解:用语“部分加工的集成电路”可指上面进行集成电路加工的许多阶段中的任一阶段期间的硅晶片。用于半导体装置产业中的晶片或衬底通常具有200mm、或300mm、或450mm的直径。以下的详细说明假设在晶片上施行本公开内容。然而,本公开内容并非如此受限。工件可为各种外形、尺寸、及材料。除了半导体晶片之外,可利用本公开内容的其他工件包含各种对象,例如印刷电路板等。
集成式蚀刻/ALD处理设备
随着特征尺寸缩小、节距变得更小、且互补式金属氧化物半导体(CMOS)技术进展至更小的节点,薄保形沉积技术将继续变得重要。原子层沉积(ALD)为非常适合沉积薄保形膜的成膜技术,因为ALD沉积单一薄层的材料,厚度受到一或更多前体反应物的量所限制,该一或更多前体反应物可在本身的成膜化学反应之前吸附于衬底表面上(亦即,形成吸附受限层)。通过ALD而形成的每一层是薄且保形的,且所形成的膜是实质上与下伏的装置结构及特征的形状一致。
常规上,在单独的工具或平台上执行ALD及蚀刻处理。例如,ALD室不进行蚀刻处理,而蚀刻室不进行ALD处理。进行沉积处理的等离子体蚀刻室利用等离子体诱发的沉积处理以形成膜,这些膜是不保形的且是取决于深宽比。
根据一些实施方案,图1为用于执行蚀刻及ALD操作的示例处理设备的示意图。处理设备100可为感应耦合式等离子体处理设备。处理设备100包含诸如等离子体蚀刻室之类的等离子体室132。在一些实施方案中,由Lam研究公司(Fremont,CA)所生产的KiyoTM反应器为合适反应器的示例,该反应器可用作等离子体蚀刻室。
有关用于执行蚀刻及ALD操作的处理设备100的细节在以下美国专利申请中叙述:由Zhou等人于2017年08月04日提交的名称为“INTEGRATED ATOMIC LAYER PASSIVATION INTCP ETCH CHAMBER AND IN-SITU ETCH-ALP METHOD”的美国专利申请No.15/669,871,其通过引入整体并入本文并用于所有目的。
等离子体室132可包含可由室壁114及窗106所界定的整体室结构。窗106可由石英或其他介电材料所制成。在一些实施方案中,等离子体室132包含设置于等离子体室132内部的衬底支撑件116。在一些实施方案中,衬底支撑件116为用于支撑衬底112的静电卡盘,在该衬底112上执行沉积/蚀刻处理。静电卡盘可包含静电电极,用于夹持及解除夹持衬底112。可为此目的而提供滤波器及DC夹持电源(未图示)。也可提供用于将衬底112抬升离开衬底支撑件116的其他控制系统。衬底支撑件116被配置以接收及保持衬底112。
在一些实施方案中,衬底支撑件116可包含加热器(未图示)以加热衬底112。衬底支撑件116可于提高的温度下操作,例如介于约-20℃至约150℃之间。温度将取决于处理操作及特定配方。在一些实施方案中,等离子体室132亦可于特定压强下操作,例如在介于约1mTorr至约1Torr之间的压强下操作。
在一些实施方案中,处理设备100可包含射频(RF)电源120,其可用于为衬底支撑件116提供偏置/供电。RF电源120可由一或更多RF产生器所界定。若设置多个RF产生器,则可使用不同的频率以达到各种调谐特性。偏置匹配电路118耦合于RF电源120与衬底支撑件116之间。以此方式,RF电源120连接至衬底支撑件116。
线圈134定位于窗106上方。线圈134可由导电材料所制成,且包含至少一个完整的匝。图1中所示的线圈134包含至少三匝。RF电源121被配置以将RF功率供应至线圈134。匹配电路102耦合于RF电源121与线圈134之间。以此方式,RF电源121连接至线圈134。在一些实施方案中,选用性的法拉第屏蔽件(未图示)定位于线圈134与窗106之间。可使法拉第屏蔽件相对于线圈134而维持在间隔关系。可将法拉第屏蔽件设置于窗106正上方。法拉第屏蔽件可防止金属或其他物质沉积于等离子体室132的窗106上。
将RF功率从RF电源121供应至线圈134,以使RF电流流过线圈134。流过线圈134的RF电流可在线圈134周围产生电磁场。电磁场在等离子体室132内产生感应电流,该感应电流作用于存在于等离子体室132中的气体以产生等离子体。来自等离子体的各种离子及/或自由基可与衬底112相互作用,以执行沉积或蚀刻操作。
在一些实施方案中,处理设备100任选地包含等离子体格栅(未图示),其可用于将等离子体室132分为上部及下部。等离子体格栅可用于限制进入等离子体室132的下部的热电子量。在一些实施方案中,处理设备100被设计以操作使得存在于等离子体室132的下部中的等离子体为离子-离子等离子体,而存在于等离子体室132的上部中的等离子体为电子-离子等离子体。
处理气体可穿过第一气体注射器104而从等离子体室132的顶部、及/或穿过第二气体注射器110而从等离子体室132的侧面导入至等离子体室132中。处理气体可包含经汽化的液体前体或经汽化的固体前体,其可在处理设备100上游的固体源蒸发器(未图示)中汽化。可穿过第一气体注射器104及/或第二气体注射器110而供应一或更多反应物气体。在一些实施方案中,可以喷头取代气体注射器104、110。应理解,可提供额外的或其他的气体供应源,以将不同的气体供应至等离子体室132以进行各种类型的操作。
将气体注入等离子体室132中的各种方式显示:可从各种位置将处理气体、经汽化的液体前体、及/或经汽化的固体前体提供至等离子体室132中。在一些实施方案中,仅使用第一气体注射器104。在一些其他的实施方案中,仅使用第二气体注射器110。在一些其他的实施方案中,使用第一气体注射器104与第二气体注射器110两者。在一些实施方案中,歧管122控制将哪些气体供应至不同气体管线中的每一者。歧管122使任何类型的气体(反应物、载体、前体等)能够从不同气体管线中的任一者提供。在一些实施方案中,载体气体可包含诸如氧(O2)、氮(N2)、及氦(He)之类的气体。这些气体可在未混合的情况下导入至等离子体室132中、或可在导入至等离子体室132中之前与其他气体混合。
歧管122可用于选择、切换、及/或混合来自输送系统128中的相应的输送系统的输出。在一些实施方案中,输送系统128可包含蚀刻气体输送系统127及液体输送系统129。可配置蚀刻气体输送系统127以输出蚀刻剂气体。蚀刻剂气体的示例包含(但不限于)氯(Cl2)、溴化氢(HBr)、及六氟化硫(SF6)。可配置液体输送系统129以提供液体前体,在ALD处理中液体前体被汽化并以蒸气形式输送。经汽化的液体前体可被导入至等离子体室132中,并可吸附于衬底112的表面上。可使用等离子体使经吸附的前体转化以形成吸附受限量的膜。示例液体前体可具有以下化学式的化学组成:CxHyNzOaSib
真空泵130连接至等离子体室132,且可用于从等离子体室132中抽出处理气体并维持等离子体室132内的特定压强。可将阀126设置于排放部124与真空泵130之间,以控制施用于等离子体室132的真空抽吸的量。在一些实施方案中,真空泵130可为一或二级机械干式泵及/或涡轮分子泵。在一些实施方案中,可在每次完成ALD处理之后启动真空泵130以清扫等离子体室132。
当在无尘室或制造设施中安装处理设备100时,可将其耦合至设施(未显示)。设施包含提供处理气体、真空、温度控制、以及环境粒子控制的管路。当这些设施被装设于目标制造设施中时,其可耦合至处理设备100。此外,可将处理设备100耦合至传送室,该传送室容许机械手利用自动化系统将衬底传送进出等离子体室132。
在一些实施方案中,系统控制器108(其可包括一或更多物理或逻辑控制器)控制处理设备100的一些或所有操作。系统控制器108可包括一或更多存储器装置与一或更多处理器。处理器可包含中央处理单元(CPU)或计算机、模拟及/或数字输入/输出连接件、步进马达控制板、与其他类似组件。在处理器上执行用于执行适当的控制操作的指令。这些指令可在与系统控制器108相关的存储器装置上储存,或可通过网络提供这些指令。在某些实施方案中,系统控制器108执行系统控制软件。
系统控制软件可包含控制以下室操作条件的任一或多者的应用及/或幅值的时序的指令:气体的混合及/或组成、室压强、室温度、晶片/晶片支撑件温度、施加至衬底的偏置(其在许多实施方案中可为零)、施加至线圈或其他等离子体产生组件的频率及功率、衬底位置、衬底移动速度、以及由工具所执行的特定处理的其他参数。系统控制软件可以任何适当方式配置。例如,可写入各种处理工具组件的子程序或控制对象,以控制处理工具组件的操作,这些处理工具组件是执行各种处理工具的处理所必须的。系统控制软件可以以任何合适的计算机可读取程序语言编码。
在一些实施方案中,系统控制软件包含输入/输出控制(IOC)序列指令,以用于控制上述的各种参数。例如,半导体制造处理的每一阶段可包含以系统控制器108执行的一或更多指令。例如,用于设定一阶段的处理条件的指令可包含在相对应的配方阶段中。在一些实施方案中,可依序配置配方阶段,以使掺杂处理中的步骤以该处理阶段的特定顺序加以执行。例如,配方可被配置以执行蚀刻操作、且包含在蚀刻操作中的每一者之间执行的ALD处理的一或更多循环。
在一些实施方案中,系统控制器108配置有用于执行以下操作的一或多者的指令:在等离子体室132中蚀刻衬底112的第一层以形成特征掩模图案,该特征掩模图案所具有的宽度小于待由该特征掩模图案所形成的多个结构的期望宽度;在等离子体室132中通过ALD以在该特征掩模图案上沉积第一钝化层,该第一钝化层被沉积以具有使该特征掩模图案的宽度增加至该期望宽度的厚度;以及在等离子体室132中蚀刻衬底112的第二层以形成具有该期望宽度的该多个结构。在等离子体室132中,在不导致真空破坏的情况下执行蚀刻及沉积操作。在一些实施方案中,系统控制器108被进一步配置以执行以下操作:在等离子体室132中重复通过ALD进行沉积和蚀刻的操作。
在一些实施方案中,可采用其他计算机软件及/或程序。用于此用途的程序或程序的部分的示例包含衬底定位程序、处理气体组成控制程序、压强控制程序、加热器控制程序、以及RF电源控制程序。
在一些情况下,系统控制器108控制气体浓度、衬底移动、及/或供应至线圈134及/或衬底支撑件116的功率。系统控制器108可通过以下方式而控制气体浓度:例如开启及关闭相关的阀以产生一或更多进气流,该一或更多进气流提供适当浓度的必要反应物。可通过以下方式而控制衬底移动:例如指示衬底定位系统依需求而移动。供应至线圈134及/或衬底支撑件116的功率可加以控制以提供特定的RF功率电平。如果使用格栅,则可通过系统控制器108以调整RF功率,以在等离子体室132的上部中产生电子-离子等离子体,而在等离子体室132的下部中产生离子-离子等离子体。此外,可配置系统控制器108,以在电子-离子等离子体不形成于等离子体室132的下部中的情况下将功率供应至衬底支撑件116。
系统控制器108可基于传感器输出(例如当功率、电位、压强等达到某阈值时)、操作时序(例如在处理中的某些时刻开启阀)、或基于从用户所接收的指令而控制这些或其他方面。
在一些实现方式中,系统控制器108是系统的一部分,该系统可以是上述示例的一部分。这样的系统可以包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理组件(晶片基座、气体流系统等)。这些系统可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。电子器件可以被称为“控制器”,其可以控制一个或多个系统的各种组件或子组件。根据处理要求和/或系统类型,系统控制器108可以被编程以控制本文公开的任何处理,包括处理气体的输送、温度设置(例如加热和/或冷却)、压力设置、真空设置、功率设置、RF产生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、衬底转移进出工具和其他转移工具和/或与具体系统连接或通过接口连接的加载锁。
概括地说,系统控制器108可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用端点测量等的各种集成电路、逻辑、内存和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式发送到系统控制器108的指令,单独设置(或程序文件)定义用于在半导体晶片或系统上或针对半导体衬底或系统执行特定处理的操作参数。在一些实施方式中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的制造期间完成一个或多个处理步骤。
在一些实现方式中,系统控制器108可以是与系统集成、耦合到系统、以其它方式联网到系统或其组合的计算机的一部分或耦合到该计算机。例如,系统控制器108可以在“云”中或是晶片厂(fab)主机系统的全部或一部分,其可以允许对衬底处理的远程访问。计算机可以实现对系统的远程访问以监视制造操作的当前进展、检查过去制造操作的历史、检查多个制造操作的趋势或性能标准,改变当前处理的参数、设置处理步骤以跟随当前的处理、或者开始新的处理。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向系统提供处理配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户界面,然后将该参数和/或设置从远程计算机发送到系统。在一些示例中,系统控制器108接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的处理的类型和工具的类型,系统控制器108被配置为与该工具接口或控制该工具。因此,如上所述,系统控制器108可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的处理和控制)工作的一个或多个分立的控制器而呈分布式。用于这种目的的分布式系统控制器108的示例是在与远程(例如在平台级或作为远程计算机的一部分)的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制在室上的处理。
示例系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及可以与半导体晶片的制造和/或制备相关联或用于半导体衬底的制造和/或制备的任何其它半导体处理系统。
如上所述,根据将由工具执行的一个或多个处理步骤,系统控制器108可以与一个或多个其他工具电路或模块、其它工具组件、群集工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一系统控制器108、或在将衬底的容器往返半导体制造工厂中的工具位置和/或装载口运输的材料运输中使用的工具通信。
图案化和关键尺寸
随着装置特征缩小且半导体装置中的节距变小,控制和微调衬底特征的关键尺寸(CD)变得更为重要。CD亦可称为“最小特征尺寸”或“目标设计准则”。在一些实施方案中,CD可指涉最小的几何特征的尺寸(例如,互联线、接触窗、沟槽等的宽度)。在一些实施方案中,CD可指图案化处理可实现的最窄宽度。
图案化方法用于许多半导体制造处理中,以实现期望的关键尺寸。掩模(例如光致抗蚀剂图案或硬掩模)可具有CD,其为最小特征的宽度。在一些实施方案中,当通过掩模而执行蚀刻操作时可形成特征。
在追求具有更小CD的特征时,需要具有更小CD的掩模。光致抗蚀剂掩模一般具有取决于波长的光学性质,较长波长的光致抗蚀剂掩模暴露于较长波长的光有较大的理论CD,而较短波长的光致抗蚀剂掩模暴露于较短波长的光有较小的理论CD。然而,相比于较长波长的光致抗蚀剂掩模,使用较短波长的光致抗蚀剂掩模可能带来额外的问题。例如,较短波长的光致抗蚀剂掩模可能不具有与较长波长的光致抗蚀剂掩模一样高的选择性,且在等离子体蚀刻的情况下可能较容易变形。
可利用各种图案化方案(例如单一图案化或多重图案化)来形成或提供本公开内容的掩模。特别是,多重图案化已用于使光刻技术扩展至其光学限制之外。双重图案化及四重图案化为用于使光刻技术扩展至其光学限制之外的示例性技术,且现今双重图案化广泛用于产业中,用于小于约80nm的节距。当前的双重图案化技术通常使用侧壁间隔件以及两个掩模处理步骤以图案化沟槽。正型及负型双重图案化处理两者中的双重图案化(尤其是线的图案化)方法涉及使用间隔件及掩模。可通过ALD或通过等离子体辅助原子层沉积(PEALD)而在图案化芯部上沉积间隔件,且间隔件可用于产生较小节距的图案。
图2A-2I为根据一些实施方案的示例性多重图案化方案中的衬底的示意图。图2A显示具有以下结构的衬底:在第一层203上以光刻方式界定或图案化的芯部201、在第一层203底下的第二层205、及在第二层205底下的第三层207。本领域技术人员应理解,适用于本文所述的半导体处理的多层堆栈体也可包含其他层,例如蚀刻停止层、顶盖层、及其他底下层。
如图2A所示,图案化芯部201可为光致抗蚀剂,或者可包含非晶碳或非晶硅材料。可通过任何合适的沉积技术将图案化芯部201沉积于第一层203上方,该沉积技术可涉及在沉积室中由沉积气体(例如碳氢化合物前体)产生等离子体。第一层203、第二层205、或第三层207可为最终在多重图案化处理中被图案化的目标层。目标层可为半导体、电介质、或其他层,且可由例如硅(Si)、氧化硅(SiO2)、氮化硅(SiN)、或氮化钛(TiN)所制成。在图2B中,保形膜209被沉积在图案化芯部201上方。一些实施方案中可通过ALD或PEALD以沉积保形膜209。保形膜209可被定向地蚀刻以形成间隔件219,如图2C所示。间隔件219可为氧化物(例如氧化硅(SiO2)或氧化钛(TiO2))、或可为氮化物(例如氮化硅(SiN))。间隔件219的图案用于将后续的层图案化。应理解,本文所用的用语“间隔件”意指与芯部材料相邻的掩模材料。在图2D中,图案化芯部201被选择性地蚀刻,在衬底上留下孤立的间隔件219。本文所用的选择性移除或选择性蚀刻被界定为相对于一材料而蚀刻另一材料。例如,在图2D中,相对于间隔件219而蚀刻图案化芯部201。间隔件219的图案可具有期望节距或CD,其中CD可等于或少于约50nm。在图2E中,利用图案化的间隔件219作为掩模以蚀刻第一层203,从而将图案转移至第一层203以形成图案化第一层213。可利用化学品以蚀刻图案化第一层213,该化学品适用于蚀刻第一层203但不适用于蚀刻图案化间隔件219。在一些实施方案中,图案化第一层213可为非晶碳层、非晶硅层、或光致抗蚀剂,例如聚甲基丙烯酸甲酯、或聚甲基戊酰胺(PMGI)、或酚甲醛树脂。在图2F中,将间隔件219选择性地移除以留下图案化第一层213。图案化第一层213可提供具有较小CD的掩模,用于形成具有较小CD的特征。然而,图案化第一层213可替代性地提供第二芯部材料以用于后续的图案化。在图2G中,可在图案化第一层213(例如,第二芯部材料)上方沉积第二保形膜220。第二保形膜220可为通过ALD或PEALD而沉积的介电材料。例如,第二保形膜220可为硅氧化物。在图2H中,第二保形膜220被定向地蚀刻以形成位在图案化第一层213两侧的第二间隔件221。在图2I中,将图案化第一层213选择性地移除以留下第二间隔件221。第二间隔件221可提供具有甚至更小的CD的掩模,以用于形成具有甚至更小的CD的特征。
可将间隔件221的图案转移至第二层205。多重图案化技术(例如图2A-2I所示的技术)可用于实现较小的CD。然而,多重图案化技术使用蚀刻步骤及沉积步骤,其可能需要在不同工具及平台之间转移、以及在蚀刻与沉积步骤之间的额外清洁步骤,如图3A所示。
图3A显示使用常规蚀刻及ALD处理的衬底传输的示例性处理流程。应理解,图3A中的这样的处理流程并不限于如上讨论的多重图案化方案,而可应用于使用常规蚀刻及ALD处理的其他方案。在图3A中,衬底被提供于蚀刻室中,并在框301经历蚀刻步骤,被传送至清洁室以在框303经历清洁步骤,被传送至ALD室以在框305经历ALD步骤,被传送回到相同或不同的清洁室以在框307经历清洁步骤,并且在框309被传送回到相同或不同的蚀刻室。在执行蚀刻-沉积-蚀刻序列的过程中,衬底可经历4次衬底传送通过3-5个不同的室。在一些实施方案中,在框301的蚀刻步骤可界定掩模,在框305的ALD步骤可执行CD控制,且在框309的蚀刻步骤可界定最终结构。
针对沉积和蚀刻而使用单独的室使得处理时间、处理步骤、及成本增加,因此对产能造成不利的影响。此外,使用单独的室需要将衬底从一室传输至另一室,其导致真空破坏并使不期望有的材料或粒子与衬底相接触的可能性增加。这可能导致衬底上的材料功能性和/或完整性损失。此外,如图3A所示,在蚀刻与沉积处理之间一般需要清洁处理,其中该清洁处理可能影响衬底上的材料特性和结构。例如,稀释氢氟(HF)酸清洁处理会对掩模结构造成影响,并可能对性能造成不利的影响。
为了实现较小的CD,同时避免相关于在不同工具中执行蚀刻步骤和沉积步骤的问题,可利用等离子体蚀刻室并将其用于执行沉积。这种沉积处理可包含化学气相沉积(CVD)和等离子体增强化学气相沉积(PECVD)处理。然而,这种沉积处理通常沉积不保形的膜。具体而言,这些沉积处理取决于深宽比且在较开放的间隔或较宽的节距中沉积较多材料,从而导致在不同深宽比的结构或特征的不均匀沉积。具体而言,会在孤立特征中发生比在非密集特征中较多的沉积,其中孤立特征的CD偏差大于密集特征的CD偏差。
本公开内容的实施方案涉及在一等离子体室中整合ALD步骤和蚀刻步骤。通过使用原位ALD而非在单独的室中实施ALD且并非在相同室中使用CVD/PECVD,将独立的ALD工具及额外的清洁工具省去。再者,经由省去额外的衬底传输及清洁时间而使处理时间和成本降低。此外,使用原位ALD避免了在衬底传输之间(例如非原位沉积与清洁之间)的真空破坏,衬底传输之间的真空破坏可能使衬底暴露于不期望有的材料、大气、和/或湿气。使用原位ALD也使在不同深宽比的结构或特征的不均匀沉积减少。原位ALD可指在等离子体蚀刻室(例如上述图1的处理设备100中的等离子体室)中执行的ALD。
图3B显示了使用集成式蚀刻和ALD处理的衬底传输的示例性处理流程。应理解,图3B中的这种处理流程并不限于如上讨论的多重图案化方案,而可应用在用于实现较小CD及控制CD的其他方案。在图3B中,衬底被提供于蚀刻室中,并在框351经历蚀刻步骤,维持在相同蚀刻室中以在框353经历ALD,维持在相同蚀刻室中以在框355经历蚀刻步骤,并且被传送至清洁室以在框357经历清洁步骤。在执行蚀刻-沉积-蚀刻序列的过程中,衬底可经历1次衬底传送通过2个不同的室。在一些实施方案中,在框351的蚀刻步骤可界定掩模,在框353的ALD步骤可执行CD控制,且在框355的蚀刻步骤可界定最终结构。
利用原位ALD和蚀刻进行关键尺寸控制
本公开内容的实施方案涉及使用原位ALD和蚀刻的CD控制方法。具体而言,在相同的处理室或工具中执行ALD和蚀刻步骤的循环。在一些实施方案中,在如图1所述的等离子体处理设备中执行ALD和蚀刻步骤的循环。在与蚀刻相同的等离子体处理设备中所执行的ALD循环提供保形沉积,其用于控制衬底的一或更多特征的CD。
图4为根据一些实施方案使用蚀刻和ALD处理的关键尺寸(CD)恢复的示例性方法的流程图。可以不同的顺序和/或利用不同的、较少的、或额外的操作来执行处理400中的操作。
在处理400的框410,在等离子体室中蚀刻衬底的第一层以形成特征的掩模图案。可配置等离子体室以执行后续的蚀刻和沉积处理。可相对于图1中的处理设备100而描述等离子体室的方面。特征掩模图案所具有的宽度小于待由特征掩模图案所形成的多个结构的期望宽度。特征掩模图案可通过蚀刻而加以图案化,且特征掩模图案可作为后续制造步骤期间的掩模。在一些实施方案中,用于界定特征掩模图案的第一层可包含抗蚀剂或光致抗蚀剂材料。在一些实施方案中,用于界定特征掩模图案的第一层可包含硬掩模材料。在一些实施方案中,用于界定特征掩模图案的第一层可包含硅或其他半导体材料。常规的蚀刻及图案化处理可用于在第一层中形成特征掩模图案。
在处理400的一些实施方案中,在等离子体室中提供衬底。可将衬底定位于等离子体室中的衬底支撑件上。在一些实施方案中,该衬底可为半导体衬底,例如200-mm、300-mm、或450-mm的衬底,包括硅衬底。在一些实施方案中,在等离子体室中提供预先图案化有特征掩模图案的衬底。
在一些实施方案中,特征掩模图案可包含在衬底的孤立特征区域中的一或更多孤立特征、以及在衬底的密集特征区域中的一或更多密集特征。密集特征区域中的一或更多密集特征具有比孤立特征区域中的一或更多孤立特征大的特征密度。该一或更多密集特征可提供具有比该一或更多孤立特征高的深宽比的特征。
在一些实施方案中,特征掩模图案可包含具有第一材料的一或更多特征、以及具有第二材料的一或更多其他特征,其中该第二材料不同于该第一材料。特征掩模图案可包含两种不同材料,其中该两种不同材料可在钝化处理或蚀刻处理中以不同方式进行反应。两种不同材料可用于产生具有不同节距的一或更多掩模。例如,第一材料可包含硅,而第二材料可包含硅-锗或锗。
在一些实施方案中,至少一些特征掩模图案可具有锥形轮廓。图案化处理可产生具有某些程度的锥度的掩模轮廓。在一些实施方案中,在框410中所执行的蚀刻可将该锥形轮廓变直,并使由后续沉积所造成的任何夹止(pinch-off)或合并(merging)减少。在一些实施方案中,处理400还包含异向地蚀刻具有锥形轮廓的特征掩模图案的至少一部分,以在后续沉积之前修正锥形轮廓。
特征掩模图案可包含多个几何结构、间隔件、或特征,以上各者都具有对应于特征掩模图案的关键尺寸(CD)的宽度。在一些实施方案中,特征掩模图案的几何结构、间隔件、或特征的各者具有相同或实质上相似的宽度。相对于特征掩模图案的宽度或CD,在此公开内容各处的“实质上相似”是指与既定值相差在正或负5%内的值。因此,特征掩模图案的CD或间隔CD在设置于衬底上的整个特征掩模图案是相对一致或固定的。在一些实施方案中,在一或更多孤立特征与一或更多密集特征之间的CD或间隔CD可为相同或实质上相似的。在一些实施方案中,特征掩模图案的间隔CD等于或少于约5nm。
在蚀刻第一层以形成特征掩模图案之后,特征掩模图案的CD通常不等于将利用特征掩模图案而形成的多个结构的CD。这可归因于由横向蚀刻所造成的刻面(faceting)、掩模侵蚀、及底切(undercutting)的影响。在一些情况下,故意执行较高程度的过蚀刻(overetch),以使掩模开口蚀刻处理的处理窗增大,但得到较小的CD。因此,将利用特征掩模图案而形成的多个结构的CD可能小于特征掩模图案的CD。
可在掩模上应用钝化技术,以使由于蚀刻导致的CD损失最小化。例如“快速(flash)”钝化或蚀刻副产物再沉积形式的等离子体基钝化已用于使由于横向蚀刻导致的刻面、掩模侵蚀、及底切的影响最小化。然而,等离子体基钝化技术一般取决于深宽比,其意指:相较于密集特征,在孤立特征中装填较多的钝化材料。等离子体基钝化技术还取决于材料,其意指:在不同材料之间装填较多或较少的钝化材料。此外,等离子体基钝化技术(例如氧“快速”钝化)通过氧化而消耗目标特征上的材料并导致CD损失。“快速”钝化可指引燃诸如氧(O2)及/或氮(N2)之类的气体以形成解离自由基的等离子体,以与表面反应并在表面上形成材料的钝化层(例如氧化物或氮化物)。一般而言,钝化技术可使由于横向蚀刻导致的刻面、掩模侵蚀、及底切的影响最小化,但不会控制CD或微调CD。换言之,可利用常规的钝化技术来限制CD损失,但钝化技术本身不适用于精确调整CD。
在处理400的框420,在等离子体室中通过原子层沉积(ALD)将第一钝化层沉积于特征掩模图案上。该第一钝化层被沉积成具有使特征掩模图案的宽度增加至期望宽度的厚度。框420的ALD在与框410的蚀刻相同的等离子体室中执行而不会在操作间引入真空破坏。
ALD为利用顺序自限性反应以沉积薄材料层的技术。通常,ALD循环包含以下操作:将至少一种反应物输送且吸附至衬底表面、并接着使经吸附的反应物与一种或多种反应物反应以形成部分层。不像CVD技术,ALD使用表面自限沉积反应以便以逐层方式沉积膜。典型ALD循环可包含:(i)将前体材料输送且吸附至衬底表面上的配料、(ii)从室中清扫掉过量的前体材料,而在衬底表面上留下自限单层、(iii)输送反应物材料以与经吸附的前体材料进行反应、以及(iv)从室中清扫掉未反应的反应物材料或反应副产物。配料步骤可以自限制方式吸附前体材料,使得一旦活性部位被前体材料所占据,则很少或没有额外的前体材料会吸附于衬底表面上。反应物材料可同样地以自限制或吸附限制的方式与前体材料进行反应。可任选地执行清扫步骤,以将过量的前体材料、反应副产物、和/或未反应的反应物材料从室中去除,从而完成ALD循环。ALD可用于提供具高台阶覆盖率的高度保形膜,即使在高深宽比特征中也如此。
可通过ALD将第一钝化层保形地沉积于特征掩模图案上。用于保形地沉积第一钝化层的ALD处理可发生在一或更多循环中,其中每一循环在特征掩模图案上产生吸附受限量的钝化材料。每一循环可包含配料步骤,其中将受控量的前体材料输送至衬底表面,以便以自限制方式吸附于衬底表面上。这也称为“浸泡”衬底表面至饱和。每一循环可进一步包含在配料步骤之后的转化步骤,其中提供反应物材料以在衬底表面上与前体材料进行反应,并形成吸附受限量的钝化材料。反应物材料可包含反应物气体,其中RF功率源使反应物气体的等离子体在等离子体室中产生。反应物气体可包含,例如,含氧气体(例如O2)或含氮气体(例如N2或NH3)。反应物气体的自由基及其他带电物质与前体材料进行反应,以将前体材料转化为吸附受限量的钝化材料。在一些实施方案中,反应物气体暴露于RF功率传输相对短的时间量(例如介于约0.5秒至约5秒之间),以形成等离子体,从而将前体材料转化。这也称为“快速”操作,其使用来自在相对短的时间量内传输的RF功率的等离子体,以将衬底表面上的前体材料转化。在一些实施方案中,清扫步骤可将过量的前体材料、反应副产物、和/或未反应的反应物材料从等离子体室中去除,以完成该循环。在一些实施方案中,可重复配料步骤和转化步骤直到沉积了第一钝化层的期望厚度为止。
第一钝化层可包含,例如,氧化物(例如SiOx)或氮化物(例如SixNy)。第一钝化层用于在后续的蚀刻处理(例如在框430所描述的蚀刻处理)期间保护特征掩模图案(包括特征掩模图案的侧壁)。
第一钝化层是通过ALD而加以保形地沉积的,且可具有高台阶覆盖率,例如大于85%、大于90%、或大于95%的台阶覆盖率。第一钝化层的高保形性提供沿特征掩模图案表面及侧壁的相对均匀的第一钝化层厚度。在一些实施方案中,第一钝化层的厚度可介于约0.1nm至约5nm之间、或介于约0.5nm至约3nm之间。第一钝化层的厚度可使特征掩模图案的宽度以线性关系增加至期望宽度,其中期望宽度对应于待在随后形成的多个结构的期望CD。因此,可通过第一钝化层的厚度而控制特征掩模图案的CD。在一些实施方案中,由于框410的蚀刻导致的任何CD损失可通过所沉积的第一钝化层的厚度而恢复。在一些实施方案中,可在框420对CD进行精确调整,以控制特征掩模图案的CD,从而控制待在随后形成的多个结构或特征的CD。CD控制或恢复的相关CD可取决于所制造的半导体装置的应用。在蚀刻之后,剩余材料的CD在一些情况下可为相关CD,例如图案化与栅极蚀刻之后的栅极或晶体管尺寸。或者,所移除材料的CD(例如间隔CD)在一些情况下可为相关CD,例如当蚀刻之后间隔、沟槽、或孔洞被开启时(其可能或可能不在随后加以填充)。来自所沉积的第一钝化层的CD增益可与深宽比无关且与材料无关。此外,可在不影响后续蚀刻性能的情况下在框420调整CD。换言之,可在对孤立与密集特征之间的CD负载影响最小或可忽略的情况下、以及对孤立与密集特征之间的深度负载影响最小或可忽略的情况下,对CD进行调整。
在处理400的框430,在等离子体室中蚀刻衬底的第二层,以形成具有期望宽度的多个结构。框430的蚀刻在与框410的蚀刻及框420的ALD相同的等离子体室中执行而不会在操作之间导致真空破坏。
第二层可为用于图案化衬底的各种几何特征的目标层,其中这种几何特征可对应于多个结构、或可由多个结构所界定。几何特征可包含,例如,互联线、沟槽、凹部、间隔、孔洞等。可利用特征掩模图案以界定多个结构,其中多个结构的CD与特征掩模图案的CD相同或实质上相似。相对于特征掩模图案与多个结构之间的CD差异,在此公开内容各处的“实质上相似”是指与既定值相差在正或负5%内的值。在一些实施方案中,多个结构的CD可等于或少于约20nm、等于或少于约15nm、或者等于或少于约10nm。虽然所描述的CD是指由特征掩模图案所界定的多个结构的CD,但应理解,相关CD可为由特征掩模图案所界定的多个几何特征(例如沟槽、凹部、间隔、及孔洞)的间隔CD。
在等离子体室中所执行的蚀刻可为各向异性蚀刻,其通过第二层以在第二层中形成特征。该蚀刻可相对于第一层和第一钝化层的材料而选择性地蚀刻第二层材料。以此方式,在框430所执行的蚀刻在不蚀刻特征掩模图案的情况下选择性地蚀刻第二层。在一些实施方案中,该蚀刻可延伸通过第二层至第一深度,其中该第一深度小于最终深度。例如,该第一深度可为最终深度的任何适当百分比,例如最终深度的20%、30%、40%、50%、60%等。因此,可执行多重蚀刻以达到最终深度。
在一些实施方案中,重复等离子体室中的ALD和蚀刻操作,直至达到最终深度为止。最终深度可部分延伸通过第二层或完全延伸通过第二层。在一些实施方案中,最终深度可延伸通过额外的底下层,例如第三层、第四层等。重复的蚀刻操作可蚀刻通过第二层或在第二层下方的第三层。在一些实施方案中,在多重图案化处理中重复等离子体室中的ALD和蚀刻操作。例如,在蚀刻操作之后的每一ALD操作可保形地沉积额外的钝化层(例如第二钝化层、第三钝化层等)以进一步调整或减小衬底特征的CD。并且,在ALD操作之后的每一蚀刻操作可蚀刻通过第二层或底下层(例如第三层、第四层、第五层等)。在一些实施方案中,处理400还包含在蚀刻衬底的第二层之后通过ALD以在多个结构上沉积第二钝化层,该第二钝化层被沉积以具有对应于期望CD增益的厚度。处理400可进一步包含蚀刻衬底的第二层或第三层以形成具有期望CD的多个特征(例如沟槽)。此类多重图案化方案可在没有蚀刻-沉积-蚀刻操作之间的衬底传输的情况下以受控方式实现关键尺寸的缩小。
第一钝化层保护特征掩模图案免于在框430的蚀刻。在最小CD损失与深宽比无关且与材料无关的情况下保护特征掩模图案的侧壁和表面。此外,在不同材料之间及不同深宽比之间的CD负载最小的情况下、在不同材料之间及不同深宽比之间的轮廓负载最小的情况下、以及在不同材料之间及不同深宽比之间的深度负载最小的情况下,保护特征掩模图案的侧壁及表面。
在一些实施方案中,于处理400中重复以下操作:框420的在等离子体室中通过ALD进行沉积、以及框430的在等离子体室中蚀刻第二层。在特征掩模图案包含孤立及密集特征的情况下,在重复通过ALD进行沉积和蚀刻第二层的操作之后,CD增益是相同或实质上相似的。在特征掩模图案包含不同材料的情况下,在重复通过ALD进行沉积和蚀刻第二层的操作之后,CD增益是相同或实质上相似的。
图5A-5C为孤立的与密集的衬底特征的示意图,这些衬底特征经历在等离子体室中使用常规沉积处理进行的沉积和蚀刻。图6A-6C为孤立的与密集的衬底特征的示意图,这些衬底特征经历使用根据一些实施方案的集成式ALD及蚀刻处理进行的沉积和蚀刻。常规蚀刻-沉积-蚀刻处理与原位ALD蚀刻-沉积-蚀刻处理中的孤立及密集衬底特征之间的比较,公开了孤立及密集衬底特征中的CD偏差和CD增益的影响。
图5A显示部分加工的装置结构510,其包含衬底502及特征掩模图案504a、504b。可在等离子体室中的蚀刻之后图案化及界定特征掩模图案504a、504b。特征掩模图案504a、504b可在衬底502的密集特征区域中的密集特征504a、与衬底502的孤立特征区域中的孤立特征504b之间作区分,密集特征504a具有比孤立特征504b大的特征密度。密集特征区域中的密集特征504a可界定具有比孤立特征区域中的孤立特征504b高的深宽比的间隙。特征掩模图案504a、504b可具有相同或实质上相似的CD,如图5A所示。
图5B显示了部分加工的装置结构520,其包含衬底502、特征掩模图案504a、504b、及沉积于特征掩模图案504a、504b的上的第一钝化层506。可在等离子体室中利用常规沉积处理(例如CVD或PECVD)以沉积第一钝化层506。替代地,可利用等离子体基“快速”钝化技术以沉积第一钝化层506,其中特征掩模图案504a、504b的一部分会通过氧化或氮化而消耗。如图5B所示,第一钝化层506在孤立特征区域中的孤立特征504b上的厚度大于在密集特征区域中的密集特征504a上的厚度。孤立特征504b接收比密集特征504a更多的沉积。因此,CD增益在孤立特征区域中比在密集特征区域中更多。部分加工的装置结构520表示在等离子体室中利用常规沉积处理进行沉积之后的装置结构510。
图5C显示部分加工的装置结构530,其包含衬底502、特征掩模图案504a、504b、沉积于特征掩模图案504a、504b的上的第一钝化层506、及在衬底502内的多个特征508。多个特征508可在等离子体室中的蚀刻处理之后形成。蚀刻处理可各向异性地蚀刻衬底502中的材料层至期望深度。多个特征508可由在特征掩模图案504a、504b下方的多个结构509所界定。特征508的深宽比在衬底502的密集特征区域中可比在孤立特征区域中更高。如图5C所示,孤立特征区域中的CD偏差或CD负载大于密集特征区域中的CD偏差或CD负载。在等离子体室中应用常规沉积处理并不容许精确的CD控制,因为沉积均匀度取决于深宽比。部分加工的装置结构530表示在等离子体室中进行蚀刻之后的装置结构520。
图6A显示了部分加工的装置结构610,其包含衬底602和特征掩模图案604a、604b。可在等离子体室中的蚀刻之后图案化及界定特征掩模图案604a、604b。特征掩模图案604a、604b可在衬底602的密集特征区域中的密集特征604a、与衬底602的孤立特征区域中的孤立特征604b之间作区分,密集特征604a具有比孤立特征604b大的特征密度。密集特征区域中的密集特征604a可界定具有比孤立特征区域中的孤立特征604b高的深宽比的间隙。特征掩模图案604a、604b可具有相同或实质上相似的CD,如图6A所示。
图6B显示部分加工的装置结构620,其包含衬底602、特征掩模图案604a、604b、及保形地沉积于特征掩模图案604a、604b之上的第一钝化层606。可利用如上所述的原位ALD处理以沉积第一钝化层606。如图6B所示,第一钝化层606在孤立特征区域中的孤立特征604b上与在密集特征区域中的密集特征604a上的厚度是相对均匀的。可控制第一钝化层606的厚度以恢复或调整特征掩模图案604a、604b的CD。以此方式,可精确调整待由后续蚀刻形成的特征的CD。如图6B所示,CD增益在密集特征区域中的密集特征604a与孤立特征区域中的孤立特征604b之间是相同或实质上相似的。相对于利用原位ALD的密集特征604a与孤立特征604b之间的CD增益或CD偏差,在此公开内容各处的“实质上相似”是指与既定值相差0.5nm内的值。部分加工的装置结构620表示在等离子体室中利用原位ALD处理进行沉积之后的装置结构610。
图6C显示部分加工的装置结构630,其包含衬底602、特征掩模图案604a、604b、保形地沉积于特征掩模图案604a、604b的上的第一钝化层606、以及在衬底602内的多个特征608。多个特征608可在等离子体室中的蚀刻处理之后形成。蚀刻处理可各向异性地蚀刻衬底602中的材料层至期望深度。多个特征608可由在特征掩模图案604a、604b下方的多个结构609所界定。特征608的深宽比在衬底602的密集特征区域中可比在孤立特征区域中更高。如图6C所示,CD偏差或CD负载在密集特征区域与孤立特征区域之间是相同或实质上相似的。在等离子体室中应用原位ALD容许精确的CD控制,因为沉积均匀度是与深宽比无关的。部分加工的装置结构630表示在等离子体室中进行蚀刻之后的装置结构620。
图7A-7C为不同材料的衬底特征的示意图,这些衬底特征经历在等离子体室中使用常规沉积处理进行的沉积和蚀刻。图8A-8C为不同材料的衬底特征的示意图,这些衬底特征经历使用根据一些实施方案的集成式ALD及蚀刻处理进行的沉积和蚀刻。常规蚀刻-沉积-蚀刻处理与原位ALD蚀刻-沉积-蚀刻处理中的由不同材料所制成的特征之间的比较,公开了由不同材料所制成的特征中的CD偏差及CD增益的影响。
图7A显示部分加工的装置结构710,其包含衬底702及特征掩模图案704a、704b。可在等离子体室中的蚀刻之后图案化及界定特征掩模图案704a、704b。特征掩模图案704a、704b可包含具有第一材料的第一特征704a、及具有第二材料的第二特征704b,该第二材料不同于该第一材料。举例而言,第一材料可包含硅,而第二材料可包含硅-锗或锗。在另一示例中,第一材料可包含氧化物,而第二材料可包含碳。在一些实施方案中,诸如特征掩模图案704a、704b之类的掩模可使用不同材料以产生不同节距。因此,第一特征704a可具有第一节距,而第二特征704b可具有第二节距,其中第二节距大于第一节距。特征掩模图案704a、704b可具有相同或实质上相似的CD,如图7A所示。
图7B显示了部分加工的装置结构720,其包含衬底702、特征掩模图案704a、704b、及在第一特征704a之上的第一钝化层706a与在第二特征704b之上的第二钝化层706b。可在等离子体室中利用常规的等离子体基“快速”钝化处理以形成第一钝化层706a与第二钝化层706b。常规的等离子体基“快速”钝化处理使衬底702的表面、第一特征704a、以及第二特征704b暴露于气体(例如O2或N2)的解离自由基的等离子体,以将衬底702的表面、第一特征704a、及第二特征704b转化为钝化材料(例如氧化物或氮化物)。不同的材料可能对于常规的等离子体基“快速”钝化处理有不同的反应,导致不同材料间的不同的钝化程度。如图7B所示,第二钝化层706b的厚度大于第一钝化层706a的厚度。这可能是由于第二材料相较于第一材料而转化为较厚的钝化材料。在一些实施方案中,相较于第一材料,常规的等离子体基“快速”钝化处理消耗较多的第二材料。因此,CD增益在第二特征704b中比在第一特征704a中更多。部分加工的装置结构720表示在等离子体室中进行钝化之后的装置结构710。
图7C显示部分加工的装置结构730,其包含衬底702、特征掩模图案704a、704b、在第一特征704a之上的第一钝化层706a与在第二特征704b之上的第二钝化层706b、以及在衬底702内的多个特征708。多个特征708可在等离子体室中的蚀刻处理之后形成。蚀刻处理可各向异性地蚀刻衬底702中的材料层至期望深度。多个特征708可由在特征掩模图案704a、704b下方的多个结构709所界定。在第一特征704a之上的第一钝化层706a的厚度不同于在第二特征704b之上的第二钝化层706b的厚度。不仅如此,在第一特征704a之上的第一钝化层706a的蚀刻阻抗可能不同于在第二特征704b之上的第二钝化层706b的蚀刻阻抗。如图7C所示,在第二特征704b处的CD偏差或CD负载大于在第一特征704a处的CD偏差或CD负载。在等离子体室中应用常规的等离子体基“快速”钝化处理并不容许精确的CD控制,因为钝化均匀度取决于材料。部分加工的装置结构730表示在等离子体室中进行蚀刻之后的装置结构720。
图8A显示部分加工的装置结构810,其包含衬底802及特征掩模图案804a、804b。可在等离子体室中的蚀刻之后图案化及界定特征掩模图案804a、804b。特征掩模图案804a、804b可包含具有第一材料的第一特征804a、及具有第二材料的第二特征804b,该第二材料不同于该第一材料。举例而言,第一材料可包含硅,而第二材料可包含硅-锗或锗。在另一示例中,第一材料可包含氧化物,而第二材料可包含碳。在一些实施方案中,诸如特征掩模图案804a、804b之类的掩模可使用不同材料以产生不同节距。因此,第一特征804a可具有第一节距,而第二特征804b可具有第二节距,其中第二节距大于第一节距。特征掩模图案804a、804b可具有相同或实质上相似的CD,如图8A所示。
图8B显示部分加工的装置结构820,其包含衬底802、特征掩模图案804a、804b、及保形地沉积于特征掩模图案804a、804b之上的第一钝化层806。可利用如上述的原位ALD处理以沉积第一钝化层806。如图8B所示,第一钝化层806在第一特征804a上与在第二特征804b上的厚度是相对均匀的。可控制第一钝化层806的厚度以恢复或调整特征掩模图案804a、804b的CD。以此方式,可精确调整待由后续蚀刻形成的特征的CD。如图8B所示,CD增益在由第一材料所制成且具有第一节距的第一特征804a、与由第二材料所制成且具有第二节距的第二特征804b之间是相同或实质上相似的。相对于利用原位ALD的第一特征804a与第二特征804b之间的CD增益或CD偏差,在此公开内容各处的“实质上相似”是指与既定值相差0.5nm内的值。部分加工的装置结构820表示在等离子体室中利用原位ALD处理进行沉积之后的装置结构810。
图8C显示部分加工的装置结构830,其包含衬底802、特征掩模图案804a、804b、保形地沉积于特征掩模图案804a、804b之上的第一钝化层806、以及在衬底802内的多个特征808。多个特征808可在等离子体室中的蚀刻处理之后形成。蚀刻处理可各向异性地蚀刻衬底802中的材料层至期望深度。多个特征808可由在特征掩模图案804a、804b下方的多个结构809所界定。相对于在常规的等离子体基“快速”钝化处理中经由氧化或氮化将第一特征804a中的第一材料中的一些以及第二特征804b中的第二材料中的一些转化,原位ALD处理以自限制的方式与经吸附的前体材料进行反应。在第一特征804a之上的第一钝化层806的厚度与在第二特征804b之上的第一钝化层806的厚度是相同或实质上相似的。此外,在第一特征804a之上与在第二特征804b之上的第一钝化层806的蚀刻阻抗是相同的,因为原位ALD处理并非将不同的材料转化以形成钝化材料。如图8C所示,在第一特征804a处的CD偏差或CD负载与在第二特征804b处的CD偏差或CD负载是相同或实质上相似的。在等离子体室中应用原位ALD容许精确的CD控制,因为沉积均匀度是与材料无关的。部分加工的装置结构830表示在等离子体室中进行蚀刻之后的装置结构820。
图9A-9C为在单独的工具中经历沉积和蚀刻的锥形衬底特征的示意图。图10A-10D为锥形衬底特征的示意图,这些衬底特征经历使用根据一些实施方案的集成式ALD及蚀刻处理进行的沉积和蚀刻。相对于图9A-9C中在单独的室或工具中经由ALD而沉积层,如上述的原位ALD可使蚀刻-沉积处理循环进行,以在孤立与密集特征之间的深度负载最小的情况下控制CD。
图9A显示了部分加工的装置结构910,其包含衬底902及特征掩模图案904。特征掩模图案904的特征中的每一者可具有锥形轮廓。在图9A中,特征掩模图案904之间的间隔可界定密集特征区域的至少一部分,且特征掩模图案904左侧的间隔可界定孤立特征区域的至少一部分。因此,特征掩模图案904在特征之间可具有不同的节距。在一些实施方案中,可在蚀刻操作之后图案化及界定特征掩模图案904。在一些实施方案中,特征掩模图案904可包含硬掩模。特征掩模图案904可具有相同或实质上相似的CD,如图9A所示。
图9B显示了部分加工的装置结构920,其包含衬底902、特征掩模图案904、以及保形地沉积于特征掩模图案904之上的层906。可利用合适的沉积技术(例如ALD)以保形地沉积所沉积的层906。在一些实施方案中,层906可为钝化层。钝化层可包含氧化物及/或氮化物。虽然层906是保形沉积的,但层906沿着特征掩模图案904的侧壁及表面的厚度可能是不均匀的。特征掩模图案904之间的间隔或特征掩模图案904的间隔CD可能是相对小或窄的。此外,层906的沉积可能导致相对厚的层,尤其是如果在与蚀刻处理分开的室或工具中执行沉积的情况下。或者,层906的沉积在达到期望厚度之前可能经历若干转移步骤及清洁步骤。如图9B所示,厚沉积层906与具有锥形轮廓的特征掩模图案904中的狭窄间隔CD联合而导致层906的合并(merging)。层906的合并可能发生于特征904之间的密集特征区域中。较厚的保形沉积、以及密集特征区域中的特征904之间的狭窄间隔导致在密集特征区域中的“夹止(pinch-off)”,其中层906在密集特征区域中的厚度大于在孤立特征区域中的厚度。例如,若特征掩模图案904的间隔CD小于5nm、且若层906的厚度大于3nm且保形地沉积于特征掩模图案904之上,则层906的“夹止”或合并发生于密集特征区域中,如图9B所示。即使利用ALD进行沉积,仍可能发生密集特征区域中的CD偏差或CD负载。部分加工的装置结构920表示在沉积室或工具中利用ALD进行沉积之后的装置结构910。
图9C显示了部分加工的装置结构930,其包含衬底902、特征掩模图案904、至少部分位于特征掩模图案904之上的层906、以及在衬底902的孤立特征区域中延伸至第一深度的第一特征908a和在衬底902的密集特征区域中延伸至第二深度的第二特征908b。特征908a、908b可在与沉积室分开的蚀刻室或等离子体室中的蚀刻处理或“穿透(break-through)”之后形成。“穿透”为蚀刻通过衬底902的至少一部分以形成特征908a、908b的各向异性蚀刻。特征908a、908b可由在特征掩模图案904下方的多个结构909所界定。在蚀刻之后,层906的其中至少一些可保留在特征掩模图案904的侧壁上。各向异性蚀刻可移除在孤立特征区域中衬底902表面处的特征904左侧的层906的部分,且可移除在孤立特征区域中的衬底902的部分,从而形成第一特征908a。各向异性蚀刻也可移除在密集特征区域中衬底902表面处的特征904之间的层906的部分,且可移除在密集特征区域中的衬底902的部分,从而形成第二特征908b。第一特征908a在孤立特征区域中延伸至第一深度,而第二特征908b在密集特征区域中延伸至第二深度,其中第一深度大于第二深度。由于密集特征区域中的“穿透”蚀刻通过较厚的层906的量,因此第二深度比第一深度浅。因此,深度负载发生于孤立特征区域与密集特征区域之间。部分加工的装置结构930表示在等离子体室或蚀刻室中进行蚀刻之后的装置结构920。
图10A显示了部分加工的装置结构1010,其包含衬底1002及特征掩模图案1004。特征掩模图案1004的特征中的每一者可具有锥形轮廓。在图10A中,特征掩模图案1004之间的间隔可界定密集特征区域的至少一部分,且特征掩模图案1004左侧的间隔可界定孤立特征区域的至少一部分。因此,特征掩模图案1004在特征之间可具有不同的节距。在一些实施方案中,可在蚀刻操作之后图案化及界定特征掩模图案1004。在一些实施方案中,特征掩模图案1004可包含硬掩模。特征掩模图案1004可具有相同或实质上相似的CD,如图10A所示。
图10B显示了部分加工的装置结构1020,其包含衬底1002以及特征掩模图案1004,其中特征掩模图案1004的锥形轮廓通过蚀刻而部分地修正或变直。在蚀刻之前,在如图10B所示的一些实施方案中,可在沉积之前执行蚀刻操作。各向异性蚀刻可移除特征掩模图案1004的边缘以使掩模轮廓变直,并使后续沉积的任何“夹止”或合并的可能性降低。各向异性蚀刻还可移除在孤立特征区域中与密集特征区域中的衬底1002的至少一部分。蚀刻操作在孤立特征区域中形成第一浅特征1008a,且在密集特征区域中形成第二浅特征1008b,其中浅特征1008a、1008b中的每一者的深度是相同或实质上相似的。相对于浅特征1008a、1008b的深度,在此公开内容各处的“实质上相似”是指与既定值相差在正或负5%内的值。可在也用于后续沉积操作的等离子体室中执行蚀刻操作。部分加工的装置结构1020表示在等离子体室中进行蚀刻以修正特征掩模图案1004之后的装置结构1010。
图10C显示了部分加工的装置结构1030,其包含衬底1002、具有变直轮廓的特征掩模图案1004、以及保形地沉积于特征掩模图案1004之上的层1006。可在等离子体室中利用如上所述的原位ALD以保形地沉积所沉积的层1006。在一些实施方案中,层1006可为钝化层。钝化层可包含氧化物和/或氮化物。特别是因为该沉积是在与后续蚀刻处理相同的室中执行,因此层1006的沉积可导致相对薄的层。例如,层1006可具有等于或小于约3nm、或介于约0.3nm至约2nm之间的厚度。可通过以下方式而达到层1006的期望厚度:在等离子体室中于沉积-蚀刻操作之间依序循环而无需在不同室或工具之间传送。此外,可通过执行图10B中的各向异性蚀刻以使特征1004之间的间隔、或特征掩模图案1004之间的间隔CD加宽。如图10C所示,层1006沿着特征掩模图案1004的侧壁及表面的厚度是相对均匀的。部分由于加宽的间隔CD、变直的掩模轮廓、以及利用原位ALD沉积于特征掩模图案1004之上的薄沉积层1006,因此层1006避免了密集特征区域中的“夹止”及合并。可以循环的方式重复ALD沉积及各向异性蚀刻步骤,以在无夹止或深度负载的情况下实现最终CD目标。CD偏差或CD负载在密集特征区域与孤立特征区域中是相同或实质上相似的。针对在密集特征区域与孤立特征区域中的层1006的CD偏差,在此公开内容各处的“实质上相似”是指与既定值相差0.3nm内的值。保形沉积层1006的厚度可用于控制待形成于衬底1002中的后续特征的CD。部分加工的装置结构1030表示在等离子体室中利用ALD进行沉积之后的装置结构1020。
图10D显示了部分加工的装置结构1040,其包含衬底1002、具有变直轮廓的特征掩模图案1004、保形地沉积于特征掩模图案1004之上的层1006、及在衬底1002的孤立特征区域中延伸至第一深度的第一特征1018a、与在衬底1002的密集特征区域中延伸至第二深度的第二特征1018b。特征1018a、1018b可在等离子体室中的蚀刻处理或“穿透”之后形成。“穿透”为蚀刻通过衬底1002的至少一部分以形成特征1018a、1018b的各向异性蚀刻。特征1018a、1018b可由在特征掩模图案1004下方的多个结构1009所界定。在蚀刻之后,层1006的其中至少一些可保留在特征掩模图案1004的侧壁上。各向异性蚀刻可移除在密集特征区域及孤立特征区域中的衬底1002的部分。第一特征1018a在孤立特征区域中延伸至第一深度,而第二特征1018b在密集特征区域中延伸至第二深度,其中第一深度与第二深度之间的深度变化是相同或实质上相似的。相对于在密集特征区域及孤立特征区域中的特征1018a、1018b之间的深度变化或深度负载,在此公开内容各处的“实质上相似”是指与既定值相差在正或负5%内的值。在图10D中执行的各向异性蚀刻之后,可在等离子体室中重复额外的ALD及蚀刻操作的循环,直到达到特征1018a、1018b的期望CD为止。这容许微调特征1018a、1018b的CD。可在孤立特征区域与密集特征区域之间的深度负载最小的情况下重复额外的ALD及蚀刻操作的循环。部分加工的装置结构1040表示在等离子体室中进行蚀刻之后的装置结构1030。
结论
虽然上述实施方案为了清楚理解的目的已以一些细节描述,但显然,某些改变和修饰可在所附权利要求的范围内实施。应注意有许多替代方式执行本发明的实施方案的处理、系统、和设备。因此,本发明实施方案应被视为说明性而非限制性的,并且该实施方案不受限于在此给出的细节。

Claims (20)

1.一种方法,其包含:
在等离子体室中蚀刻衬底的第一层以形成特征掩模图案,所述特征掩模图案所具有的宽度小于待由所述特征掩模图案所形成的多个结构的期望宽度;
在所述离子体室中通过原子层沉积(ALD)以在所述特征掩模图案上沉积第一钝化层,所述第一钝化层被沉积以具有使所述特征掩模图案的宽度增加至所述期望宽度的厚度;以及
在所述离子体室中蚀刻所述衬底的第二层以形成具有所述期望宽度的所述多个结构。
2.根据权利要求1所述的方法,其中所述特征掩模图案包含在孤立特征区域中的一个或多个孤立特征以及在密集特征区域中的一个或多个密集特征,所述密集特征区域具有比所述孤立特征区域大的特征密度。
3.根据权利要求2所述的方法,其中,在沉积所述第一钝化层之后,关键尺寸(CD)增益在所述孤立特征区域中的所述一个或多个孤立特征与所述密集特征区域中的所述一个或多个密集特征之间是相同或实质上相似的。
4.根据权利要求2所述的方法,其中,在蚀刻所述衬底的所述第二层之后,深度变化在所述孤立特征区域与所述密集特征区域之间是相同或实质上相似的。
5.根据权利要求2所述的方法,其还包含:
在所述离子体室中重复通过ALD沉积所述第二层和蚀刻所述第二层的操作,其中,在重复通过ALD沉积所述第二层和蚀刻所述第二层的操作之后,CD增益在所述孤立特征区域中的孤立特征与所述密集特征区域中的密集特征之间是相同或实质上相似的。
6.根据权利要求2所述的方法,其中,在沉积所述第一钝化层之前,所述特征掩模图案的CD在所述一个或多个孤立特征与所述一个或多个密集特征之间是相同或实质上相似的。
7.根据权利要求1-6中任一项所述的方法,其中所述多个结构至少界定具有第一深宽比的第一特征以及具有第二深宽比的第二特征,所述第二深宽比不同于所述第一深宽比,其中,在蚀刻所述衬底的所述第二层之后,CD增益在所述第一特征与所述第二特征之间是相同或实质上相似的。
8.根据权利要求1-6中任一项所述的方法,其中所述特征掩模图案包含具有第一材料的一个或多个第一特征以及具有第二材料的一个或多个第二特征,所述第二材料不同于所述第一材料,其中,在沉积所述第一钝化层之后,CD增益在所述一个或多个第一特征与所述一个或多个第二特征之间是相同或实质上相似的。
9.根据权利要求8所述的方法,其还包含:
在所述离子体室中重复通过ALD沉积所述第二层和蚀刻所述第二层的操作,其中,在重复通过ALD沉积所述第二层和蚀刻所述第二层的操作之后,CD增益在所述一个或多个第一特征与所述一个或多个第二特征之间是相同或实质上相似的。
10.根据权利要求8所述的方法,其中所述第一材料包含硅,且所述第二材料包含硅-锗或锗。
11.根据权利要求1-6中任一项所述的方法,其中,在操作之间没有导致真空破坏的情况下执行在所述离子体室中通过ALD沉积所述第二层和蚀刻所述第二层的操作。
12.根据权利要求1-6中任一项所述的方法,其中所述期望宽度对应于所述多个结构的期望关键尺寸。
13.根据权利要求1-6中任一项所述的方法,其中所述多个结构的关键尺寸等于或小于约20nm。
14.根据权利要求1-6中任一项所述的方法,其中所述第一钝化层的厚度是介于约0.5nm至约3nm之间。
15.根据权利要求1-6中任一项所述的方法,其中所述特征掩模图案的特征中的一或多者具有锥形轮廓,且其中所述方法还包含:在通过ALD以沉积所述第一钝化层之前,各向异性地蚀刻所述特征掩模图案的至少一部分,以修正所述锥形轮廓。
16.根据权利要求1-6中任一项所述的方法,其中所述特征掩模图案的间隔CD等于或小于约5nm。
17.根据权利要求1-6中任一项所述的方法,其还包含:
在蚀刻所述衬底的所述第二层之后,在所述离子体室中通过ALD以在所述多个结构上沉积第二钝化层,所述第二钝化层被沉积为具有对应于期望CD增益的厚度。
18.根据权利要求1-6中任一项所述的方法,其中所述第一钝化层包含硅氧化物(SiOx)。
19.根据权利要求1-6中任一项所述的方法,其中蚀刻所述衬底的所述第二层将所述第二层蚀刻至小于最终期望深度的深度。
20.根据权利要求1-6中任一项所述的方法,其中通过ALD以沉积所述第一钝化层包含:
将前体导入所述离子体室中以吸附于所述特征掩模图案上,
利用等离子体使所述前体转化以形成吸附受限量的所述第一钝化层,以及
重复将所述前体导入及使所述前体转化的操作,直到在所述特征掩模图案上沉积所述厚度的所述第一钝化层为止。
CN201880087023.7A 2017-11-21 2018-11-13 针对关键尺寸控制在单一等离子体室中的原子层沉积和蚀刻 Pending CN111615742A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/820,108 US10734238B2 (en) 2017-11-21 2017-11-21 Atomic layer deposition and etch in a single plasma chamber for critical dimension control
US15/820,108 2017-11-21
PCT/US2018/060732 WO2019103876A1 (en) 2017-11-21 2018-11-13 Atomic layer deposition and etch in a single plasma chamber for critical dimension control

Publications (1)

Publication Number Publication Date
CN111615742A true CN111615742A (zh) 2020-09-01

Family

ID=66534537

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880087023.7A Pending CN111615742A (zh) 2017-11-21 2018-11-13 针对关键尺寸控制在单一等离子体室中的原子层沉积和蚀刻

Country Status (6)

Country Link
US (2) US10734238B2 (zh)
JP (2) JP7097983B2 (zh)
KR (2) KR20220039850A (zh)
CN (1) CN111615742A (zh)
TW (2) TW202301469A (zh)
WO (1) WO2019103876A1 (zh)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109524302B (zh) * 2017-09-20 2020-12-15 华邦电子股份有限公司 半导体组件及其制造方法
US10727045B2 (en) * 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US10734238B2 (en) * 2017-11-21 2020-08-04 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for critical dimension control
US10515815B2 (en) 2017-11-21 2019-12-24 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for fin field effect transistor formation
US10734228B2 (en) * 2017-12-19 2020-08-04 Tokyo Electron Limited Manufacturing methods to apply stress engineering to self-aligned multi-patterning (SAMP) processes
JP7427591B2 (ja) 2017-12-22 2024-02-05 ウォッチアウトコープ・ソシエテ・アノニム 二つの構造を持つ3次元目標、光学計測装置及びそのような目標を使う方法
US11127599B2 (en) * 2018-01-12 2021-09-21 Applied Materials, Inc. Methods for etching a hardmask layer
US10446394B2 (en) 2018-01-26 2019-10-15 Lam Research Corporation Spacer profile control using atomic layer deposition in a multiple patterning process
US10566194B2 (en) * 2018-05-07 2020-02-18 Lam Research Corporation Selective deposition of etch-stop layer for enhanced patterning
JP2020122740A (ja) * 2019-01-31 2020-08-13 セイコーエプソン株式会社 構造体形成方法およびデバイス
KR20210056778A (ko) 2019-11-11 2021-05-20 삼성전자주식회사 집적회로 소자 및 그 제조 방법
CN113707659B (zh) * 2020-05-22 2023-12-12 长鑫存储技术有限公司 半导体器件中孔、半导体器件的制备方法及半导体器件
EP3958293A4 (en) * 2020-05-22 2022-12-28 Changxin Memory Technologies, Inc. SEMICONDUCTOR DEVICE HOLES, SEMICONDUCTOR DEVICE PREPARATION METHOD, AND SEMICONDUCTOR DEVICE
US11264281B2 (en) 2020-07-09 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with reduced loading effect
US20220301887A1 (en) * 2021-03-16 2022-09-22 Applied Materials, Inc. Ruthenium etching process

Family Cites Families (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3472397B2 (ja) * 1994-11-01 2003-12-02 松下電器産業株式会社 ドライエッチング方法
US7758794B2 (en) 2001-10-29 2010-07-20 Princeton University Method of making an article comprising nanoscale patterns with reduced edge roughness
JP4066517B2 (ja) 1998-06-17 2008-03-26 ソニー株式会社 電子装置の製造方法
KR100767762B1 (ko) 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US20030010354A1 (en) 2000-03-27 2003-01-16 Applied Materials, Inc. Fluorine process for cleaning semiconductor process chamber
US7250371B2 (en) 2003-08-26 2007-07-31 Lam Research Corporation Reduction of feature critical dimensions
US20060134917A1 (en) 2004-12-16 2006-06-22 Lam Research Corporation Reduction of etch mask feature critical dimensions
US7491647B2 (en) * 2005-03-08 2009-02-17 Lam Research Corporation Etch with striation control
US7253118B2 (en) * 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7645707B2 (en) 2005-03-30 2010-01-12 Lam Research Corporation Etch profile control
US7271108B2 (en) 2005-06-28 2007-09-18 Lam Research Corporation Multiple mask process with etch mask stack
US7459363B2 (en) 2006-02-22 2008-12-02 Micron Technology, Inc. Line edge roughness reduction
WO2009085597A2 (en) * 2007-12-21 2009-07-09 Lam Research Corporation Cd bias loading control with arc layer open
US8029688B2 (en) 2008-01-07 2011-10-04 Samsung Electronics Co., Ltd. Method of fine patterning semiconductor device
US7998872B2 (en) 2008-02-06 2011-08-16 Tokyo Electron Limited Method for etching a silicon-containing ARC layer to reduce roughness and CD
JP5223364B2 (ja) 2008-02-07 2013-06-26 東京エレクトロン株式会社 プラズマエッチング方法及び記憶媒体
JP5254049B2 (ja) 2008-02-15 2013-08-07 東京エレクトロン株式会社 パターン形成方法及び半導体装置の製造方法
US8866254B2 (en) 2008-02-19 2014-10-21 Micron Technology, Inc. Devices including fin transistors robust to gate shorts and methods of making the same
JP4972594B2 (ja) 2008-03-26 2012-07-11 東京エレクトロン株式会社 エッチング方法及び半導体デバイスの製造方法
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
WO2009150870A1 (ja) 2008-06-13 2009-12-17 東京エレクトロン株式会社 半導体装置の製造方法
KR100992653B1 (ko) * 2008-10-13 2010-11-05 주식회사 동부하이텍 원자층 증착방식을 이용한 미세홀 패턴 형성방법
JP5386962B2 (ja) 2008-12-12 2014-01-15 三菱電機株式会社 エッチング方法およびエッチング方法を用いた半導体装置の製造方法
KR101532012B1 (ko) * 2008-12-24 2015-06-30 삼성전자주식회사 반도체 소자 및 반도체 소자의 패턴 형성 방법
US8298949B2 (en) 2009-01-07 2012-10-30 Lam Research Corporation Profile and CD uniformity control by plasma oxidation treatment
EP2306497B1 (en) 2009-10-02 2012-06-06 Imec Method for manufacturing a low defect interface between a dielectric and a III/V compound
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8608852B2 (en) 2010-06-11 2013-12-17 Applied Materials, Inc. Temperature controlled plasma processing chamber component with zone dependent thermal efficiencies
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
US8334083B2 (en) 2011-03-22 2012-12-18 Tokyo Electron Limited Etch process for controlling pattern CD and integrity in multi-layer masks
EP2694700B1 (en) 2011-04-07 2016-11-16 Picosun Oy Atomic layer deposition with plasma source
US8298951B1 (en) 2011-04-13 2012-10-30 Asm Japan K.K. Footing reduction using etch-selective layer
US20130189845A1 (en) 2012-01-19 2013-07-25 Applied Materials, Inc. Conformal amorphous carbon for spacer and spacer protection applications
US8716149B2 (en) 2012-05-29 2014-05-06 GlobalFoundries, Inc. Methods for fabricating integrated circuits having improved spacers
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
CN103839781B (zh) 2012-11-21 2016-05-25 中芯国际集成电路制造(上海)有限公司 半导体精细图案的形成方法
JP2014107520A (ja) * 2012-11-30 2014-06-09 Hitachi High-Technologies Corp プラズマエッチング方法
US8815685B2 (en) 2013-01-31 2014-08-26 GlobalFoundries, Inc. Methods for fabricating integrated circuits having confined epitaxial growth regions
US9184233B2 (en) 2013-02-27 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for defect passivation to reduce junction leakage for finFET device
US9412871B2 (en) 2013-03-08 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with channel backside passivation layer device and method
JP6095528B2 (ja) * 2013-09-04 2017-03-15 株式会社日立ハイテクノロジーズ プラズマ処理方法
US9287262B2 (en) 2013-10-10 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Passivated and faceted for fin field effect transistor
KR101674972B1 (ko) * 2013-12-26 2016-11-10 한국과학기술원 나노 스케일 패터닝 방법 및 이로부터 제조된 전자기기용 집적소자
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9899234B2 (en) * 2014-06-30 2018-02-20 Lam Research Corporation Liner and barrier applications for subtractive metal integration
JP6334296B2 (ja) * 2014-07-04 2018-05-30 株式会社日立ハイテクノロジーズ プラズマ処理方法
KR102269055B1 (ko) * 2014-07-16 2021-06-28 삼성전자주식회사 반도체 소자의 제조 방법
CN105470132B (zh) 2014-09-03 2018-08-10 中芯国际集成电路制造(上海)有限公司 鳍式场效应管的形成方法
US9818633B2 (en) 2014-10-17 2017-11-14 Lam Research Corporation Equipment front end module for transferring wafers and method of transferring wafers
US9659929B2 (en) 2014-10-31 2017-05-23 Infineon Technologies Dresden Gmbh Semiconductor device with enhancement and depletion FinFET cells
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9991132B2 (en) 2015-04-17 2018-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Lithographic technique incorporating varied pattern materials
US9806252B2 (en) * 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US9653571B2 (en) 2015-06-15 2017-05-16 International Business Machines Corporation Freestanding spacer having sub-lithographic lateral dimension and method of forming same
US9922839B2 (en) 2015-06-23 2018-03-20 Lam Research Corporation Low roughness EUV lithography
JP6468955B2 (ja) * 2015-06-23 2019-02-13 東京エレクトロン株式会社 シリコン含有膜の成膜方法及び成膜装置
US20170053793A1 (en) 2015-08-17 2017-02-23 Tokyo Electron Limited Method and system for sculpting spacer sidewall mask
US9543148B1 (en) 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
CN108076667A (zh) 2015-09-18 2018-05-25 英特尔公司 非平面晶体管界面的基于氘的钝化
WO2017132381A1 (en) 2016-01-29 2017-08-03 Tokyo Electron Limited Method and system for forming memory fin patterns
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
KR102452999B1 (ko) * 2016-05-03 2022-10-07 삼성전자주식회사 반도체 장치 제조 방법
US9997631B2 (en) 2016-06-03 2018-06-12 Taiwan Semiconductor Manufacturing Company Methods for reducing contact resistance in semiconductors manufacturing process
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10483169B2 (en) * 2016-09-29 2019-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET cut-last process using oxide trench fill
US10546748B2 (en) 2017-02-17 2020-01-28 Lam Research Corporation Tin oxide films in semiconductor device manufacturing
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
US10943830B2 (en) * 2017-08-30 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned structure for semiconductor devices
US10319588B2 (en) * 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10553495B2 (en) * 2017-10-19 2020-02-04 International Business Machines Corporation Nanosheet transistors with different gate dielectrics and workfunction metals
US10770354B2 (en) * 2017-11-15 2020-09-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming integrated circuit with low-k sidewall spacers for gate stacks
US10515815B2 (en) 2017-11-21 2019-12-24 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for fin field effect transistor formation
US10734238B2 (en) 2017-11-21 2020-08-04 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for critical dimension control
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US10446394B2 (en) 2018-01-26 2019-10-15 Lam Research Corporation Spacer profile control using atomic layer deposition in a multiple patterning process

Also Published As

Publication number Publication date
WO2019103876A1 (en) 2019-05-31
TW202301469A (zh) 2023-01-01
TWI779131B (zh) 2022-10-01
US20190157095A1 (en) 2019-05-23
KR20200079344A (ko) 2020-07-02
JP2021504972A (ja) 2021-02-15
JP7097983B2 (ja) 2022-07-08
TW201936965A (zh) 2019-09-16
US11211253B2 (en) 2021-12-28
KR20220039850A (ko) 2022-03-29
KR102377966B1 (ko) 2022-03-22
JP7246547B2 (ja) 2023-03-27
US10734238B2 (en) 2020-08-04
US20200328087A1 (en) 2020-10-15
JP2022092006A (ja) 2022-06-21

Similar Documents

Publication Publication Date Title
KR102377966B1 (ko) Cd (critical dimension) 제어를 위한 단일 플라즈마 챔버에서 ald (atomic layer deposition) 및 에칭
US11170997B2 (en) Atomic layer deposition and etch for reducing roughness
KR102627546B1 (ko) 이방성 텅스텐 에칭을 위한 방법 및 장치
US9362133B2 (en) Method for forming a mask by etching conformal film on patterned ashable hardmask
KR20170093718A (ko) 연속적인 플라즈마의 원자층 에칭
KR102660290B1 (ko) 다중 패터닝 프로세스에서 원자 층 증착을 사용한 스페이서 프로파일 제어
CN110998790A (zh) 在水平表面上的选择性沉积SiN
TWI773850B (zh) 用於形成鰭式場效電晶體的單電漿室中之原子層沉積及蝕刻
CN112640064A (zh) 用于高深宽比蚀刻的含金属钝化
WO2020190941A1 (en) Reducing roughness of extreme ultraviolet lithography resists
TWI837105B (zh) 用於降低粗糙度的原子層沉積和蝕刻
KR20210134431A (ko) 에칭 정지 층
WO2020028119A1 (en) Non-selective and selective etching through alternating layers of materials

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination