JP7097983B2 - 単一プラズマチャンバにおける、限界寸法制御のための原子層堆積及びエッチング - Google Patents
単一プラズマチャンバにおける、限界寸法制御のための原子層堆積及びエッチング Download PDFInfo
- Publication number
- JP7097983B2 JP7097983B2 JP2020545226A JP2020545226A JP7097983B2 JP 7097983 B2 JP7097983 B2 JP 7097983B2 JP 2020545226 A JP2020545226 A JP 2020545226A JP 2020545226 A JP2020545226 A JP 2020545226A JP 7097983 B2 JP7097983 B2 JP 7097983B2
- Authority
- JP
- Japan
- Prior art keywords
- feature
- layer
- etching
- features
- substrate
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 238000005530 etching Methods 0.000 title claims description 218
- 238000000231 atomic layer deposition Methods 0.000 title claims description 134
- 238000000034 method Methods 0.000 claims description 196
- 239000000758 substrate Substances 0.000 claims description 181
- 239000000463 material Substances 0.000 claims description 125
- 238000000151 deposition Methods 0.000 claims description 111
- 230000008021 deposition Effects 0.000 claims description 69
- 230000000415 inactivating effect Effects 0.000 claims description 58
- 239000002243 precursor Substances 0.000 claims description 36
- 229920002120 photoresistant polymer Polymers 0.000 claims description 12
- 229910052710 silicon Inorganic materials 0.000 claims description 11
- 239000010703 silicon Substances 0.000 claims description 11
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 9
- 238000001179 sorption measurement Methods 0.000 claims description 7
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 6
- 229910000577 Silicon-germanium Inorganic materials 0.000 claims description 5
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 claims description 5
- 229910052732 germanium Inorganic materials 0.000 claims description 5
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 claims description 5
- 230000008859 change Effects 0.000 claims description 3
- 239000010410 layer Substances 0.000 description 214
- 238000012545 processing Methods 0.000 description 48
- 239000007789 gas Substances 0.000 description 44
- 238000000059 patterning Methods 0.000 description 37
- 230000008569 process Effects 0.000 description 25
- 238000011065 in-situ storage Methods 0.000 description 22
- 239000011295 pitch Substances 0.000 description 21
- 239000004065 semiconductor Substances 0.000 description 20
- 125000006850 spacer group Chemical group 0.000 description 19
- 238000004519 manufacturing process Methods 0.000 description 16
- 230000002779 inactivation Effects 0.000 description 14
- 238000012546 transfer Methods 0.000 description 14
- 235000012431 wafers Nutrition 0.000 description 12
- 238000010586 diagram Methods 0.000 description 11
- 239000000376 reactant Substances 0.000 description 11
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 10
- 238000004140 cleaning Methods 0.000 description 10
- 239000011162 core material Substances 0.000 description 10
- 238000006243 chemical reaction Methods 0.000 description 9
- 238000005229 chemical vapour deposition Methods 0.000 description 7
- 230000009849 deactivation Effects 0.000 description 7
- 238000001020 plasma etching Methods 0.000 description 7
- 230000000694 effects Effects 0.000 description 6
- 239000012528 membrane Substances 0.000 description 6
- 150000004767 nitrides Chemical class 0.000 description 6
- 239000012495 reaction gas Substances 0.000 description 6
- 230000001419 dependent effect Effects 0.000 description 5
- 239000012705 liquid precursor Substances 0.000 description 5
- 239000000126 substance Substances 0.000 description 5
- 229910052581 Si3N4 Inorganic materials 0.000 description 4
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 4
- 239000006227 byproduct Substances 0.000 description 4
- 239000000203 mixture Substances 0.000 description 4
- 239000001301 oxygen Substances 0.000 description 4
- 229910052760 oxygen Inorganic materials 0.000 description 4
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 4
- 230000009471 action Effects 0.000 description 3
- 230000000873 masking effect Effects 0.000 description 3
- 229910052751 metal Inorganic materials 0.000 description 3
- 239000002184 metal Substances 0.000 description 3
- 230000003287 optical effect Effects 0.000 description 3
- 230000003647 oxidation Effects 0.000 description 3
- 238000007254 oxidation reaction Methods 0.000 description 3
- 238000011084 recovery Methods 0.000 description 3
- 238000007790 scraping Methods 0.000 description 3
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 3
- 239000007787 solid Substances 0.000 description 3
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 2
- 229910004298 SiO 2 Inorganic materials 0.000 description 2
- 230000002411 adverse Effects 0.000 description 2
- 229910003481 amorphous carbon Inorganic materials 0.000 description 2
- 229910021417 amorphous silicon Inorganic materials 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- 229910052799 carbon Inorganic materials 0.000 description 2
- 239000012159 carrier gas Substances 0.000 description 2
- 239000000460 chlorine Substances 0.000 description 2
- 238000005137 deposition process Methods 0.000 description 2
- 239000003989 dielectric material Substances 0.000 description 2
- 230000005672 electromagnetic field Effects 0.000 description 2
- 230000003628 erosive effect Effects 0.000 description 2
- 150000002500 ions Chemical class 0.000 description 2
- 230000000670 limiting effect Effects 0.000 description 2
- 239000007788 liquid Substances 0.000 description 2
- 238000001459 lithography Methods 0.000 description 2
- 238000005121 nitriding Methods 0.000 description 2
- 230000036961 partial effect Effects 0.000 description 2
- 239000002245 particle Substances 0.000 description 2
- 238000011112 process operation Methods 0.000 description 2
- 230000000717 retained effect Effects 0.000 description 2
- 235000012239 silicon dioxide Nutrition 0.000 description 2
- KXGFMDJXCMQABM-UHFFFAOYSA-N 2-methoxy-6-methylphenol Chemical compound [CH]OC1=CC=CC([CH])=C1O KXGFMDJXCMQABM-UHFFFAOYSA-N 0.000 description 1
- DKCRDQKHMMPWPG-UHFFFAOYSA-N 3-methylpiperidine-2,6-dione Chemical compound CC1CCC(=O)NC1=O DKCRDQKHMMPWPG-UHFFFAOYSA-N 0.000 description 1
- 239000004215 Carbon black (E152) Substances 0.000 description 1
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 1
- 206010015535 Euphoric mood Diseases 0.000 description 1
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 1
- CPELXLSAUQHCOX-UHFFFAOYSA-N Hydrogen bromide Chemical compound Br CPELXLSAUQHCOX-UHFFFAOYSA-N 0.000 description 1
- 229910018503 SF6 Inorganic materials 0.000 description 1
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- 239000002253 acid Substances 0.000 description 1
- 230000003213 activating effect Effects 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- 230000015556 catabolic process Effects 0.000 description 1
- 238000005234 chemical deposition Methods 0.000 description 1
- 239000003795 chemical substances by application Substances 0.000 description 1
- 229910052801 chlorine Inorganic materials 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 230000007613 environmental effect Effects 0.000 description 1
- 230000002743 euphoric effect Effects 0.000 description 1
- 230000005496 eutectics Effects 0.000 description 1
- 239000012530 fluid Substances 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- 229910052734 helium Inorganic materials 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 229930195733 hydrocarbon Natural products 0.000 description 1
- 150000002430 hydrocarbons Chemical class 0.000 description 1
- 238000007654 immersion Methods 0.000 description 1
- 238000009616 inductively coupled plasma Methods 0.000 description 1
- 238000002347 injection Methods 0.000 description 1
- 239000007924 injection Substances 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 238000002156 mixing Methods 0.000 description 1
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 1
- -1 oxides Substances 0.000 description 1
- 229920001568 phenolic resin Polymers 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 238000005289 physical deposition Methods 0.000 description 1
- 238000005240 physical vapour deposition Methods 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 229920003229 poly(methyl methacrylate) Polymers 0.000 description 1
- 239000004926 polymethyl methacrylate Substances 0.000 description 1
- 239000010453 quartz Substances 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 230000002829 reductive effect Effects 0.000 description 1
- 238000011160 research Methods 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 239000002210 silicon-based material Substances 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- SFZCNBIFKDRMGX-UHFFFAOYSA-N sulfur hexafluoride Chemical compound FS(F)(F)(F)(F)F SFZCNBIFKDRMGX-UHFFFAOYSA-N 0.000 description 1
- 229960000909 sulfur hexafluoride Drugs 0.000 description 1
- 230000008685 targeting Effects 0.000 description 1
- 238000011144 upstream manufacturing Methods 0.000 description 1
- 238000005406 washing Methods 0.000 description 1
- 238000004804 winding Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
- H01L21/30655—Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/04—Coating on selected surface areas, e.g. using masks
- C23C16/045—Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/40—Oxides
- C23C16/401—Oxides containing silicon
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45536—Use of plasma, radiation or electromagnetic fields
- C23C16/45542—Plasma being used non-continuously during the ALD reactions
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/56—After-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02164—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/0217—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02172—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
- H01L21/02175—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
- H01L21/02186—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/0228—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0337—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/308—Chemical or electrical treatment, e.g. electrolytic etching using masks
- H01L21/3083—Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/3086—Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Plasma & Fusion (AREA)
- Inorganic Chemistry (AREA)
- Electromagnetism (AREA)
- Drying Of Semiconductors (AREA)
- Chemical Vapour Deposition (AREA)
- Superconductors And Manufacturing Methods Therefor (AREA)
Description
本出願は、米国特許出願第15/820,108号、2017年11月21日出願、名称「ATOMIC LAYER DEPOSITION AND ETCH IN A SINGLE PLASMA CHAMBER FOR CRITICAL DIMENSION CONTROL」に対する優先権の利益を主張するものであり、その全体が全ての目的で参照により本明細書に組み込まれる。
以下の説明では、多数の特定の詳細を示し、本実施形態に対する完全な理解を提供する。開示する実施形態は、これら特定の詳細の一部又は全てを伴わずに実行してよい。他の例では、周知の工程動作は、開示する実施形態を不必要に曖昧にしないように、詳細に説明していない。開示する実施形態は、特定の実施形態と共に説明するが、開示する実施形態を限定する意図ではないことは理解されよう。
フィーチャのサイズが縮小し、ピッチがより小さくなり、相補型金属酸化物半導体(CMOS)技術のスケールがより小さなノードになるにつれて、薄型共形堆積技法は、重要性を増し続けている。原子層堆積法(ALD)は、膜形成技法であり、ALDが単一薄型材料層を堆積するため、薄型共形膜の堆積にかなり適している。薄型共形膜の厚さは、膜形成化学反応自体の前に、基板表面上に吸着し得る1つ又は複数の前駆体反応物の量によって制限される(即ち、吸着制限層)。ALDによって形成される各層は、薄く、共形であり、得られる膜は、下にあるデバイス構造体及びフィーチャの形状に実質的に適合する。
デバイス・フィーチャが縮小し、半導体デバイスのピッチが小さくなるにつれて、基板フィーチャの限界寸法(CD)を制御し、微調整することがより重要になっている。CDは、「最小フィーチャ・サイズ」又は「標的設定基準」とも呼ばれる。いくつかの実装形態では、CDは、最小形状フィーチャのサイズ(例えば、相互接続線、接点、トレンチ等の幅)を指してよい。いくつかの実装形態では、CDは、パターニング工程を達成し得る最も狭い幅を指してよい。
本開示の実装形態は、原位置でのALD及びエッチングを使用するCD制御方法に関する。特に、ALDステップ及びエッチング・ステップのサイクルは、同じ処理チャンバ又はツールで実施される。いくつかの実装形態では、ALDステップ及びエッチング・ステップのサイクルは、図1で説明したプラズマ処理装置内で実施される。エッチングと同じプラズマ処理装置内で実施されるALDのサイクルは、基板の1つ又は複数のフィーチャのCDの制御に使用される共形の堆積をもたらす。
上記の実施形態は、理解を明快にする目的である程度詳細に説明してきたが、特定の変更及び修正を添付の特許請求の範囲内で行ってよいことは明らかであろう。本実施形態の方法、システム及び装置を実施する多くの代替様式があることに留意されたい。したがって、本実施形態は、限定的ではなく、例示的とみなすべきであり、実施形態は、本明細書で示す詳細に限定すべきではない。本開示は以下の適用例としても実現できる。
[適用例1]
方法であって、
プラズマチャンバにおいて、フィーチャのマスクパターンを形成するため、基板の第1の層をエッチングすることであって、前記フィーチャのマスクパターンは、前記フィーチャのマスクパターンによって形成される複数の構造体の所望の幅よりも小さい幅を有する、エッチングすることと、
前記プラズマチャンバにおいて、原子層堆積法(ALD)によって前記フィーチャのマスクパターン上に第1の不活性化層を堆積することであって、前記第1の不活性化層は、前記フィーチャのマスクパターンの幅を所望の幅に増大させる厚さで堆積される、堆積することと、
前記プラズマチャンバにおいて、前記所望の幅を有する前記複数の構造体を形成するため、前記基板の第2の層をエッチングすることと
を含む方法。
[適用例2]
適用例1に記載の方法であって、前記フィーチャのマスクパターンは、孤立フィーチャ領域内の1つ又は複数の孤立フィーチャと、前記孤立フィーチャ領域よりも大きなフィーチャ密度を有する高密度フィーチャ領域内の1つ又は複数の高密度フィーチャとを含む、方法。
[適用例3]
適用例2に記載の方法であって、前記孤立フィーチャ領域内の前記1つ又は複数の孤立フィーチャと、前記高密度フィーチャ領域内の前記1つ又は複数の高密度フィーチャとの間の限界寸法(CD)ゲインは、前記第1の不活性化層を堆積した後、同じであるか又は実質的に同様である、方法。
[適用例4]
適用例2に記載の方法であって、前記孤立フィーチャと前記高密度フィーチャとの間の深さの変化は、前記基板の前記第2の層をエッチングした後、同じであるか又は実質的に同様である、方法。
[適用例5]
適用例2に記載の方法であって、
前記プラズマチャンバにおいて前記第2の層をALDによって堆積し、エッチングする動作を繰り返すこと
を更に含み、前記孤立フィーチャ領域内の孤立フィーチャと前記高密度フィーチャ領域内の高密度フィーチャとの間のCDゲインは、前記第2の層に対するALDによる堆積及びエッチングの繰り返し動作の後、同じであるか又は実質的に同様である、方法。
[適用例6]
適用例2に記載の方法であって、前記1つ又は複数の孤立フィーチャと前記1つ又は複数の高密度フィーチャとの間の前記フィーチャのマスクパターンのCDは、前記第1の不活性化層を堆積する前、同じであるか又は実質的に同様である、方法。
[適用例7]
適用例1~6のいずれか一項に記載の方法であって、前記複数の構造体は、第1の縦横比を有する少なくとも1つの第1のフィーチャ、及び前記第1の縦横比とは異なる第2の縦横比を有する第2のフィーチャを画定し、前記第1のフィーチャと前記第2のフィーチャとの間のCDゲインは、前記基板の前記第2の層をエッチングした後、同じであるか又は実質的に同様である、方法。
[適用例8]
適用例1~6のいずれか一項に記載の方法であって、前記フィーチャのマスクパターンは、第1の材料を有する1つ又は複数の第1のフィーチャ、及び前記第1の材料とは異なる第2の材料を有する1つ又は複数の第2のフィーチャを含み、前記1つ又は複数の第1のフィーチャと前記1つ又は複数の第2のフィーチャとの間のCDゲインは、前記第1の不活性化層を堆積した後、同じであるか又は実質的に同様である、方法。
[適用例9]
適用例8に記載の方法であって、
前記プラズマチャンバにおいて前記第2の層をALDによって堆積し、エッチングする動作を繰り返すこと
を更に含み、前記1つ又は複数の第1のフィーチャと前記1つ又は複数の第2のフィーチャとの間のCDゲインは、前記第2の層に対するALDによる堆積及びエッチングの繰り返し動作の後、同じであるか又は実質的に同様である、方法。
[適用例10]
適用例8に記載の方法であって、前記第1の材料は、シリコンを含み、前記第2の材料は、シリコン-ゲルマニウム又はゲルマニウムを含む、方法。
[適用例11]
適用例1~6のいずれか一項に記載の方法であって、前記プラズマチャンバにおける前記第2の層に対するALDによる堆積動作及びエッチング動作は、動作の間に真空破壊を導入せずに実施される、方法。
[適用例12]
適用例1~6のいずれか一項に記載の方法であって、前記所望の幅は、前記複数の構造体の所望の限界寸法に対応する、方法。
[適用例13]
適用例1~6のいずれか一項に記載の方法であって、前記複数の基板の限界寸法は、約20nm以下である、方法。
[適用例14]
適用例1~6のいずれか一項に記載の方法であって、前記第1の不活性化層の厚さは、約0.5nmから約3nmの間である、方法。
[適用例15]
適用例1~6のいずれか一項に記載の方法であって、前記フィーチャのマスクパターンのフィーチャの1つ又は複数は、先細外形を有し、前記方法は、ALDによって前記第1の不活性化層を堆積する前、前記先細外形を修正するように、前記フィーチャのマスクパターンの少なくとも一部分を異方的にエッチングすることを更に含む、方法。
[適用例16]
適用例1~6のいずれか一項に記載の方法であって、前記フィーチャのマスクパターンの空間CDは、約5nm以下である、方法。
[適用例17]
適用例1~6のいずれか一項に記載の方法であって、
前記プラズマチャンバにおいて、前記基板の前記第2の層をエッチングした後、ALDによって前記複数の構造体上に第2の不活性化層を堆積すること
を更に含み、前記第2の不活性化層は、所望のCDゲインに対応する厚さで堆積される、方法。
[適用例18]
適用例1~6のいずれか一項に記載の方法であって、前記第1の不活性化層は、シリコン酸化物(SiO x )を含む、方法。
[適用例19]
適用例1~6のいずれか一項に記載の方法であって、前記基板の前記第2の層のエッチングは、最終所望深さよりも小さい深さに前記第2の層をエッチングすることである、方法。
[適用例20]
適用例1~6のいずれか一項に記載の方法であって、ALDによる前記第1の不活性化層の堆積は、
前記プラズマチャンバに、前記フィーチャのマスクパターン上に吸着する前駆体を導入することと、
ある吸着制限量の前記第1の不活性化層を形成するため、プラズマにより前記前駆体を変換することと、
前記厚さの前記第1の不活性化層が前記フィーチャのマスクパターン上に堆積されるまで、前記前駆体の導入及び前記前駆体の変換の動作を繰り返すことと
を含む、方法。
Claims (18)
- 方法であって、
プラズマチャンバにおいて、フィーチャのマスクパターンを形成するため、基板の第1の層をエッチングすることであって、前記フィーチャのマスクパターンは、前記フィーチャのマスクパターンによって形成される複数の構造体の所望の幅よりも小さい幅を有し、前記フィーチャのマスクパターンは、孤立フィーチャ領域内の1つ又は複数の孤立フィーチャと、前記孤立フィーチャ領域よりも大きなフィーチャ密度を有する高密度フィーチャ領域内の1つ又は複数の高密度フィーチャとを含む、エッチングすることと、
前記基板の前記第1の層のエッチングと同じ前記プラズマチャンバにおいて、原子層堆積法(ALD)によって前記フィーチャのマスクパターン上に第1の不活性化層を堆積することであって、前記第1の不活性化層は、前記フィーチャのマスクパターンの幅を所望の幅に増大させる厚さで堆積される、堆積することと、
前記基板の前記第1の層のエッチング及び前記第1の不活性化層の堆積と同じ前記プラズマチャンバにおいて、前記所望の幅を有する前記複数の構造体を形成するため、前記基板の第2の層をエッチングすることと、
前記プラズマチャンバにおいて前記第2の層をALDによって堆積し、エッチングする動作を繰り返すこと、を含み、
前記孤立フィーチャ領域内の孤立フィーチャと前記高密度フィーチャ領域内の高密度フィーチャとの間のCDゲインは、前記第2の層に対するALDによる堆積及びエッチングの繰り返し動作の後、同じであるか又は実質的に同様である、
方法。 - 請求項1に記載の方法であって、前記孤立フィーチャ領域内の前記1つ又は複数の孤立フィーチャと、前記高密度フィーチャ領域内の前記1つ又は複数の高密度フィーチャとの間の限界寸法(CD)ゲインは、前記第1の不活性化層を堆積した後、同じであるか又は実質的に同様である、方法。
- 請求項1に記載の方法であって、前記孤立フィーチャと前記高密度フィーチャとの間の深さの変化は、前記基板の前記第2の層をエッチングした後、同じであるか又は実質的に同様である、方法。
- 請求項1に記載の方法であって、前記1つ又は複数の孤立フィーチャと前記1つ又は複数の高密度フィーチャとの間の前記フィーチャのマスクパターンのCDは、前記第1の不活性化層を堆積する前、同じであるか又は実質的に同様である、方法。
- 請求項1に記載の方法であって、前記複数の構造体は、第1の縦横比を有する少なくとも1つの第1のフィーチャ、及び前記第1の縦横比とは異なる第2の縦横比を有する第2のフィーチャを画定し、前記第1のフィーチャと前記第2のフィーチャとの間のCDゲインは、前記基板の前記第2の層をエッチングした後、同じであるか又は実質的に同様である、方法。
- 請求項1に記載の方法であって、前記フィーチャのマスクパターンは、第1の材料を有する1つ又は複数の第1のフィーチャ、及び前記第1の材料とは異なる第2の材料を有する1つ又は複数の第2のフィーチャを含み、前記1つ又は複数の第1のフィーチャと前記1つ又は複数の第2のフィーチャとの間のCDゲインは、前記第1の不活性化層を堆積した後、同じであるか又は実質的に同様である、方法。
- 請求項6に記載の方法であって、
前記同じプラズマチャンバにおいて前記第2の層をALDによって堆積し、エッチングする動作を繰り返すこと
を更に含み、前記1つ又は複数の第1のフィーチャと前記1つ又は複数の第2のフィーチャとの間のCDゲインは、前記第2の層に対するALDによる堆積及びエッチングの繰り返し動作の後、同じであるか又は実質的に同様である、方法。 - 請求項6に記載の方法であって、前記第1の材料は、シリコンを含み、前記第2の材料は、シリコン-ゲルマニウム又はゲルマニウムを含む、方法。
- 請求項1に記載の方法であって、前記プラズマチャンバにおける前記第2の層に対するALDによる堆積動作及びエッチング動作は、動作の間に真空破壊を導入せずに実施される、方法。
- 請求項1に記載の方法であって、前記所望の幅は、前記複数の構造体の所望の限界寸法に対応する、方法。
- 請求項1に記載の方法であって、前記複数の構造体の限界寸法は、約20nm以下である、方法。
- 請求項1に記載の方法であって、前記第1の不活性化層の厚さは、約0.5nmから約3nmの間である、方法。
- 請求項1に記載の方法であって、前記フィーチャのマスクパターンのフィーチャの1つ又は複数は、先細外形を有し、前記方法は、ALDによって前記第1の不活性化層を堆積する前、前記先細外形を修正するように、前記フィーチャのマスクパターンの少なくとも一部分を異方的にエッチングすることを更に含む、方法。
- 請求項1に記載の方法であって、前記フィーチャのマスクパターンの空間CDは、約5nm以下である、方法。
- 請求項1に記載の方法であって、
前記基板の前記第1の層のエッチング及び前記第1の不活性化層の堆積と同じ前記プラズマチャンバにおいて、前記基板の前記第2の層をエッチングした後、ALDによって前記複数の構造体上に第2の不活性化層を堆積すること
を更に含み、前記第2の不活性化層は、所望のCDゲインに対応する厚さで堆積される、方法。 - 請求項1に記載の方法であって、前記第1の不活性化層は、シリコン酸化物を含み、前記フィーチャのマスクパターンは、フォトレジストを含む、方法。
- 請求項1に記載の方法であって、前記基板の前記第2の層のエッチングは、最終所望深さよりも小さい深さに前記第2の層をエッチングすることである、方法。
- 請求項1に記載の方法であって、ALDによる前記第1の不活性化層の堆積は、
前記プラズマチャンバに、前記フィーチャのマスクパターン上に吸着する前駆体を導入することと、
ある吸着制限量の前記第1の不活性化層を形成するため、プラズマにより前記前駆体を変換することと、
前記厚さの前記第1の不活性化層が前記フィーチャのマスクパターン上に堆積されるまで、前記前駆体の導入及び前記前駆体の変換の動作を繰り返すことと
を含む、方法。
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2022064928A JP7246547B2 (ja) | 2017-11-21 | 2022-04-11 | 単一プラズマチャンバにおける、限界寸法制御のための原子層堆積及びエッチング |
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US15/820,108 | 2017-11-21 | ||
US15/820,108 US10734238B2 (en) | 2017-11-21 | 2017-11-21 | Atomic layer deposition and etch in a single plasma chamber for critical dimension control |
PCT/US2018/060732 WO2019103876A1 (en) | 2017-11-21 | 2018-11-13 | Atomic layer deposition and etch in a single plasma chamber for critical dimension control |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2022064928A Division JP7246547B2 (ja) | 2017-11-21 | 2022-04-11 | 単一プラズマチャンバにおける、限界寸法制御のための原子層堆積及びエッチング |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2021504972A JP2021504972A (ja) | 2021-02-15 |
JP7097983B2 true JP7097983B2 (ja) | 2022-07-08 |
Family
ID=66534537
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2020545226A Active JP7097983B2 (ja) | 2017-11-21 | 2018-11-13 | 単一プラズマチャンバにおける、限界寸法制御のための原子層堆積及びエッチング |
JP2022064928A Active JP7246547B2 (ja) | 2017-11-21 | 2022-04-11 | 単一プラズマチャンバにおける、限界寸法制御のための原子層堆積及びエッチング |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2022064928A Active JP7246547B2 (ja) | 2017-11-21 | 2022-04-11 | 単一プラズマチャンバにおける、限界寸法制御のための原子層堆積及びエッチング |
Country Status (6)
Country | Link |
---|---|
US (2) | US10734238B2 (ja) |
JP (2) | JP7097983B2 (ja) |
KR (2) | KR20220039850A (ja) |
CN (1) | CN111615742A (ja) |
TW (2) | TWI779131B (ja) |
WO (1) | WO2019103876A1 (ja) |
Families Citing this family (16)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN109524302B (zh) * | 2017-09-20 | 2020-12-15 | 华邦电子股份有限公司 | 半导体组件及其制造方法 |
US10727045B2 (en) * | 2017-09-29 | 2020-07-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for manufacturing a semiconductor device |
US10515815B2 (en) | 2017-11-21 | 2019-12-24 | Lam Research Corporation | Atomic layer deposition and etch in a single plasma chamber for fin field effect transistor formation |
US10734238B2 (en) | 2017-11-21 | 2020-08-04 | Lam Research Corporation | Atomic layer deposition and etch in a single plasma chamber for critical dimension control |
US10658174B2 (en) | 2017-11-21 | 2020-05-19 | Lam Research Corporation | Atomic layer deposition and etch for reducing roughness |
US10734228B2 (en) * | 2017-12-19 | 2020-08-04 | Tokyo Electron Limited | Manufacturing methods to apply stress engineering to self-aligned multi-patterning (SAMP) processes |
KR102683479B1 (ko) | 2017-12-22 | 2024-07-11 | 워치아웃코프 에스에이 | 이중 구조를 가지는 3차원 타겟, 이러한 타겟을 이용한 광학 측정 디바이스 및 방법 |
US11127599B2 (en) * | 2018-01-12 | 2021-09-21 | Applied Materials, Inc. | Methods for etching a hardmask layer |
US10446394B2 (en) | 2018-01-26 | 2019-10-15 | Lam Research Corporation | Spacer profile control using atomic layer deposition in a multiple patterning process |
US10566194B2 (en) | 2018-05-07 | 2020-02-18 | Lam Research Corporation | Selective deposition of etch-stop layer for enhanced patterning |
JP2020122740A (ja) * | 2019-01-31 | 2020-08-13 | セイコーエプソン株式会社 | 構造体形成方法およびデバイス |
KR20210056778A (ko) | 2019-11-11 | 2021-05-20 | 삼성전자주식회사 | 집적회로 소자 및 그 제조 방법 |
EP3958293B1 (en) * | 2020-05-22 | 2024-06-12 | Changxin Memory Technologies, Inc. | Method for preparing a hole in a semiconductor device |
CN113707659B (zh) * | 2020-05-22 | 2023-12-12 | 长鑫存储技术有限公司 | 半导体器件中孔、半导体器件的制备方法及半导体器件 |
US11264281B2 (en) | 2020-07-09 | 2022-03-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device with reduced loading effect |
US20220301887A1 (en) * | 2021-03-16 | 2022-09-22 | Applied Materials, Inc. | Ruthenium etching process |
Citations (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20070196980A1 (en) | 2006-02-22 | 2007-08-23 | Micron Technology, Inc. | Line edge roughness reduction |
JP2008536297A (ja) | 2005-03-15 | 2008-09-04 | マイクロン テクノロジー, インク. | フォトリソグラフィー構造よりも狭いピッチを有するパターン |
JP2010153872A (ja) | 2008-12-24 | 2010-07-08 | Samsung Electronics Co Ltd | 半導体素子及び半導体素子のパターン形成方法 |
JP2012151510A (ja) | 2005-03-08 | 2012-08-09 | Lam Research Corporation | エッチングプロセスのための安定化したフォトレジスト構成 |
JP2014107520A (ja) | 2012-11-30 | 2014-06-09 | Hitachi High-Technologies Corp | プラズマエッチング方法 |
JP2015050440A (ja) | 2013-09-04 | 2015-03-16 | 株式会社日立ハイテクノロジーズ | プラズマ処理方法 |
US20160020109A1 (en) | 2014-07-16 | 2016-01-21 | Samsung Electronics Co., Ltd. | Method of fabricating semiconductor device |
JP2016018794A (ja) | 2014-07-04 | 2016-02-01 | 株式会社日立ハイテクノロジーズ | プラズマ処理方法 |
JP2017011136A (ja) | 2015-06-23 | 2017-01-12 | 東京エレクトロン株式会社 | シリコン含有膜の成膜方法及び成膜装置 |
JP2016131238A5 (ja) | 2016-01-08 | 2019-03-28 |
Family Cites Families (68)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP3472397B2 (ja) * | 1994-11-01 | 2003-12-02 | 松下電器産業株式会社 | ドライエッチング方法 |
US7758794B2 (en) | 2001-10-29 | 2010-07-20 | Princeton University | Method of making an article comprising nanoscale patterns with reduced edge roughness |
JP4066517B2 (ja) | 1998-06-17 | 2008-03-26 | ソニー株式会社 | 電子装置の製造方法 |
KR100767762B1 (ko) | 2000-01-18 | 2007-10-17 | 에이에스엠 저펜 가부시기가이샤 | 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치 |
US20030010354A1 (en) | 2000-03-27 | 2003-01-16 | Applied Materials, Inc. | Fluorine process for cleaning semiconductor process chamber |
US7250371B2 (en) | 2003-08-26 | 2007-07-31 | Lam Research Corporation | Reduction of feature critical dimensions |
US20060134917A1 (en) | 2004-12-16 | 2006-06-22 | Lam Research Corporation | Reduction of etch mask feature critical dimensions |
US7645707B2 (en) | 2005-03-30 | 2010-01-12 | Lam Research Corporation | Etch profile control |
US7271108B2 (en) | 2005-06-28 | 2007-09-18 | Lam Research Corporation | Multiple mask process with etch mask stack |
KR101605005B1 (ko) | 2007-12-21 | 2016-03-21 | 램 리써치 코포레이션 | Arc 층 오프닝을 이용한 cd 바이어스 로딩 제어 |
US8029688B2 (en) | 2008-01-07 | 2011-10-04 | Samsung Electronics Co., Ltd. | Method of fine patterning semiconductor device |
US7998872B2 (en) | 2008-02-06 | 2011-08-16 | Tokyo Electron Limited | Method for etching a silicon-containing ARC layer to reduce roughness and CD |
JP5223364B2 (ja) | 2008-02-07 | 2013-06-26 | 東京エレクトロン株式会社 | プラズマエッチング方法及び記憶媒体 |
JP5254049B2 (ja) | 2008-02-15 | 2013-08-07 | 東京エレクトロン株式会社 | パターン形成方法及び半導体装置の製造方法 |
US8866254B2 (en) | 2008-02-19 | 2014-10-21 | Micron Technology, Inc. | Devices including fin transistors robust to gate shorts and methods of making the same |
JP4972594B2 (ja) | 2008-03-26 | 2012-07-11 | 東京エレクトロン株式会社 | エッチング方法及び半導体デバイスの製造方法 |
US8252194B2 (en) | 2008-05-02 | 2012-08-28 | Micron Technology, Inc. | Methods of removing silicon oxide |
US20110104901A1 (en) | 2008-06-13 | 2011-05-05 | Tokyo Electron Limited | Semiconductor device manufacturing method |
KR100992653B1 (ko) * | 2008-10-13 | 2010-11-05 | 주식회사 동부하이텍 | 원자층 증착방식을 이용한 미세홀 패턴 형성방법 |
JP5386962B2 (ja) | 2008-12-12 | 2014-01-15 | 三菱電機株式会社 | エッチング方法およびエッチング方法を用いた半導体装置の製造方法 |
US8298949B2 (en) | 2009-01-07 | 2012-10-30 | Lam Research Corporation | Profile and CD uniformity control by plasma oxidation treatment |
EP2306497B1 (en) | 2009-10-02 | 2012-06-06 | Imec | Method for manufacturing a low defect interface between a dielectric and a III/V compound |
US9892917B2 (en) | 2010-04-15 | 2018-02-13 | Lam Research Corporation | Plasma assisted atomic layer deposition of multi-layer films for patterning applications |
US8608852B2 (en) | 2010-06-11 | 2013-12-17 | Applied Materials, Inc. | Temperature controlled plasma processing chamber component with zone dependent thermal efficiencies |
US8901016B2 (en) | 2010-12-28 | 2014-12-02 | Asm Japan K.K. | Method of forming metal oxide hardmask |
US8334083B2 (en) | 2011-03-22 | 2012-12-18 | Tokyo Electron Limited | Etch process for controlling pattern CD and integrity in multi-layer masks |
KR102111702B1 (ko) | 2011-04-07 | 2020-05-15 | 피코순 오와이 | 플라즈마 소오스를 갖는 원자층 퇴적 |
US8298951B1 (en) | 2011-04-13 | 2012-10-30 | Asm Japan K.K. | Footing reduction using etch-selective layer |
US20130189845A1 (en) | 2012-01-19 | 2013-07-25 | Applied Materials, Inc. | Conformal amorphous carbon for spacer and spacer protection applications |
US8716149B2 (en) | 2012-05-29 | 2014-05-06 | GlobalFoundries, Inc. | Methods for fabricating integrated circuits having improved spacers |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
CN103839781B (zh) | 2012-11-21 | 2016-05-25 | 中芯国际集成电路制造(上海)有限公司 | 半导体精细图案的形成方法 |
US8815685B2 (en) | 2013-01-31 | 2014-08-26 | GlobalFoundries, Inc. | Methods for fabricating integrated circuits having confined epitaxial growth regions |
US9184233B2 (en) | 2013-02-27 | 2015-11-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Structure and method for defect passivation to reduce junction leakage for finFET device |
US9412871B2 (en) | 2013-03-08 | 2016-08-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET with channel backside passivation layer device and method |
US9287262B2 (en) | 2013-10-10 | 2016-03-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Passivated and faceted for fin field effect transistor |
KR101674972B1 (ko) * | 2013-12-26 | 2016-11-10 | 한국과학기술원 | 나노 스케일 패터닝 방법 및 이로부터 제조된 전자기기용 집적소자 |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9899234B2 (en) * | 2014-06-30 | 2018-02-20 | Lam Research Corporation | Liner and barrier applications for subtractive metal integration |
CN105470132B (zh) | 2014-09-03 | 2018-08-10 | 中芯国际集成电路制造(上海)有限公司 | 鳍式场效应管的形成方法 |
US9818633B2 (en) | 2014-10-17 | 2017-11-14 | Lam Research Corporation | Equipment front end module for transferring wafers and method of transferring wafers |
US9659929B2 (en) | 2014-10-31 | 2017-05-23 | Infineon Technologies Dresden Gmbh | Semiconductor device with enhancement and depletion FinFET cells |
US9576811B2 (en) | 2015-01-12 | 2017-02-21 | Lam Research Corporation | Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch) |
US9991132B2 (en) | 2015-04-17 | 2018-06-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Lithographic technique incorporating varied pattern materials |
US9806252B2 (en) * | 2015-04-20 | 2017-10-31 | Lam Research Corporation | Dry plasma etch method to pattern MRAM stack |
US9870899B2 (en) | 2015-04-24 | 2018-01-16 | Lam Research Corporation | Cobalt etch back |
US9653571B2 (en) | 2015-06-15 | 2017-05-16 | International Business Machines Corporation | Freestanding spacer having sub-lithographic lateral dimension and method of forming same |
US9922839B2 (en) | 2015-06-23 | 2018-03-20 | Lam Research Corporation | Low roughness EUV lithography |
US20170053793A1 (en) | 2015-08-17 | 2017-02-23 | Tokyo Electron Limited | Method and system for sculpting spacer sidewall mask |
US9543148B1 (en) | 2015-09-01 | 2017-01-10 | Lam Research Corporation | Mask shrink layer for high aspect ratio dielectric etch |
CN108076667A (zh) | 2015-09-18 | 2018-05-25 | 英特尔公司 | 非平面晶体管界面的基于氘的钝化 |
SG11201806451VA (en) | 2016-01-29 | 2018-08-30 | Tokyo Electron Ltd | Method and system for forming memory fin patterns |
US10727073B2 (en) | 2016-02-04 | 2020-07-28 | Lam Research Corporation | Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces |
KR102452999B1 (ko) * | 2016-05-03 | 2022-10-07 | 삼성전자주식회사 | 반도체 장치 제조 방법 |
US9997631B2 (en) | 2016-06-03 | 2018-06-12 | Taiwan Semiconductor Manufacturing Company | Methods for reducing contact resistance in semiconductors manufacturing process |
US10074543B2 (en) | 2016-08-31 | 2018-09-11 | Lam Research Corporation | High dry etch rate materials for semiconductor patterning applications |
US10483169B2 (en) * | 2016-09-29 | 2019-11-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET cut-last process using oxide trench fill |
US10546748B2 (en) | 2017-02-17 | 2020-01-28 | Lam Research Corporation | Tin oxide films in semiconductor device manufacturing |
US10559461B2 (en) | 2017-04-19 | 2020-02-11 | Lam Research Corporation | Selective deposition with atomic layer etch reset |
US9997371B1 (en) | 2017-04-24 | 2018-06-12 | Lam Research Corporation | Atomic layer etch methods and hardware for patterning applications |
US10943830B2 (en) * | 2017-08-30 | 2021-03-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Self-aligned structure for semiconductor devices |
US10319588B2 (en) * | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10553495B2 (en) * | 2017-10-19 | 2020-02-04 | International Business Machines Corporation | Nanosheet transistors with different gate dielectrics and workfunction metals |
US10770354B2 (en) * | 2017-11-15 | 2020-09-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of forming integrated circuit with low-k sidewall spacers for gate stacks |
US10658174B2 (en) | 2017-11-21 | 2020-05-19 | Lam Research Corporation | Atomic layer deposition and etch for reducing roughness |
US10734238B2 (en) | 2017-11-21 | 2020-08-04 | Lam Research Corporation | Atomic layer deposition and etch in a single plasma chamber for critical dimension control |
US10515815B2 (en) | 2017-11-21 | 2019-12-24 | Lam Research Corporation | Atomic layer deposition and etch in a single plasma chamber for fin field effect transistor formation |
US10446394B2 (en) | 2018-01-26 | 2019-10-15 | Lam Research Corporation | Spacer profile control using atomic layer deposition in a multiple patterning process |
-
2017
- 2017-11-21 US US15/820,108 patent/US10734238B2/en active Active
-
2018
- 2018-11-13 JP JP2020545226A patent/JP7097983B2/ja active Active
- 2018-11-13 WO PCT/US2018/060732 patent/WO2019103876A1/en active Application Filing
- 2018-11-13 CN CN201880087023.7A patent/CN111615742A/zh active Pending
- 2018-11-13 KR KR1020227009173A patent/KR20220039850A/ko not_active Application Discontinuation
- 2018-11-13 KR KR1020207017862A patent/KR102377966B1/ko active IP Right Grant
- 2018-11-20 TW TW107141186A patent/TWI779131B/zh active
- 2018-11-20 TW TW111133287A patent/TW202301469A/zh unknown
-
2020
- 2020-06-25 US US16/946,529 patent/US11211253B2/en active Active
-
2022
- 2022-04-11 JP JP2022064928A patent/JP7246547B2/ja active Active
Patent Citations (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2012151510A (ja) | 2005-03-08 | 2012-08-09 | Lam Research Corporation | エッチングプロセスのための安定化したフォトレジスト構成 |
JP2008536297A (ja) | 2005-03-15 | 2008-09-04 | マイクロン テクノロジー, インク. | フォトリソグラフィー構造よりも狭いピッチを有するパターン |
US20070196980A1 (en) | 2006-02-22 | 2007-08-23 | Micron Technology, Inc. | Line edge roughness reduction |
JP2010153872A (ja) | 2008-12-24 | 2010-07-08 | Samsung Electronics Co Ltd | 半導体素子及び半導体素子のパターン形成方法 |
JP2014107520A (ja) | 2012-11-30 | 2014-06-09 | Hitachi High-Technologies Corp | プラズマエッチング方法 |
JP2015050440A (ja) | 2013-09-04 | 2015-03-16 | 株式会社日立ハイテクノロジーズ | プラズマ処理方法 |
JP2016018794A (ja) | 2014-07-04 | 2016-02-01 | 株式会社日立ハイテクノロジーズ | プラズマ処理方法 |
US20160020109A1 (en) | 2014-07-16 | 2016-01-21 | Samsung Electronics Co., Ltd. | Method of fabricating semiconductor device |
JP2017011136A (ja) | 2015-06-23 | 2017-01-12 | 東京エレクトロン株式会社 | シリコン含有膜の成膜方法及び成膜装置 |
JP2016131238A5 (ja) | 2016-01-08 | 2019-03-28 |
Also Published As
Publication number | Publication date |
---|---|
KR20220039850A (ko) | 2022-03-29 |
TW201936965A (zh) | 2019-09-16 |
US20190157095A1 (en) | 2019-05-23 |
JP2021504972A (ja) | 2021-02-15 |
US20200328087A1 (en) | 2020-10-15 |
US10734238B2 (en) | 2020-08-04 |
KR102377966B1 (ko) | 2022-03-22 |
US11211253B2 (en) | 2021-12-28 |
KR20200079344A (ko) | 2020-07-02 |
JP7246547B2 (ja) | 2023-03-27 |
WO2019103876A1 (en) | 2019-05-31 |
TWI779131B (zh) | 2022-10-01 |
CN111615742A (zh) | 2020-09-01 |
TW202301469A (zh) | 2023-01-01 |
JP2022092006A (ja) | 2022-06-21 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP7097983B2 (ja) | 単一プラズマチャンバにおける、限界寸法制御のための原子層堆積及びエッチング | |
TWI837105B (zh) | 用於降低粗糙度的原子層沉積和蝕刻 | |
CN110998790B (zh) | 在水平表面上的选择性沉积SiN | |
TWI699831B (zh) | 非等向性鎢蝕刻用方法及設備 | |
JP7023376B2 (ja) | 単一プラズマ室における、フィン電界効果トランジスタ形成のための原子層堆積及びエッチング | |
JP2017199909A (ja) | Aleおよび選択的蒸着を用いた基板のエッチング | |
TWI849083B (zh) | 基板處理方法與設備 | |
KR20170093718A (ko) | 연속적인 플라즈마의 원자층 에칭 | |
KR102660290B1 (ko) | 다중 패터닝 프로세스에서 원자 층 증착을 사용한 스페이서 프로파일 제어 | |
TW201730966A (zh) | 具有高產能之超高選擇性多晶矽蝕刻 | |
KR20170035779A (ko) | 측벽 이미지 전사 스페이서들의 인시츄 증착을 수행하기 위한 시스템들 및 방법들 | |
CN112335016A (zh) | 高深宽比结构的有效率的清洁和蚀刻 | |
WO2020096722A1 (en) | Nitride films with improved etch selectivity for 3d nand integration |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20211112 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20211215 |
|
A871 | Explanation of circumstances concerning accelerated examination |
Free format text: JAPANESE INTERMEDIATE CODE: A871 Effective date: 20211215 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20220111 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20220405 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20220411 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20220531 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20220628 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 7097983 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |