JP5919371B2 - プラズマ源による原子層堆積 - Google Patents

プラズマ源による原子層堆積 Download PDF

Info

Publication number
JP5919371B2
JP5919371B2 JP2014503181A JP2014503181A JP5919371B2 JP 5919371 B2 JP5919371 B2 JP 5919371B2 JP 2014503181 A JP2014503181 A JP 2014503181A JP 2014503181 A JP2014503181 A JP 2014503181A JP 5919371 B2 JP5919371 B2 JP 5919371B2
Authority
JP
Japan
Prior art keywords
gas
atomic layer
source
layer deposition
supply
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2014503181A
Other languages
English (en)
Other versions
JP2014517499A (ja
Inventor
ヴァイノ キルピ
ヴァイノ キルピ
ウェイ−ミン リー
ウェイ−ミン リー
ティモ マリネン
ティモ マリネン
ユハナ コスタモ
ユハナ コスタモ
スヴェン リンドフォース
スヴェン リンドフォース
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Picosun Oy
Original Assignee
Picosun Oy
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Picosun Oy filed Critical Picosun Oy
Publication of JP2014517499A publication Critical patent/JP2014517499A/ja
Application granted granted Critical
Publication of JP5919371B2 publication Critical patent/JP5919371B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05CAPPARATUS FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05C5/00Apparatus in which liquid or other fluent material is projected, poured or allowed to flow on to the surface of the work
    • B05C5/001Apparatus in which liquid or other fluent material is projected, poured or allowed to flow on to the surface of the work incorporating means for heating or cooling the liquid or other fluent material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45582Expansion of gas before it reaches the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32743Means for moving the material to be treated for introducing the material into processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32871Means for trapping or directing unwanted particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Description

発明の分野
本発明は、全般的には、プラズマ源を有する堆積反応炉に関する。特に、本発明は、このような堆積反応炉であって、材料が表面に連続自己飽和表面反応によって堆積される堆積反応炉に関するが、これだけに限定されるものではない。
発明の背景
原子層エピタキシー(ALE:Atomic Layer Epitaxy)法は、1970年代初頭にツオモ・サントラ(Tuomo Suntola)博士によって発明された。この方法は別の一般名として原子層堆積(ALD:Atomic Layer Deposition)とも呼ばれ、今日ではALEの代わりにALDが使用されている。ALDは、少なくとも2つの反応性前駆体種を基板に順次導入することによる特殊な化学的堆積法である。基板は、反応空間内に配置される。反応空間は、一般には加熱される。ALDの基本的な成長メカニズムは、化学的吸着(化学吸着)と物理的吸着(物理吸着)との間の結合強度の差を利用する。ALDは、堆積プロセス中、化学吸着を利用し、物理吸着を排除する。化学吸着中、固相表面の原子(単数または複数)と気相から到来する分子との間に強力な化学結合が形成される。物理吸着による結合は、ファンデルワールス力のみが作用するため、相対的にはるかに弱い。物理吸着による結合は、局部温度が分子の凝縮温度を超えると、熱エネルギーによって容易に破壊される。
ALD反応炉の反応空間は、薄膜の堆積に用いられる各ALD前駆体に交互に順次曝露される全ての加熱された表面を含む。基本的なALD堆積サイクルは、連続する4つのステップ、すなわち、パルスA、パージA、パルスB、およびパージB、で構成される。パルスAは、一般には金属前駆体蒸気で構成され、パルスBは非金属前駆体蒸気、特に窒素または酸素前駆体蒸気、で構成される。パージAおよびパージB期間中は、ガス状の反応副生成物とガス状の残留反応物分子とを反応空間からパージするために、窒素またはアルゴンなどの不活性ガスと真空ポンプとが用いられる。1つの堆積シーケンスは、少なくとも1つの堆積サイクルを含む。この堆積シーケンスによって所望の厚さの薄膜が発生されるまで、堆積サイクルが繰り返される。
前駆体種は、加熱された表面の反応部位への化学結合を化学吸着によって形成する。一般には、1つの前駆体パルス期間中に固体材料の単一分子層のみが各表面に形成されるように、条件が構成される。したがって、この成長プロセスは自己終結または飽和する。例えば、第1の前駆体はリガンドを含むことができる。リガンドは吸着種に付着したままでいることによって表面を飽和させ、さらなる化学吸着を防止する。反応空間の温度は、前駆体分子種が基本的にそのままの状態で基板(単数または複数)上に化学吸着されるように、使用される前駆体の凝縮温度より高く、熱分解温度より低く維持される。基本的にそのままの状態でとは、前駆体分子種が表面に化学吸着されるときに、揮発性リガンドが前駆体分子から離脱できることを意味する。表面は、基本的に、第1の種類の反応部位、すなわち第1の前駆体分子の吸着種で飽和する。この化学吸着ステップの後に、一般には第1のパージステップ(パージA)が続き、第1の前駆体の余剰分と存在しうる反応副生成物とが反応空間から除去される。次に、第2の前駆体蒸気が反応空間に導入される。第2の前駆体分子は、一般には第1の前駆体分子の吸着種と反応し、これにより所望の薄膜材料が形成される。この成長は、吸着された第1の前駆体の全量が消費されて表面が基本的に第2の種類の反応部位で飽和すると終了する。次に、第2の前駆体蒸気の余剰分と存在しうる反応副生成物蒸気とが第2のパージステップ(パージB)によって除去される。その後、このサイクルは、膜が所望の厚さに成長するまで繰り返される。堆積サイクルをより複雑にすることもできる。例えば、各堆積サイクルは、パージステップによってそれぞれ分離された3つ以上の反応物蒸気パルスを含むことができる。これら堆積サイクルの全てによって、論理ユニットまたはマイクロプロセッサによって制御される1つの調時式堆積シーケンスが形成される。
ALDによって成長させた薄膜は緻密であり、ピンホールがなく、厚さが均一である。例えば、トリメチルアルミニウム(CHAl(TMA)と水とから、熱ALDによって250〜300℃で成長させた酸化アルミニウムは、直径100〜200mmのウエハ全体にわたる不均一性が通常約1%である。ALDによって成長させた金属酸化物薄膜は、ゲート絶縁膜、エレクトロルミネセンス表示装置の絶縁体、磁気読取ヘッド間隙用の充填層、キャパシタ絶縁膜、および不動態化層に適している。ALDによって成長させた金属窒化物薄膜は、例えばデュアルダマシン構造における、拡散障壁に適している。
さまざまなALD反応炉におけるALDプロセスに適した前駆体は、例えば、R.プールネン(R.Puurunen)の評論記事「原子層堆積の界面化学:トリメチルアルミニウム/水プロセスのケーススタディ(Surface chemistry of atomic layer deposition: A case study for the trimethylaluminium/water process)」、ジャーナル・オブ・アプライド・フィジクス(Journal of Applied Physics)、第97巻(2005年)、p.121301、に開示されており、この内容は参照によって本願に組み込まれるものとする。
ALDプロセスにラジカルを使用することにより、感熱基板を極めて低い堆積温度で使用できるなどの、いくつかの利点がもたらされる。プラズマALD反応炉においては、プラズマ源によってラジカルを発生させる。しかし、プラズマ源の使用は、堆積反応炉に対していくつかの要件または特定の問題を引き起こすこともある。
R.プールネン(R.Puurunen)著、「原子層堆積の界面化学:トリメチルアルミニウム/水プロセスのケーススタディ(Surface chemistry of atomic layer deposition: A case study for the trimethylaluminium/water process)」、ジャーナル・オブ・アプライド・フィジクス(Journal of Applied Physics)、第97巻(2005年)、p.121301
摘要
本発明の第1の例示的態様によると、
反応室内の少なくとも1つの基板に連続自己飽和表面反応によって材料を堆積させるように構成されたプラズマ原子層堆積反応炉を作動させることと、
堆積サイクルのほぼ全体にわたって、不活性ガス源からのガスを反応室に向かって開いた拡張型ラジカル供給部に流入させることと、
を含む方法が提供される。
「流入させる("allowing...to flow")」という表現は、実際には「導く("guiding")」、「誘導する("conducting")」、または「流入するように導く("guiding to flow")」を意味する。
いくつかの実施形態において、堆積反応炉は、プラズマ増強原子層堆積反応炉、すなわちPEALD(plasma enhanced atomic layer deposition)反応炉、である。いくつかの実施形態において、堆積反応炉はプラズマ源を反応室の上側に備える。いくつかの実施形態において、プラズマ源は、誘導結合型プラズマ源である。いくつかの実施形態において、プラズマ源は、堆積反応炉内で反応物質として用いられるラジカルを生じさせる。いくつかの実施形態において、プラズマ源から出力される活性化された種は、ラジカルで構成される。これらの実施形態において、出力される活性化された種は、イオンを実質的に含有しないラジカルである。
いくつかの実施形態において、このプラズマ原子層堆積反応炉(プラズマALD反応炉)は、プラズマALDおよび熱ALDの両方に使用されてもよい。熱ALD用の供給管路群は、ラジカルを反応室に導くプラズマALD源管路から離隔されてもよい。
1つの堆積プロセスは、連続する1つ以上の堆積サイクルで構成される。各堆積サイクルは、熱ALD期間とこれに続くプラズマALD期間とで、またはプラズマALD期間とこれに続く熱ALD期間とで構成されてもよい。各プラズマALD期間は、プラズマALDパルス期間(ラジカル発生期間)とこれに続くプラズマALDパージ期間とでほぼ構成されてもよい。同様に、各熱ALD期間は、熱ALDパルス期間とこれに続く熱ALDパージ期間とでほぼ構成されてもよい。いくつかの実施形態において、各ALDサイクルは2つを超える数のパルス期間を含んでもよい(それぞれの後に対応するパージ期間が続いてもよい)。
いくつかの実施形態において、本方法は、
プラズマ原子層堆積期間のうちのプラズマ前駆体パルス期間中、不活性ガス源からのガスを、プラズマ源を通してラジカル供給部に流入させることを含み、このガスは、当該パルス期間中、発生させたラジカルのキャリアガスとして機能する。
いくつかの実施形態において、本方法は、
プラズマ原子層堆積期間のうちのパージ期間中、不活性ガス源からのガスを、プラズマ源を通してラジカル供給部に流入させることを含み、このガスは、当該パージ期間中、パージおよび不活性シールドガスとして機能する。
いくつかの実施形態において、本方法は、
プラズマ原子層堆積期間および熱原子層堆積期間の両期間中、不活性ガス源からのガスを、プラズマ源を通してラジカル供給部に流入させることを含む。
いくつかの実施形態において、本方法は、
不活性ガス源からのガスを、プラズマ源を迂回する経路でラジカル供給部に流入させることを含む。
いくつかの実施形態において、本方法は、
プラズマ原子層堆積期間中、不活性ガス源からのガスを、プラズマ源を通る経路およびプラズマ源を迂回する別の経路の両経路で、ラジカル供給部に流入させることを含む。
いくつかの実施形態において、本方法は、
熱原子層堆積期間中、不活性ガス源からのガスを、プラズマ源を迂回する経路のみでラジカル供給部に流入させることと、
当該期間中、プラズマ源を通って流れる不活性ガス源からのガスを排気管路に導くことと、
を含む。
いくつかの実施形態において、本方法は、
プラズマ原子層堆積期間中、熱原子層堆積供給管路(単数または複数)を通して不活性ガスを反応室に向けて導くことを含み、当該熱原子層堆積供給管路(単数または複数)は、当該プラズマ原子層堆積期間中、ラジカルを反応室内に導くプラズマ源管路(単数または複数)から離隔される。
したがって、本堆積反応炉は、いくつかの実施形態においては、不活性ガス源から供給部までの経路を2つ備えてもよく、他の複数の実施形態においては、単一の経路のみが実装されてもよい。いくつかの実施形態においては、プラズマ源を通る経路を供給部経由で反応室まで連続させずに反応室を完全に迂回させる必要がある場合は、当該経路を閉鎖するゲート弁または匹敵する閉鎖部材によってプラズマ源を反応室から離隔してもよい。
いくつかの実施形態において、本方法は、少なくとも1つの機械式アクチュエータによって収縮形状と伸長形状との間で変形させることができる変形可能供給部を使用することを含む。
いくつかの実施形態において、少なくとも1つの基板を担持する基板ホルダは、変形可能な供給部に機械的に結合され、本方法は、前記変形可能な供給部を変形させることによって、少なくとも1つの基板を担持する前記基板ホルダを装填または取り出しのための上方位置に上昇させることを含む。
本発明の第2の例示的態様によると、プラズマ原子層装置が提供される。本プラズマ原子層装置は、
不活性ガス源から反応室に向かって開いた拡張型ラジカル供給部までのガス管路と、
堆積サイクルのほぼ全体にわたって当該不活性ガス源からのガスを供給部に流入させるように構成された制御システムと、
を備え、
当該プラズマ原子層堆積反応炉は、反応室内の少なくとも1つの基板に連続自己飽和表面反応によって材料を堆積させるように構成される。
いくつかの実施形態において、本装置または制御システムは、プラズマ原子層堆積期間のうちのプラズマ前駆体パルス期間中、不活性ガス源からのガスを、プラズマ源を通してラジカル供給部に流入させるように構成され、このガスは、当該パルス期間中、発生させたラジカルのキャリアガスとして機能する。
いくつかの実施形態において、本装置または制御システムは、プラズマ原子層堆積期間のうちのパージ期間中、不活性ガス源からのガスを、プラズマ源を通してラジカル供給部に流入させるように構成され、このガスは、当該パージ期間中、パージおよび不活性シールドガスとして機能する。
いくつかの実施形態において、本装置または制御システムは、プラズマ原子層堆積期間および熱原子層堆積期間の両期間中、不活性ガス源からのガスを、プラズマ源を通してラジカル供給部に流入させるように構成される。
いくつかの実施形態において、本装置または制御システムは、不活性ガス源からのガスを、プラズマ源を迂回する経路でラジカル供給部に流入させるように構成される。
いくつかの実施形態において、本装置または制御システムは、プラズマ原子層堆積期間中、不活性ガス源からのガスを、プラズマ源を通過する経路およびプラズマ源を迂回する別の経路の両経路で、ラジカル供給部に流入させるように構成される。
いくつかの実施形態において、本装置または制御システムは、
不活性ガス源からのガスを、熱原子層堆積期間中、プラズマ源を迂回する経路のみでラジカル供給部に流入させるべく、さらに、
当該期間中、プラズマ源を通って流れる不活性ガス源からのガスを排気管路に導くべく、
構成される。
いくつかの実施形態において、本装置または制御システムは、プラズマ原子層堆積期間中、熱原子層堆積供給管路(単数または複数)を通して不活性ガスを反応室に向けて導くように構成され、この熱原子層堆積供給管路(単数または複数)は、当該プラズマ原子層堆積期間中、ラジカルを反応室に導くプラズマ源管路(単数または複数)から離隔される。
いくつかの実施形態において、拡張空間を画成または形成する前記供給部は、その寸法、あるいはその形状またはサイズ、が可変である。いくつかの実施形態において、昇降機構は、前記供給部の寸法を変えるように構成される。
いくつかの実施形態において、前記供給部はラジカルが反応室に入るときに通る部分である。いくつかの実施形態において、前記供給部は収縮形状と伸長形状とを有し、これらの形状間の移行は昇降機構(昇降機など)によって操作される。昇降機は、前記供給部を押すことによって、または引くことによって、前記伸長形状から前記収縮形状に変化させ、前記供給部がその収縮形状のときに前記少なくとも1つの基板の前記装填を可能にするように構成されてもよい。いくつかの実施形態において、前記供給部は鉛直方向に変形するように構成される。
いくつかの実施形態において、前記供給部は相互に嵌入するよう移動可能な1組の入れ子部分またはリング状部材を備える。これら部分は内側が中空でもよい。入れ子部分の数は、伸縮式構造を形成するために2つ以上にしてもよい。各入れ子部分の形態は円錐台にしてもよい。前記供給部が実際に2つ以上の部分で構成される一実施形態においては、少なくとも反応空間に近い方の部分を円錐台にしてもよい。いくつかの実施形態において、前記供給部は2つの入れ子部分で構成される。
いくつかの実施形態において、供給部は変形可能であり、本装置は、供給部を収縮形状と伸長形状との間で変形させるために、少なくとも1つの機械式アクチュエータを備える。
いくつかの実施形態において、少なくとも1つの基板を担持する基板ホルダは、変形可能な供給部に機械的に結合され、前記変形可能な供給部を変形させることによって、少なくとも1つの基板を担持する前記基板ホルダを装填または取り出しのための上方位置に上昇させる。
本発明の第3の例示的態様によると、プラズマ原子層装置が提供される。本プラズマ原子層装置は、
反応室内の少なくとも1つの基板に連続自己飽和表面反応によって材料を堆積させるように構成されたプラズマ原子層堆積反応炉を作動させる手段と、
堆積サイクルのほぼ全体にわたって、不活性ガス源からのガスを反応室に向かって開いた拡張型ラジカル供給部に流入させる手段と、
を備える。
ここまで、本発明を拘束しないさまざまな例示的態様および実施形態を例示してきた。上記の各実施形態は、本発明の実装例に利用できる選択された態様またはステップを説明するためにのみ使用される。いくつかの実施形態は、本発明のいくつかの例示的態様への言及によってのみ提示されることもある。対応する実施形態を他の例示的態様にも適用できることを理解されたい。これら実施形態は、任意かつ適切に組み合わされてもよい。
次に添付の図面を参照して本発明を単なる例として説明する。
一例示的実施形態による堆積反応炉のフレームワーク全体を示す。 一例示的実施形態による堆積反応炉のいくつかの詳細を示す。 一例示的実施形態による堆積反応炉の反応室といくつかの関連部分とを示す。 一例示的実施形態による堆積反応炉のプロセス器具類を示す。 図4の例示的実施形態のタイミング図の一例を示す。 別の例示的実施形態による堆積反応炉のプロセス器具類を示す。 図6の例示的実施形態のタイミング図の一例を示す。 一例示的実施形態による堆積反応炉制御システムの概略ブロック図を示す。
詳細な説明
以下の説明においては、原子層堆積(ALD:Atomic Layer Deposition)技術を一例として用いる。ただし、この技術に厳密に限定することは目的としておらず、いくつかの実施形態は他の匹敵する原子スケールの堆積技術を用いる方法および装置にも適用可能であることを認識されるべきである。
ALD成長メカニズムの基本は、当業者には公知である。ALD法の詳細は、本特許出願の導入部にも説明されている。ここでは、これらの詳細を繰り返さない。その点に関しては、導入部を参照されたい。
図1は、堆積反応炉(プラズマALD反応炉など)の側面図である。本堆積反応炉は、基板移送室の下方、ALD反応炉モジュール130の内部に反応室(図1には図示せず)を備える。原料ガスがキャリアおよびパージガス管路101を通って反応室の上側にあるプラズマ源110に流入する。プラズマ源110によって原料ガスから発生させたラジカルが反応室供給管路またはプラズマ源管路102を通って反応室に向かって流れる。プラズマ源110と反応室との間に基板移送室120がある。少なくとも1つの基板が移送室120を介して反応室内に装填される。基板移送室120は、前記少なくとも1つの基板を装填するためのロードロックの取り付け部を備える。一例示的実施形態において、この取付け部は、ゲート弁を有するロードロックを取り付け可能なロードロックフランジ122などでもよい。一例示的実施形態において、少なくとも1つの基板を移送室内へ装填する手順は、自動化されてもよい。あるいは、少なくとも1つの基板を手動で装填してもよい。移送室に組み込まれた大型ハッチ123は、室内圧力下での手動装填および取り出しに特に適している。

プラズマ源からのプラズマ源管路102は、プラズマ源管路102に取り付けられたゲート弁などの閉鎖部材または弁115(以降、ゲート弁115と称する)によって移送室120の前で閉鎖されてもよい。弁115が開いているとき、プラズマ源110によって原料ガスから発生させたラジカルがプラズマ源管路102を通って反応室に向かって流れる。ラジカルは、移送室の上側フランジ121を通り、反応室に向かって広がる拡大空間(図1に図示せず)に流入する。これは、および他のさらなる詳細は、図2により詳細に示されている。図6および関連の説明により詳細に示されているような一実施形態においては、閉鎖部材または弁115を本構成から省いてもよい。この場合は、堆積プロセス中、原料ガス管路101からの保護用不活性ガス(アルゴンなど)がプラズマ発生器110を通って反応空間(331、図3)に向かって流れる。
拡張空間は、相互に嵌入するよう移動可能な入れ子部分またはリング状部材を1組備えた供給部または組立体によって画成または形成される。図2に示されている実施形態において、入れ子部分の数は2つである。部分241および242は、伸縮式構造を形成する。図2に示されている例示的実施形態において、上側部分241は移送室の上側フランジ121に取り付けられる。フランジ121は、真空室フランジとも称される。その理由は、一般には、供給部を取り囲む移送室部分内に真空またはほぼ真空状態を生じさせることができるためである。図2に示されている例示的実施形態において、下側部分242は、拡張空間のフランジ224に取り付けられる。フランジ224は、堆積中、反応室のフランジ234に実質的に封密嵌着されて反応空間(331、図3)と反応室(335、図3)を取り囲むガス空間との間のガス漏れを防止する。
図2に示されている実施形態においては、昇降機250のリトラクタブルシャフトが拡張空間のフランジ224に、または供給部に直接、取り付けられる。昇降機250の本体も移送室の上側フランジ121に、または堆積反応炉内の別の適した対応物に、取り付けられてもよい。昇降機250は、例えば、蛇腹251などによって少なくとも部分的に覆われたリトラクタブル硬質シャフトによって作動する昇降機でもよい。一実施形態において、この構成は、空圧式またはリニアアクチュエータと拡張空間のフランジ224または供給部との間に、鉛直方向に可撓性の封密カバーを形成する。一実施形態においては、供給部と拡張空間のフランジとを基板ホルダと一緒に真空内で移動させるために、大気側から制御されるリニアフィードスルーが用いられる。
図2に示されている堆積反応炉は、プラズマ源管路102と流体連通する任意使用の排気管路207を有する。排気管路207は、プラズマ源110とゲート弁115との間のプラズマ源管路102部分において、プラズマ源管路102に接合される。
さらに、図2に示されている堆積反応炉は、プラズマ源管路102と流体連通する任意使用のシールドガス管路204を有する。このシールドガス管路内を流れる不活性シールドガスは、上流方向への粒子またはガス流を防止する。シールドガス管路204は、ゲート弁115より後方のプラズマ源管路102部分において、プラズマ源管路102に接合される。一実施形態において、シールドガス管路204は、ゲート弁115に下流側で直接隣接する部分において、プラズマ源管路102に接合される。
一代替実施形態において、拡張空間のフランジ224は供給部から独立せずに供給部の一部を形成し、ひいては供給部の底部を形成する。この実施形態における底部は、反応室に対するリムシールとして機能する。他方、この底部は、昇降機250(昇降機のシャフト)の取り付け箇所として機能する。
反応室335の反応空間331において、水平に載置された少なくとも1つの基板360は、図3に示されているように、基板ホルダ361によって支持される、すなわち基板ホルダ361上に位置する。一実施形態において、基板ホルダは2つの独立した区間を備る。これら区間の間の解離間隙は、基板フォークをこれら区間の間で自由に移動させるために十分である。基板ホルダ361は、複数のホルダ支持部362によって拡張空間のフランジ224に取り付けられる。一例示的実施形態において、基板ホルダ361は、拡張空間のフランジ224と共に移動するように構成される。一実施形態において、昇降機の蛇腹251の最下部は、シャフトに封密結合される。アクチュエータによって昇降機の蛇腹251内のシャフトを引っ張ると、昇降機の蛇腹251が収縮する。これにより、基板操作領域とその周囲環境とを真空に維持したまま、少なくとも1つの基板360または基板ホルダ361を装填または取り出しのために引き上げることができる。部分242が摺動して小さい方の部分241に被さると、部分241および242を備えた供給部は鉛直方向に収縮し、移送室120(図1)を介した装填および取り出し用のスペースを空ける。2つの昇降機など、複数の昇降機を存在させることもできる。第2の昇降機の昇降機蛇腹351が図3に点線で示されている。
一実施形態において、基板ホルダ361は、拡張空間のフランジ224に取り外し可能に取り付け可能である。これにより、基板ホルダ361は、引き上げられたとき、少なくとも1つの基板360と共に装填または取り出し可能である。同様に、基板ホルダに鉛直方向に載置された1バッチ分の基板の堆積反応炉への装填および堆積反応炉から取り出しも可能である。
少なくとも1つの基板360への材料の堆積は、反応室335の反応空間331における交替式連続自己飽和表面反応によって起こる。あるいは、プラズマ源110(図1および図2)からのラジカルと他の前駆体蒸気とが反応室335の反応空間331に流れる。プラズマ源110からのラジカルは、拡張空間を通る上から下への流れ301として反応空間331に流れる。他の前駆体蒸気は、供給管路371から例示的管継手381と反応室のフランジ234内のチャネル373とを通って流れるか、または供給管路372から例示的管継手382と反応室のフランジ234内のチャネル374とを通って流れる。反応炉の一般的構成において、供給管路の数は、例えば4または6である。あるいは、プラズマの発生を遮断し、他の前駆体を、プラズマ源管路102を通して反応室335に流入させることも可能である。排出ガスは、矢印305によって流れの方向が示されているように、底部の排気誘導管を通って排気管路に除去される。
一実施形態において、プラズマ発生器(プラズマ源110)と基板ホルダ361との間のガス空間は、プラズマ発生器によって発生させたラジカルの大部分が、基板360の前に何れの表面にも衝突せずに基本的にそのままの状態で基板に到達できるように、開けたガス空間でほぼ構成される。
図4は、一例示的実施形態による堆積反応炉のプロセス器具類を示す。不活性("inert"または"inactive")ガス源からの不活性ガス流は、キャリアおよびパージガス管路101を通って流れるキャリアおよびパージガス流と、シールドガス管路204を通って流れるシールドガス流とに分割される。一実施形態においては、アルゴンまたはヘリウムなどが不活性ガスとして用いられる。キャリアおよびパージガス管路101は、キャリアおよびパージ弁410によって開閉可能である。作動中、弁410のデフォルト位置は「開」である。シールドガス管路204は、シールドガス弁416によって開閉可能である。作動中、弁416のデフォルト位置は「開」である。キャリアおよびパージガス管路101内の流量は質量流量制御装置(MFC:Mass Flow Controller)431によって制御され、シールドガス管路204内の流量は、質量流量制御装置432によって制御される。シールドガス管路204は、ゲート弁115の下流でプラズマ源管路102に接合される。作動中、ゲート弁のデフォルト位置は「開」である。複合流は、プラズマ源管路102を通って流れ、拡張空間425を通って反応室335に入る。排出ガスを反応空間331から排気管路にパージするために、真空ポンプ438が用いられる。プラズマ源管路内の圧力が遠隔プラズマ発生器の作動に適した範囲内にあることを検証するために、圧力変換器PT(Pressure Transducer)が用いられる。
キャリアおよびパージ弁410の下流において、プラズマ源110に入る前に、キャリアおよびパージガスはプラズマ源前駆体パルス送出弁411〜414を通って流れる。一実施形態において、これらの弁は三方弁である。キャリアおよびパージガスは、パルス送出弁の第1の入口に流入し、出口から出る。この文脈においては、パルス送出弁411〜414を通ってキャリアおよびパージガス管路101に流入可能であり、かつその後にプラズマ源110においてラジカルを発生させるために使用可能な前駆体をプラズマ源前駆体と称する。所望のプラズマ源前駆体は、適用される堆積サイクルに応じて、質量流量計(MFM:Mass Flow Meter)を介して、および毛細管またはニードル弁を通って、対応するパルス送出弁の第2の入口に導かれる。作動中、弁411〜414のデフォルト位置は、第1の入口と出口とが「開」であり、第2の入口が「閉」である。第2の入口は、選択されたプラズマ源前駆体のプラズマ前駆体パルス期間中のみ開く。
図4に示されている実施形態において、窒素ガス、水素ガス、アンモニアガス、および酸素ガスは、プラズマ源前駆体の例である。質量流量計MFM441は、窒素ガス源から毛細管またはニードル弁451を通って窒素パルス送出弁411に向かう窒素ガスの流量を計測する。同様に、MFM442は、水素ガス源から毛細管またはニードル弁452を通って水素パルス送出弁412に向かう水素ガスの流量を計測する。MFM443は、アンモニアガス源から毛細管またはニードル弁453を通ってアンモニアパルス送出弁413に向かうアンモニアガスの流量を計測する。MFM444は、酸素ガス源から毛細管またはニードル弁454を通って酸素パルス送出弁414に向かう酸素ガスの流量を計測する。MFM441〜444は、プラズマ源前駆体の質量流量が所望値に落ち着くことを検証するために用いられる。この所望値は、毛細管またはニードル弁451〜454の上流側のプラズマ源前駆体の圧力によって、さらには毛細管のオリフィス寸法によって、またはニードル弁451〜454の調整によって制御される。パルス送出弁の第2の入口が開くと、対応するプラズマ源前駆体はキャリアガス流と混合され、ラジカルを発生させるためにプラズマ源110に向かってさらに流れる。
プラズマ源110の下流かつゲート弁115の上流においてプラズマ源管路101に接合される排気管路207は、平常作動中は使用されない。したがって、排気弁417(排気管路207を開閉できる弁)のデフォルト位置は「閉」である。
図4には、例えば熱ALD期間中、他の前駆体蒸気を反応室335に流入させる、図3に見えている他の供給管路371および372も示されている。
図5は、一例示的実施形態による図4の堆積反応炉の動作をタイミング図によって示す。この堆積プロセスは、基本的に、堆積サイクルの繰り返しによって形成される。時点tにおいて、プラズマ源管路102のゲート弁115は開かれる。ゲート弁115は、堆積プロセス全体にわたって開かれている。時点tにおいて、キャリアおよびパージガス管路101の遮断弁(キャリアおよびパージ弁410)が開かれる。キャリアおよびパージガス管路101のMFC431は、処理値、例えば50sccm、に設定される。時点tにおいて、シールドガス管路204のMFC432は、高い値から低い値、例えば20sccm、に設定される。tとtの間の時間は、反応室335のパージに使用可能である。時点tにおいて、(非金属)プラズマ源前駆体のパルス送出弁が開かれる。図5に示されている例では、水素ガスがプラズマ源前駆体として用いられるので、パルス送出弁412が開かれるのは時点tである。時点tにおいて、プラズマ発生器(プラズマ源110)の電力がラジカル発生レベル、例えば2000W、に上げられる。一実施形態において、本願明細書に記載の電力は、高周波(RF:radio frequency)電力である。tとtの間の時間にラジカルが発生する。換言すると、時点tと時点tの間にプラズマALDフェーズが実施される。時点tにおいて、プラズマ発生器(プラズマ源110)の電力は、ラジカルが発生しないレベル、例えば、100W未満の電力に下げられる。時点tにおいて、プラズマ源前駆体のパルス送出弁(ここでは弁412)が閉じられる。時点tにおいて、シールドガス管路204のMFC432が低い値から高い値に設定される。tとtの間の時間は、反応室335のパージに使用可能である。時点tにおいて、第2の前駆体蒸気が反応室335に導かれる。本実施形態において、第2の前駆体は金属前駆体である。tとt10の間に、第2の前駆体パルスフェーズが実施される。tと時点t10の間の時間は、第2の前駆体パルスと、余分な第2の前駆体分子と反応副生成物とを反応空間331から除去するための第3のパージ期間とで構成されてもよい。このとき、ゲート弁115および遠隔プラズマ発生器110側への反応性分子の逆流を防止するために、シールドガス管路204を通るシールドガスの質量流量は高い値にある。これは、それ自体は公知である従来の熱ALD方法として実施可能である。tとtの間のパージ期間と、tとtの間のプラズマALDフェーズと、tとtの間の第2のパージ期間と、tとt10の間の熱ALDフェーズとによって形成される本堆積サイクルは、反応室335内の少なくとも1つの基板上に所望の厚さの材料が成長するまで繰り返される。最後に、時点t11において、キャリアおよびパージ弁410が閉じられ、キャリアおよびパージガス管路101のMFC431がゼロ値に設定される。最後に、ゲート弁115が時点t12において閉じられる。
一代替実施形態は、例えば、或る理由により、堆積プロセス中、プラズマ源管路102をゲート弁115によって閉じることが望ましい状況に関する。この状況が起こりうるのは、例えば、熱ALDフェーズ中か、または反応炉で熱ALDフェーズのみで堆積プロセスを実施することが望ましい場合である。これらの実施形態においては、パルス送出弁411〜414とプラズマ源110とを通って反応空間331に至る経路が閉じられる。プラズマ源110において定圧が維持されることが好ましいので、定圧を維持するために、排気管路の弁417は開かれ、プラズマ源110を通るガス流が排気管路207を通って排気管路に直接導かれる。シールドガス管路204から流れるシールドガスが遮蔽用緩衝体を形成し、反応室335の方向からゲート弁115の方向への粒子およびガス流の上昇を防止する。
図6は、別の例示的実施形態による堆積反応炉のプロセス器具類を示す。図6に示されている実施形態は、ゲート弁115と、関連する排気管路207と、シールドガス管路204と、キャリアおよびパージ弁410とを含まない点以外は、図4に示されている実施形態に対応する。
いくつかの実施形態において、酸素ガスから発生させた酸素ラジカルは、第3族金属類の酸化物(例えば酸化イットリウム)、第4族金属類の酸化物(例えば二酸化ハフニウム)、第5族金属類の酸化物(例えば五酸化タンタル)、および第13族金属類の酸化物(例えば酸化アルミニウム)などの金属酸化物を成長させるために用いられる。アンモニアガスから発生させたアンモニアラジカルと窒素ガスから発生させた窒素ラジカルとは、第4族金属類の窒化物(例えば窒化チタン)、第5族金属類の窒化物(例えば窒化タンタルおよび超伝導窒化ニオブ)、および第14族元素の窒化物(例えば窒化珪素)などの金属窒化物を成長させるために用いられる。水素ガスから発生させた水素ラジカルは、第4族金属類(例えばチタン)、第5族金属類(例えばタンタル)、第6族金属類(例えばタングステン)、および第11族金属類(例えば銀)などの元素薄膜を成長させるための還元剤として用いられる。揮発性炭化水素は、第4族金属類の炭化物(例えば炭化チタン)などの金属炭化物を成長させるための炭化水素ラジカルの発生に利用される。
図7は、一例示的実施形態による図6の堆積反応炉の動作をタイミング図によって示す。時点tにおいて、キャリアおよびパージガス管路101のMFC431は、好ましくは10〜200sccmの範囲内、より好ましくは20〜100sccmの範囲内、の処理値、例えば50sccm、に設定される。tとtの間の時間は、熱ALDモードにおいては約50〜500℃の範囲内から選択された温度、例えばTMAが金属前駆体として用いられる場合は200℃、に加熱された反応空間331に金属前駆体蒸気、例えばトリメチルアルミニウム(TMA)、をパルス送出するために用いられる。tとtの間の時間は、プラズマ源管路102からのアルゴンまたはヘリウムガスと供給管路371、372からの窒素ガスとで構成される不活性ガスによって反応空間331をパージするために用いられる。時点tにおいて、(非金属)プラズマ源前駆体のパルス送出弁が開かれる。図6に示されている利用可能なプラズマ源ガス群から酸素ガスが選択されるので、時点tにおいて開かれる弁はパルス送出弁414である。時点tにおいて、プラズマ発生器(プラズマ源110)の電力がラジカル発生レベルに上げられる。酸素ラジカルを発生させる場合は、100〜3000Wの範囲から選択されたRF電力、例えば2000W、に上げられる。tとtの間の時間にラジカルが発生する。換言すると、時点tとtの間にプラズマALDフェーズが実施される。時点tにおいて、プラズマ発生器(プラズマ源110)の電力は、ラジカルが発生されないレベル、好ましくは100W未満の電力、例えば0W、に下げられる。時点tにおいて、プラズマ源前駆体のパルス送出弁(ここでは、酸素ガス弁414)が閉じられる。tとtの間の時間は、システムを不活性ガスでパージするために用いられる。金属前駆体パルスと、パージと、ラジカル前駆体パルスと、パージとで構成される時点tから時点tまでの堆積サイクルは、所望厚の薄膜が基板360上に成長するまで繰り返される。
なお、本願明細書に提示された実施形態の変形例もいくつか実施できることに注目されたい。図4に示されている構成においては、堆積サイクルは、図5に示されている順番または図7に示されている順番等で実施されてもよい。
いくつかの実施形態においては、プラズマALD期間のうちのプラズマ前駆体パルス期間中、ガスが不活性ガス源からプラズマ発生器(プラズマ源110)を通ってラジカル供給部(または拡張空間425)に流入するように導かれる。このガスは、当該パルス期間中、発生させたラジカルのキャリアガスとして機能する。いくつかの実施形態において、プラズマALD期間のうちのパージ期間中、ガスが不活性ガス源からプラズマ発生器を通って拡張空間425に流入するように導かれる。このガスは、当該パージ期間中、不活性またはパージガスとして機能する。いくつかの実施形態においては、これら両期間中、ガスが上記のように導かれる。いくつかの実施形態においては、これら両期間中、さらに不活性ガス源からのガスがシールドガス管路204を通って拡張空間425内に導かれる。いくつかの実施形態においては、例えば熱ALD期間中、不活性ガス源からのガスは、両経路を通って、または(例えば、プラズマ発生器から拡張空間425への経路が閉じられている場合は)シールドガス管路204のみを通って、拡張空間425内に導かれる。さらに、プラズマ発生器から拡張空間425への経路が別様に閉じられている場合、いくつかの実施形態においては、不活性ガス源からのガスは、これら期間中、シールドガス管路204を通って拡張空間425内に導かれる。これにより不活性ガス流が途切れずに拡張空間425に流入するため、逆流作用が防止される。プラズマ発生器から拡張空間425への経路が閉じられると、不活性ガス源からプラズマ発生器を通って流れるガスは、いくつかの実施形態においては、当該期間中、プラズマ発生器内の定圧を維持するように排気管路に導かれる。
以下の実験例は、選択された複数の例示的実施形態の動作をさらに実証する。
100mmのシリコンウエハを図3に示されている複式昇降機によって反応室335に装填した。トリメチルアルミニウムTMAと水HOとからの酸化アルミニウムAlを200℃でシリコンウエハ上に成長させるために、図6による堆積反応炉の器具類と図7のタイミング図とを用いた。キャリアおよびパージガス管路101を通るアルゴンガスの流量は30sccmであった。TMAパルス長は0.1秒であり、この後に6秒間のパージが続いた。酸素ガスパルス送出弁414を開き、50sccmの酸素ガスを、パルス送出弁414を介して遠隔プラズマ発生器110に流した。プラズマをオンにするためにRF電力を0Wから2500Wに上げ、2500Wレベルに6秒間維持した。その後、プラズマをオフにするために、RF電力を2500Wから0Wに下げた。次に、酸素ガス弁を閉じ、システムを不活性ガスで10秒間パージした。36nm厚のAl薄膜が成長するまで、この堆積サイクルを繰り返した。この結果、楕円偏光計によって49箇所で測定した薄膜の厚さの不均一性は1σで僅か1.3%であった。
一例示的実施形態において、本願明細書に記載の堆積反応炉は、コンピュータ制御のシステムである。システムのメモリに格納されたコンピュータプログラムはいくつかの命令を含む。これら命令は、システムの少なくとも1つのプロセッサによって実行されると、命令どおりに堆積反応炉を作動させる。これら命令は、コンピュータ可読プログラムコードの形態でもよい。図8は、堆積反応炉制御システム800の概略ブロック図を示す。基本的なシステムセットアップ工程においては、ソフトウェアの助けによりパラメータがプログラミングされ、ヒューマンマシンインタフェース(HMI:human machine interface)端末806によって命令が実行され、イーサネットバス804を介して制御ボックス802にダウンロードされる。一実施形態において、制御ボックス802は、汎用プログラマブル論理制御(PLC:programmable logic control)ユニットを備える。制御ボックス802は、メモリに格納されたプログラムコードを含む制御箱ソフトウェアを実行するための少なくとも1つのマイクロプロセッサと、ダイナミックおよびスタティックメモリと、I/Oモジュールと、A/DおよびD/Aコンバータと、複数の電源リレーとを備える。制御ボックス802は、堆積反応炉の各弁の空圧式制御装置に電力を送り、質量流量制御装置との双方向通信を有し、プラズマ源の作動およびラジカルの発生、ならびに昇降機の動作を制御するほか、堆積反応炉の作動を別様に制御する。制御ボックス802は、堆積反応炉からのプローブ読み取り値を測定してHMI端末806に中継してもよい。点線816は、堆積反応炉の各部と制御ボックス802との間の境界線を示す。
上記の説明は、本発明の特定の実装例および実施形態の非限定例として、本発明の実施のために本発明者らが現時点で最良と考えている形態についての完全かつ有益な説明を提供した。ただし、本発明は上記の実施形態の詳細に限定されず、本発明の特性から逸脱することなく他の実施形態において同等の手段を用いて実現することも可能であることは当業者には明らかである。
さらに、本発明の上記実施形態の特徴のいくつかは、他の特徴を同様に使用することなく効果的に使用されてもよい。したがって、上記説明は、本発明を限定するものではなく、本発明の原理の単なる例示として考えられたい。よって、本発明の範囲は添付の特許請求の範囲によってのみ限定される。

Claims (20)

  1. 反応室の上であって、前記反応室とプラズマ原子層堆積反応炉の遠隔プラズマ源との間に基板移送室を設けることと、
    前記基板移送室を通じて少なくとも1つの基板を前記反応室に装填することと、
    前記反応室内の前記少なくとも1つの基板に連続自己飽和表面反応によって材料を堆積させるように、前記プラズマ原子層堆積反応炉を作動させることと、
    堆積サイクルのほぼ全体にわたって、不活性ガス源からのガスを、前記反応室に向かって開いた拡張型ラジカル供給部へと前記遠隔プラズマ源を通じて流すことと、
    を含む方法。
  2. 前記方法は、プラズマ原子層堆積期間のうちのプラズマ前駆体パルス期間中、前記不活性ガス源からのガスを、前記遠隔プラズマ源を通して前記ラジカル供給部に流入させることを含み、前記ガスは、当該パルス期間中、発生させたラジカルのキャリアガスとして機能する、
    請求項1に記載の方法。
  3. 前記方法は、プラズマ原子層堆積期間のうちのパージ期間中、前記不活性ガス源からのガスを、前記遠隔プラズマ源を通して前記ラジカル供給部に流入させることを含み、前記ガスは、当該パージ期間中、パージおよび不活性シールドガスとして機能する、
    請求項1または2に記載の方法。
  4. プラズマ原子層堆積期間および熱原子層堆積期間の両期間中、前記不活性ガス源からのガスを、前記遠隔プラズマ源を通して前記ラジカル供給部に流入させること、
    を含む請求項1から3の何れか1項に記載の方法。
  5. 不活性ガス源からのガスを、前記遠隔プラズマ源を迂回する経路で前記ラジカル供給部に流入させること、
    を含む請求項1から4の何れか1項に記載の方法。
  6. 前記プラズマ原子層堆積期間中、前記不活性ガス源からのガスを、前記遠隔プラズマ源を通る経路および前記遠隔プラズマ源を迂回する別の経路の両経路で、前記ラジカル供給部に流入させること、
    を含む請求項1に記載の方法。
  7. 前記熱原子層堆積期間中、前記不活性ガス源からのガスを、前記遠隔プラズマ源を迂回する前記経路のみで、前記ラジカル供給部に流入させることと、
    当該期間中、前記遠隔プラズマ源を通って流れる前記不活性ガス源からのガスを排気管路に導くことと、
    を含む請求項1または6に記載の方法。
  8. 前記方法は、前記プラズマ原子層堆積期間中、1つ以上の熱原子層堆積供給管路を通して不活性ガスを前記反応室に向けて導くことを含み、前記1つ以上の熱原子層堆積供給管路は、前記プラズマ原子層堆積期間中、ラジカルを前記反応室に導く1つ以上のプラズマ源管路から離隔される、
    請求項1から7の何れか1項に記載の方法。
  9. 少なくとも1つの機械式アクチュエータによって収縮形状と伸長形状との間で変形可能な変形可能供給部を使用すること、
    を含む請求項1から8の何れか1項に記載の方法。
  10. 少なくとも1つの基板を担持する基板ホルダが前記変形可能供給部に機械的に結合され、前記方法は、
    前記変形可能供給部を変形させることによって、少なくとも1つの基板を担持する前記基板ホルダを装填または取り出しのための上方位置に上昇させること、
    を含む請求項9に記載の方法。
  11. プラズマ原子層装置であって、
    不活性ガス源から反応室に向かって開いた拡張型ラジカル供給部までのガス管路と、
    遠隔プラズマ源と、
    前記反応室の上であって、前記反応室と前記遠隔プラズマ源との間に設けられる基板移送室と、
    堆積サイクルのほぼ全体にわたって前記不活性ガス源からのガスを供給部に流入させるように構成された制御システムと、
    を備え、
    前記基板移送室を通じて少なくとも1つの基板を前記反応室に装填し、
    前記反応室内の少なくとも1つの基板に連続自己飽和表面反応によって材料を堆積させる
    ように構成される、プラズマ原子層装置。
  12. 前記制御システムは、プラズマ原子層堆積期間のうちのプラズマ前駆体パルス期間中、前記不活性ガス源からのガスを、前記遠隔プラズマ源を通して前記ラジカル供給部に流入させるように構成され、前記ガスは、当該パルス期間中、発生させたラジカルのキャリアガスとして機能する、請求項11に記載の装置。
  13. 前記制御システムは、プラズマ原子層堆積期間のうちのパージ期間中、前記不活性ガス源からのガスを、前記遠隔プラズマ源を通して前記ラジカル供給部に流入させるように構成され、前記ガスは、当該パージ期間中、パージおよび不活性シールドガスとして機能する、請求項11または12に記載の装置。
  14. 前記制御システムは、プラズマ原子層堆積期間および熱原子層堆積期間の両期間中、前記不活性ガス源からのガスを、前記遠隔プラズマ源を通して前記ラジカル供給部に流入させるように構成される、請求項11乃至13の何れかに記載の装置。
  15. 前記制御システムは、不活性ガス源からのガスを、前記遠隔プラズマ源を迂回する経路で、前記ラジカル供給部に流入させるように構成される、請求項11から14の何れか1項に記載の装置。
  16. 前記制御システムは、前記プラズマ原子層堆積期間中、前記不活性ガス源からのガスを、前記遠隔プラズマ源を通る経路および前記遠隔プラズマ源を迂回する別の経路の両方で、前記ラジカル供給部に流入させるように構成される、請求項11に記載の装置。
  17. 前記制御システムは、前記熱原子層堆積期間中、前記不活性ガス源からのガスを、前記遠隔プラズマ源を迂回する前記経路のみで、前記ラジカル供給部に流入させるべく、さらに、
    当該期間中、前記遠隔プラズマ源を通って流れる前記不活性ガス源からのガスを排気管路に導くべく、
    構成される、請求項11または16に記載の装置。
  18. 前記制御システムは、前記プラズマ原子層堆積期間中、1つ以上の熱原子層堆積供給管路を通して不活性ガスを前記反応室に向けて導くように構成され、前記1つ以上の熱原子層堆積供給管路は、前記プラズマ原子層堆積期間中、ラジカルを前記反応室に導く1つ以上のプラズマ源管路から離隔される、請求項11から17の何れか1項に記載の装置。
  19. 前記供給部は変形可能であり、前記装置は前記供給部を収縮形状と伸長形状との間で変形させるための機械式アクチュエータを少なくとも1つ備える、請求項11から18の何れか1項に記載の装置。
  20. 少なくとも1つの基板を担持する基板ホルダが前記変形可能供給部に機械的に結合され、前記変形可能供給部を変形させることによって、少なくとも1つの基板を担持する前記基板ホルダを装填または取り出しのための上方位置に上昇させる、請求項19に記載の装置。
JP2014503181A 2011-04-07 2011-04-07 プラズマ源による原子層堆積 Active JP5919371B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/FI2011/050303 WO2012136876A1 (en) 2011-04-07 2011-04-07 Atomic layer deposition with plasma source

Publications (2)

Publication Number Publication Date
JP2014517499A JP2014517499A (ja) 2014-07-17
JP5919371B2 true JP5919371B2 (ja) 2016-05-18

Family

ID=46968647

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014503181A Active JP5919371B2 (ja) 2011-04-07 2011-04-07 プラズマ源による原子層堆積

Country Status (9)

Country Link
US (3) US9095869B2 (ja)
EP (1) EP2694700B1 (ja)
JP (1) JP5919371B2 (ja)
KR (3) KR101819721B1 (ja)
CN (1) CN103635605B (ja)
RU (1) RU2584841C2 (ja)
SG (1) SG11201405416UA (ja)
TW (1) TWI565824B (ja)
WO (1) WO2012136876A1 (ja)

Families Citing this family (296)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101819721B1 (ko) * 2011-04-07 2018-02-28 피코순 오와이 플라즈마 소오스를 갖는 원자층 퇴적
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9443998B2 (en) * 2013-03-14 2016-09-13 Nanoco Technologies Ltd. Multi-layer-coated quantum dot beads
JP6107327B2 (ja) * 2013-03-29 2017-04-05 東京エレクトロン株式会社 成膜装置及びガス供給装置並びに成膜方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
CN103882409B (zh) * 2014-03-13 2016-04-20 中国科学院半导体研究所 源输送混合比可调气路装置
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9617637B2 (en) * 2014-07-15 2017-04-11 Lam Research Corporation Systems and methods for improving deposition rate uniformity and reducing defects in substrate processing systems
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9318319B2 (en) * 2014-08-27 2016-04-19 Ultratech, Inc. Radical-enhanced atomic layer deposition using CF4 to enhance oxygen radical generation
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
EP3271499A4 (en) * 2015-03-17 2018-12-19 Picosun Oy Heat conductive ald-coating in an electrical device
WO2016156659A1 (en) * 2015-04-01 2016-10-06 Picosun Oy Ald-deposited graphene on a conformal seed layer
JP5990626B1 (ja) * 2015-05-26 2016-09-14 株式会社日本製鋼所 原子層成長装置
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
RU2633894C1 (ru) * 2016-06-24 2017-10-19 Акционерное общество "Научно-производственное предприятие "Пульсар" Способ плазмо-стимулированного атомно-слоевого осаждения изолирующих диэлектрических покрытий на гетероструктурах нитрид-галлиевых полупроводниковых приборов
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9865455B1 (en) * 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP6697640B2 (ja) * 2017-02-08 2020-05-20 ピコサン オーワイPicosun Oy 可動構造をもつ堆積またはクリーニング装置および動作方法
US10655221B2 (en) * 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP2018152457A (ja) * 2017-03-13 2018-09-27 株式会社デンソー 半導体基板およびその製造方法
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
KR102411152B1 (ko) * 2017-05-02 2022-06-21 피코순 오와이 Ald 장치, 방법 및 밸브
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI650837B (zh) * 2017-09-25 2019-02-11 台灣積體電路製造股份有限公司 製程設備及其組裝方法
CN109559965B (zh) * 2017-09-25 2021-05-14 台湾积体电路制造股份有限公司 制程设备及其组装方法
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10927459B2 (en) * 2017-10-16 2021-02-23 Asm Ip Holding B.V. Systems and methods for atomic layer deposition
KR101960073B1 (ko) * 2017-10-27 2019-03-20 주식회사 뉴파워 프라즈마 반도체 공정용 기판 처리 시스템
KR102014887B1 (ko) * 2017-10-27 2019-08-28 주식회사 뉴파워 프라즈마 선택적으로 라디칼을 공급하는 라디칼 발생기
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US10734238B2 (en) 2017-11-21 2020-08-04 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for critical dimension control
US10515815B2 (en) * 2017-11-21 2019-12-24 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for fin field effect transistor formation
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10446394B2 (en) 2018-01-26 2019-10-15 Lam Research Corporation Spacer profile control using atomic layer deposition in a multiple patterning process
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
CN111074235B (zh) * 2018-10-19 2024-01-05 北京北方华创微电子装备有限公司 进气装置、进气方法及半导体加工设备
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
FI129719B (en) 2019-06-25 2022-07-29 Picosun Oy PLASMA IN SUBSTRATE PROCESSING EQUIPMENT
JP2022538099A (ja) * 2019-06-25 2022-08-31 ピコサン オーワイ 基板処理装置におけるプラズマ
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
US20220275510A1 (en) * 2019-08-06 2022-09-01 Lam Research Corporation Thermal atomic layer deposition of silicon-containing films
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
WO2021090793A1 (ja) * 2019-11-06 2021-05-14 株式会社クリエイティブコーティングス Dlc膜の成膜装置及び成膜方法
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
CN113363405B (zh) * 2021-06-29 2022-06-03 昆山梦显电子科技有限公司 一种显示面板的制备方法、显示面板及显示装置
JP7039085B1 (ja) * 2021-08-30 2022-03-22 株式会社クリエイティブコーティングス 成膜装置
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
FI130545B (en) * 2021-09-14 2023-11-08 Picosun Oy SUBSTRATE PROCESSING EQUIPMENT AND METHOD
CN114807905B (zh) * 2022-06-27 2022-10-28 江苏邑文微电子科技有限公司 一种原子层沉积装置

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (sv) * 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US4996077A (en) * 1988-10-07 1991-02-26 Texas Instruments Incorporated Distributed ECR remote plasma processing and apparatus
NL1017849C2 (nl) 2001-04-16 2002-10-30 Univ Eindhoven Tech Werkwijze en inrichting voor het deponeren van een althans ten dele kristallijne siliciumlaag op een substraat.
US7204886B2 (en) * 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
KR100439948B1 (ko) * 2002-04-19 2004-07-12 주식회사 아이피에스 리모트 플라즈마 ald 장치 및 이를 이용한 ald 박막증착방법
US20040086434A1 (en) * 2002-11-04 2004-05-06 Gadgil Pradad N. Apparatus and method for treating objects with radicals generated from plasma
WO2004085703A1 (ja) * 2003-03-25 2004-10-07 Tokyo Electron Limited 処理装置及び処理方法
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
JP2005322668A (ja) * 2004-05-06 2005-11-17 Renesas Technology Corp 成膜装置および成膜方法
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8211235B2 (en) * 2005-03-04 2012-07-03 Picosun Oy Apparatuses and methods for deposition of material on surfaces
KR101272321B1 (ko) * 2005-05-09 2013-06-07 한국에이에스엠지니텍 주식회사 복수의 기체 유입구를 가지는 원자층 증착 장치의 반응기
US20070116872A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
CN101321893B (zh) 2005-12-06 2011-09-28 株式会社爱发科 气体压头及薄膜制造装置
KR101379015B1 (ko) * 2006-02-15 2014-03-28 한국에이에스엠지니텍 주식회사 플라즈마 원자층 증착법을 이용한 루테늄 막 증착 방법 및고밀도 루테늄 층
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
JP5193527B2 (ja) 2006-09-28 2013-05-08 東京エレクトロン株式会社 シリコン酸化膜の形成方法、シリコン酸化膜の形成装置及びプログラム
US7595270B2 (en) * 2007-01-26 2009-09-29 Asm America, Inc. Passivated stoichiometric metal nitride films
US20080241387A1 (en) * 2007-03-29 2008-10-02 Asm International N.V. Atomic layer deposition reactor
JP5219562B2 (ja) * 2007-04-02 2013-06-26 株式会社日立国際電気 基板処理装置、基板処理方法及び半導体装置の製造方法
US8235001B2 (en) 2007-04-02 2012-08-07 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
US20090025004A1 (en) * 2007-07-16 2009-01-22 Microsoft Corporation Scheduling by Growing and Shrinking Resource Allocation
WO2009129332A2 (en) * 2008-04-16 2009-10-22 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
US8741062B2 (en) * 2008-04-22 2014-06-03 Picosun Oy Apparatus and methods for deposition reactors
EP2159304A1 (en) * 2008-08-27 2010-03-03 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Apparatus and method for atomic layer deposition
KR101497413B1 (ko) * 2008-08-28 2015-03-02 주식회사 뉴파워 프라즈마 용량 결합 플라즈마 반응기 및 이를 이용한 플라즈마 처리 방법 및 이것에 의해 제조된 반도체 장치
US20100183825A1 (en) * 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method
KR101819721B1 (ko) * 2011-04-07 2018-02-28 피코순 오와이 플라즈마 소오스를 갖는 원자층 퇴적

Also Published As

Publication number Publication date
TWI565824B (zh) 2017-01-11
RU2013148923A (ru) 2015-05-20
CN103635605A (zh) 2014-03-12
KR20180128982A (ko) 2018-12-04
US20180099304A1 (en) 2018-04-12
US9868131B2 (en) 2018-01-16
KR101923167B1 (ko) 2018-11-29
KR101819721B1 (ko) 2018-02-28
KR20180008875A (ko) 2018-01-24
EP2694700A4 (en) 2014-08-27
SG11201405416UA (en) 2014-11-27
US20140024223A1 (en) 2014-01-23
US9095869B2 (en) 2015-08-04
TW201243095A (en) 2012-11-01
KR102111702B1 (ko) 2020-05-15
JP2014517499A (ja) 2014-07-17
EP2694700A1 (en) 2014-02-12
WO2012136876A8 (en) 2012-12-06
RU2584841C2 (ru) 2016-05-20
KR20140029424A (ko) 2014-03-10
CN103635605B (zh) 2017-03-08
EP2694700B1 (en) 2016-11-16
US20150322569A1 (en) 2015-11-12
WO2012136876A1 (en) 2012-10-11

Similar Documents

Publication Publication Date Title
JP5919371B2 (ja) プラズマ源による原子層堆積
JP5885830B2 (ja) プラズマ源を有する堆積反応炉
JP5646463B2 (ja) 堆積反応炉のための方法および装置
TWI652431B (zh) 用於保護氣體容器內部之方法及設備
KR102153876B1 (ko) 이동 구조를 가진 증착 또는 세정 장치 및 작동 방법
EP4056731A1 (en) Film formation method and film formation device

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20150416

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150512

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150810

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150911

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150930

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160316

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160411

R150 Certificate of patent or registration of utility model

Ref document number: 5919371

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250