KR20180128982A - 플라즈마 소오스를 갖는 원자층 퇴적 - Google Patents

플라즈마 소오스를 갖는 원자층 퇴적 Download PDF

Info

Publication number
KR20180128982A
KR20180128982A KR1020187033912A KR20187033912A KR20180128982A KR 20180128982 A KR20180128982 A KR 20180128982A KR 1020187033912 A KR1020187033912 A KR 1020187033912A KR 20187033912 A KR20187033912 A KR 20187033912A KR 20180128982 A KR20180128982 A KR 20180128982A
Authority
KR
South Korea
Prior art keywords
gas
plasma
plasma source
deposition
atomic layer
Prior art date
Application number
KR1020187033912A
Other languages
English (en)
Other versions
KR102111702B1 (ko
Inventor
배이뇌 킬피
웨이-민 리
티모 말리넨
유하나 코스타모
스벤 린드포르스
Original Assignee
피코순 오와이
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 피코순 오와이 filed Critical 피코순 오와이
Publication of KR20180128982A publication Critical patent/KR20180128982A/ko
Application granted granted Critical
Publication of KR102111702B1 publication Critical patent/KR102111702B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05CAPPARATUS FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05C5/00Apparatus in which liquid or other fluent material is projected, poured or allowed to flow on to the surface of the work
    • B05C5/001Apparatus in which liquid or other fluent material is projected, poured or allowed to flow on to the surface of the work incorporating means for heating or cooling the liquid or other fluent material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45582Expansion of gas before it reaches the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32743Means for moving the material to be treated for introducing the material into processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32871Means for trapping or directing unwanted particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Abstract

본 발명은 순차적인 자기포화(self-saturating) 표면 반응들에 의해 상기 반응 챔버 내에서 상기 적어도 하나의 기판 상에 물질을 퇴적하도록 구성된 플라즈마 원자층 퇴적 반응기를 동작시키는 단계; 및 본질적으로 전체 퇴적 사이클 동안에, 비활성 가스 소오스로부터의 가스를 상기 반응 챔버를 향하여 개방된 넓어지는 래디컬 인피드 부분 속으로 흐르도록 허용하는 단계를 포함하는 방법에 관한 것이다. 또한, 본 발명은 대응하는 장치에 관한 것이다.

Description

플라즈마 소오스를 갖는 원자층 퇴적{Atomic layer deposition with plasma source}
본 발명은 일반적으로 플라즈마 소오스를 갖는 퇴적 반응기들에 관한 것이다. 보다 상세하게는, 배타적인 것은 아니지만, 본 발명은 물질이 순차적인 자기 포화(self-saturating) 표면 반응들에 의해 표면들 상에 퇴적되는 퇴적 반응기들에 관한 것이다.
원자층 에피택시(Atomic Layer Epitaxy; ALE) 방법은 1970년대 초반에 Tuomo Suntola 박사에 의해 발명되었다. 본 방법에 대한 다른 총괄 명칭은 원자층 퇴적(Atomic Layer Deposition; ALD)이며, 요즘에는 이것이 ALE를 대신해서 사용된다. ALD는 기판에 적어도 두개의 반응성 전구체 종들(precursor species)의 순차적인 도입에 기초한 특별한 화학적 퇴적 방법이다. 상기 기판은 반응 공간 내에 위치한다. 상기 반응 공간은 전형적으로 가열되어 있다. ALD의 기본적인 성장 메카니즘은 화학적 흡착(chemisorption) 및 물리적 흡착(physisorption) 사이의 결합력 차이들에 의존한다. ALD는 퇴적 공정 동안에 화학적 흡착을 이용하며, 물리적 흡착을 제거한다. 화학적 흡착 동안에 고상(solid phase) 표면의 원자(들)와 기상(gas phase)으로 도달한 분자 사이에 강력한 화학적 결합이 형성된다. 물리적 흡착에 의한 결합은 단지 반 데르 발스 힘이 수반되기 때문에 훨씬 약하다. 물리적 흡착의 결합들은 국부적 온도가 상기 분자들의 응축 온도 위일 때 열 에너지에 의해 쉽게 파괴된다.
ALD 반응기의 반응 공간은 박막들의 퇴적을 위해 사용된 ALD 전구체의 각각에 교대로 및 순차적으로 노출될 수 있는 모든 가열된 표면들을 포함한다. 기본 ALD 퇴적 사이클은 펄스 A, 퍼지 A, 펄스 B, 퍼지 B의 4개의 순차적인 단계들로 구성된다. 펄스 A는 전형적으로 금속 전구체 증기로 구성되며, 펄스 B는 비금속 전구체 증기, 특히 질소 또는 산소 전구체 증기로 구성된다. 질소 또는 아르곤과 같은 불활성 가스 및 진공 펌프가 퍼지 A 및 퍼지 B 동안에 상기 반응 공간으로부터 기체 반응 부산물 및 잔류 반응물 분자들을 퍼지하기 위해 사용된다. 퇴적 시퀀스는 적어도 하나의 퇴적 사이클을 포함한다. 퇴적 사이클들은 상기 퇴적 시퀀스가 원하는 두께의 박막을 생산할 때까지 반복된다.
전구체 종들은 화학적 흡착을 통하여 상기 가열된 표면들의 반응성 사이트들에 화학적 결합을 형성한다. 전형적으로 하나의 전구체 펄스 동안에 고체 물질의 단지 하나의 단분자층이 상기 표면들 상에 형성되는 방식으로 조건들이 마련된다. 따라서 상기 성장 공정은 자기 종결적(self-terminating) 또는 포화적(saturative)이다. 예를 들어, 제1 전구체는 흡착 종들에 부착되어 잔류하며, 상기 표면을 포화시키는 리간드들(ligands)을 포함할 수 있어서, 추가의 화학적 흡착을 방지한다. 반응 공간 온도는, 상기 전구체 분자 종들이 본질적으로 온전하게(essentially intact) 상기 기판(들) 상에 화학 흡착되도록 상기 활용된 전구체들의 응결 온도 위로 그리고 열분해 온도 아래로 유지된다. 본질적으로 온전하게라는 것은 상기 전구체 분자 종들이 상기 표면 상에 화학 흡착될 때 휘발성 리간드들이 상기 전구체 분자를 떼어낼 수도 있다는 것을 의미한다. 상기 표면은 제1 타입의 반응성 사이트들, 즉 상기 제1 전구체 분자들의 흡착된 종들로 기본적으로 포화된다. 이러한 화학적 흡착 단계는 전형적으로 제1 포지 단계(퍼지 A)가 수반되며, 여기서 과잉의 제1 전구체 및 있을 수 있는 반응 부산물들이 상기 반응 공간으로부터 제거된다. 이어서 제2 전구체 증기가 상기 반응 공간 내로 도입된다. 제2 전구체 분자들은 전형적으로 상기 제1 전구체 분자들의 흡착된 종들과 반응함으로써 원하는 박막 물질을 형성한다. 이러한 성장은 상기 흡착된 제1 전구체의 전체 량이 소모되고, 상기 표면이 본질적으로 제2 타입의 반응성 사이트들로 포화될 때 종결된다. 이어서 과잉의 제2 전구체 증기와 있을 수 있는 반응 부산물 증기들이 제2 퍼지 단계(퍼지 B)에 의해 제거된다. 이어서 상기 막이 원하는 두께로 성장될 때까지 상기 사이클이 반복된다. 또한 퇴적 사이클들은 더 복잡할 수 있다. 예를 들어, 상기 사이클들은 퍼지 단계들에 의해 분리된 3개 이상의 반응물 증기 펄스를 포함할 수 있다. 모든 이러한 퇴적 사이클들은 로직 유닛 또는 마이크로프로세서에 의해 제어되는 시간조정된(timed) 퇴적 시퀀스를 형성한다.
ALD에 의해 성장된 박막들은 조밀하며, 핀홀이 없고, 일정한 두께를 갖는다. 예를 들어, 250 - 300℃에서 TMA로서 언급되는 트리메틸알루미늄((CH3)3Al)과 물로부터 열적 ALD에 의해 성장된 알루미늄 옥사이드는 100 - 200 mm 직경의 웨이퍼 상에서 통상적으로 약 1% 의 불균일성을 갖는다. ALD에 의해 성장한 금속 옥사이드 박막들은 게이트 유전체들, 전자발광 표시장치 절연체들, 자성 판독 헤드 갭들을 위한 충전층들, 커패시터 유전체들 및 보호층들에 적합하다. ALD에 의해 성장된 금속 나이트라이드 박막들은 예를 들어, 이중 다마신 구조들에서 확산 장벽들을 위해 적합하다.
여러 가지 ALD 반응기들에서 ALD 공정들에 적합한 전구체들이, R.Puurunen의 논문 "Surface chemistry of atomic layer deposition; A case study for the trimethylaluminium/water process" (J.Appl. Phys., 97(2005), p. 121301)에 개시되어 있으며, 여기에 참조로서 통합된다.
ALD 공정들에서 래디컬들의 사용은, 매우 낮은 퇴적 온도에서 열적으로 민감한 기판들을 사용할 가능성과 같은 몇가지 장점들을 얻을 수 있다. 플라즈마 ALD 반응기에서, 래디컬들은 플라즈마 소오스에 의해 발생된다. 그러나 플라즈마 소오스의 사용은 퇴적 반응기에 대해 일정한 요구사항들 또는 특정 문제점들을 야기시킬 수 있다.
본 발명이 해결하려는 과제는 상기 요구사항들 또는 문제점들을 감안하여, 개선된 플라즈마 소오스를 갖는 원자층 퇴적을 제공하는 데 있다.
본 발명의 제1 실시 태양에 따르면, 순차적인 자기포화(self-saturating) 표면 반응들에 의해 반응 챔버 내에서 적어도 하나의 기판 상에 물질을 퇴적하도록 구성된 플라즈마 원자층 퇴적 반응기를 동작시키는 단계; 및 본질적으로 전체 퇴적 사이클 동안에, 비활성 가스 소오스로부터의 가스를 상기 반응 챔버를 향하여 개방된 넓어지는 래디컬 인피드(in-feed) 부분 속으로 흐르도록 허용하는 단계;를 포함하는 방법이 제공된다.
용어 "..흐르도록...허용하는..(allowing...to flow)"은 실제로 "안내하는(guiding)", "안내하는(conducting)" 또는 "흐르도록 안내하는(guiding to flow)"을 의미한다.
어떤 실시예들에서는, 상기 퇴적 반응기는 플라즈마 강화 원자층 퇴적 반응기, 즉 PEALD이다. 어떤 실시예들에서는, 상기 퇴적 반응기는 상기 반응 챔버의 상부측 상의 플라즈마 소오스를 포함한다. 어떤 실시예들에서, 상기 플라즈마 소오스는 유도결합된 플라즈마 소오스이다. 어떤 실시예들에서, 상기 플라즈마 소오스는 상기 퇴적 반응기 내에서 반응물질들로 사용된 래디컬들을 생산한다. 어떤 실시예들에서는, 상기 플라즈마 소오스의 활성화된 종들의 생산물은 래디컬들로 구성된다. 어떤 실시예들에서는, 상기 활성화된 종들의 생산물은 본질적으로 이온들을 포함하지 않는 래디컬들이다.
어떤 실시예들에서, 상기 플라즈마 원자층 퇴적 반응기(플라즈마 ALD 반응기)는 플라즈마 ALD 및 열적 ALD 양쪽 모두에 사용될 수 있다. 열적 ALD용 인피드 라인들은 래디컬들이 상기 반응 챔버 속으로 안내되도록 경유하는 플라즈마 ALD 소오스로부터 분리될 수 있다.
퇴적 공정은 하나 이상의 연속적인 퇴적 사이클들로 구성된다. 각 퇴적 사이클은 플라즈마 ALD 주기를 수반하는 열적 ALD 주기로 구성되거나, 또는 열적 ALD 주기를 수반하는 플라즈마 ALD 주기로 구성될 수 있다. 각 플라즈마 ALD 주기는 기본적으로 플라즈마 ALD 펄수 주기(래디컬 발생 주기) 및 연속적인 플라즈마 ALD 퍼지 주기로 구성될 수 있다. 유사하게, 각 열적 ALD 주기는 기본적으로 열적 ALD 펄스 주기 및 연속적인 열적 ALD 퍼지 주기로 구성될 수 있다. 어떤 실시예들에서는, 각 ALD 사이클은 두 개 이상의 펄스 주기들(이것은 각각 퍼지 주기들이 수반될 수 있다)을 포함할 수 있다.
어떠 실시예들에서, 상기 방법은, 플라즈마 원자층 퇴적 주기의 플라즈마 전구체 펄스 주기 동안에, 상기 비활성 가스 소오스로부터의 가스를 플라즈마 소오스를 경유하여 상기 래디컬 인피드 부분 속으로 흐르도록 허용하는 단계를 포함하며, 그 펄스 주기 동안에 상기 가스는 발생된 래디컬들을 위한 캐리어 가스로서 기능할 수 있다.
어떤 실시예들에서, 상기 방법은, 플라즈마 원자층 퇴적 주기의 퍼지 주기 동안에, 상기 비활성 가스 소오스로부터의 가스를 플라즈마 소오스를 경유하여 상기 래디컬 인피드 부분 속으로 흐르도록 허용하는 단계를 포함하며, 그 퍼지 주기 동안에 상기 가스는 퍼지 및 불활성 차폐 가스로서 기능할 수 있다.
어떤 실시예들에서, 상기 방법은, 플라즈마 원자층 퇴적 주기 및 열적 원자층 퇴적 주기의 양쪽 모두 동안에, 상기 비활성 가스 소오스로부터의 가스를 플라즈마 소오스를 경유하여 상기 래디컬 인피드 부분 속으로 흐르도록 허용하는 단계를 포함할 수 있다.
어떤 실시예들에서, 상기 방법은, 상기 비활성 가스 소오스로부터의 가스를 상기 플라즈마 소오스를 우회하는 경로를 경유하여 상기 래디컬 인피드 부분 속으로 흐르도록 허용하는 단계를 포함할 수 있다.
어떤 실시예들에서, 상기 방법은, 상기 플라즈마 원자층 퇴적 주기 동안에, 상기 비활성 가스 소오스로부터의 가스를 상기 플라즈마 소오스를 경유하여 이동하는 경로와 상기 플라즈마 소오스를 우회하는 다른 경로의 양쪽 모두를 경유하여 상기 래디컬 인피드 부분 속으로 흐르도록 허용하는 단계를 포함할 수 있다.
어떤 실시예들에서, 상기 방법은, 상기 열적 원자층 퇴적 주기 동안에, 상기 비활성 가스 소오스로부터의 가스를 단지 상기 플라즈마 소오스를 우회하는 경로를 경유하여 상기 래디컬 인피드 부분 속으로 흐르도록 허용하는 단계; 및 그 주기 동안에 상기 플라즈마 소오스를 경유하여 흐르는 상기 비활성 가스 소오스로부터의 가스를 배기 라인 속으로 안내하는 단계를 포함할 수 있다.
어떤 실시예들에서, 상기 방법은, 상기 플라즈마 원자층 퇴적 주기 동안에, 불활성 가스를 열적 원자층 퇴적 인피드 라인(들)을 경유하여 상기 반응 챔버로 안내하는 단계를 포함하며, 상기 열적 원자층 퇴적 인피드 라인(들)은 상기 플라즈마 원자층 퇴적 주기 동안에 래디컬들이 상기 반응 챔버 속으로 안내되도록 경유하는 플라즈마 소오스 라인(들)로부터 분리되어 있을 수 있다.
따라서, 어떤 실시예들에서는, 상기 퇴적 반응기는 비활성 가스 소오스로부터 인피드 부분까지 두 개의 경로를 포함할 수 있으며, 어떤 다른 실시예들은 단지 단일의 경로만이 구현될 수 있다. 어떤 실시예들에서는, 상기 플라즈마 소오스는 필요할 때 상기 플라즈마 소오스를 경유하여 상기 경로를 폐쇄하는 게이트 밸브 또는 비교할 만한 폐쇄 부재에 의해 상기 반응 챔버로부터 분리될 수 있으며, 따라서 상기 경로는 상기 인피드 부분을 경유하여 상기 반응 챔버 속으로 계속되지 않고, 함께 상기 반응 챔버를 우회할 수 있다.
어떤 실시예들에서는, 상기 방법은, 적어도 하나의 기계적인 액튜에이터에 의해 수축된 형상과 연장된 형상 사이에서 변형이 가능한 변형성 인피드 부분을 사용하는 단계를 포함한다.
어떤 실시예들에서는, 적어도 하나의 기판을 운반하는 기판 홀더가 상기 변형성 인피드 부분에 기계적으로 결합되어 있고, 상기 방법은, 상기 변형성 인피드 부분을 변형함으로써, 적어도 하나의 기판을 운반하는 상기 기판 홀더를 로딩 또는 언로딩을 위한 상부 위치로 들어올리는 단계를 포함한다.
본 발명의 제2 실시 태양에 따르면, 비활성 가스 소오스로부터 반응 챔버를 향하여 개방되며 넓어지는 래디컬 인피드 부분까지의 가스 라인; 및 본질적으로 전체 퇴적 사이클 동안에, 상기 비활성 가스 소오스로부터의 가스를 인피드 부분 속으로 흐르게 하는 것을 허용하도록 구성된 제어 시스템;을 포함하는 플라즈마 원자층 장치가 제공되며, 상기 플라즈마 원자층 퇴적 반응기가 반응 챔버 내에서 순차적인 자기포화 표면 반응들에 의해 적어도 하나의 기판 상에 물질을 퇴적하도록 구성되어 있다.
어떤 실시예들에서, 상기 장치 또는 제어 시스템은 플라즈마 원자층 퇴적 주기의 플라즈마 전구체 펄스 주기 동안에, 상기 비활성 가스 소오스로부터의 가스를 플라즈마 소오스를 경유하여 상기 래디컬 인피드 부분 속으로 흐르게 하는 것을 허용하도록 구성되어 있으며, 그 펄스 주기 동안에 상기 가스는 발생된 래디컬들을 위한 캐리어 가스로서 기능한다.
어떠한 실시예들에서, 상기 장치 또는 상기 제어 시스템은 플라즈마 원자층 퇴적 주기의 퍼지 주기 동안에, 상기 비활성 가스 소오스로부터의 가스를 상기 플라즈마 소오스를 경유하여 상기 래디컬 인피드 부분 속으로 흐르게 하는 것을 허용하도록 구성되어 있으며, 그 퍼지 주기 동안에 상기 가스는 퍼지 및 불활성 차폐 가스로서 기능한다.
어떤 실시예들에서, 상기 장치 또는 상기 제어 시스템은 플라즈마 원자층 퇴적 주기 및 열적 원자층 퇴적 주기의 양쪽 모두 동안에, 상기 비활성 가스 소오스로부터의 가스를 상기 플라즈마 소오스를 경유하여 상기 래디컬 인피드 부분 속으로 흐르게 하는 것을 허용하도록 구성되어 있다.
어떠한 실시예들에서, 상기 장치 또는 상기 제어 시스템은 상기 비활성 가스 소오스로부터의 가스를 상기 플라즈마 소오스를 우회하는 경로를 경유하여 상기 래디컬 인피드 부분 속으로 흐르게 하는 것을 허용하도록 구성되어 있다.
어떤 실시예들에서, 상기 장치 또는 상기 제어 시스템은 상기 플라즈마 원자층 퇴적 주기 동안에, 상기 비활성 가스 소오스로부터의 가스를 상기 플라즈마 소오스를 경유하여 이동하는 경로와 상기 플라즈마 소오스를 우회하는 다른 경로의 양쪽 모두를 경유하여 상기 래디컬 인피드 부분 속으로 흐르게 하는 것을 허용하도록 구성되어 있다.
어떤 실시예들에서, 상기 장치 또는 상기 제어 시스템은 상기 열적 원자층 퇴적 주기 동안에, 상기 비활성 가스 소오스로부터의 가스를 단지 상기 플라즈마 소오스를 우회하는 경로를 경유하여 상기 래디컬 인피드 부분 속으로 흐르게 하는 것을 허용하며, 그리고 그 주기 동안에 상기 플라즈마 소오스를 경유하여 흐르는 상기 비활성 가스 소오스로부터의 가스를 배기 라인 속으로 안내하도록 구성되어 있다.
어떤 실시예들에서, 상기 장치 또는 상기 제어 시스템은 상기 플라즈마 원자층 퇴적 주기 동안에, 불활성 가스를 열적 원자층 퇴적 인피드 라인(들)을 경유하여 상기 반응 챔버로 안내하도록 구성되어 있으며, 상기 열적 원자층 퇴적 인피드 라인(들)은 상기 플라즈마 원자층 퇴적 주기 동안에 래디컬들이 상기 반응 챔버 속으로 안내되도록 경유하는 플라즈마 소오스 라인(들)로부터 분리되어 있다.
어떤 실시예들에서, 상기 확장 공간을 정의 또는 형성하는 인피드 부분은 그것의 치수들 또는 그것의 형상 또는 크기에서 다양하다. 어떤 실시예들에서, 상기 리프팅 메카니즘은 상기 인피드 부분의 치수들을 변화시키도록 구성되어 있다.
어떤 실시예들에서, 상기 인피드 부분은 래디컬들이 상기 반응 챔버로 들어가도록 경유하는 부분이다. 어떤 실시예들에서, 상기 인피드 부분은 수축된 형상과 연장된 형상을 가지며, 이들 형상들 사이의 이행은 리프팅 메카니즘(엘리베이터 또는 이와 유사한 것)에 의해 동작된다. 상기 엘리베이터는, 상기 인피드 부분이 그것의 수축된 형상에 있을 때, 상기 적어도 하나의 기판의 로딩을 허용하도록 상기 인피드 부분을 상기 연장된 형상으로부터 상기 수축된 형상으로 밀거나 당기도록 구성될 수 있다. 어떤 실시예들에서, 상기 인피드 부분은 수직적으로 변형되도록 구성된다.
어떤 실시예들에서, 상기 인피드 부분은 서로의 내에서 맞춰지도록 이동가능한 한 세트의 중첩된 서브 부분들 또는 링 형상의 부재들을 포함한다. 상기 서브 부분들은 내측으로부터 비어있을 수 있다. 상기 중첩된 서브 부분들의 수는 두 개 이상이 되어 망원경 구조를 형성할 수 있다. 상기 중첩된 서브 부분들의 형태는 원뿔대일 수 있다. 상기 인피드 부분이 실제로 두 개 이상의 서브 부분들로 구성된 어떤 실시예에서는, 상기 반응 공간에 가장 근접한 적어도 상기 서브 부분은 원뿔대일 수 있다. 어떤 실시예들에서는, 상기 인피드 부분은 두 개의 중첩된 서브 부분들로 구성된다.
어떤 실시예들에서는, 상기 인피드 부분은 변형이 가능하며, 상기 장치는 수축된 형상과 연장된 형상 사이에서 상기 인피드 부분을 변형하기 위한 적어도 하나의 기계적인 액튜에이터를 포함할 수 있다.
어떤 실시예들에서는, 적어도 하나의 기판을 운반하는 기판 홀더가 상기 변형성 인피드 부분에 기계적으로 결합되어 있고, 그리고 상기 변형성 인피드 부분을 변형하는 것은 적어도 하나의 기판을 운반하는 상기 기판 홀더를 로딩 또는 언로딩을 위한 상부 위치로 들어올리게 할 수 있다.
본 발명의 제3 실시 태양에 따르면, 순차적인 자기포화 표면 반응들에 의해 상기 반응 챔버 내에서 상기 적어도 하나의 기판 상에 물질을 퇴적하도록 구성된 플라즈마 원자층 퇴적 반응기를 동작시키는 수단; 및 본질적으로 전체 퇴적 사이클 동안에, 비활성 가스 소오스로부터의 가스를 상기 반응 챔버를 향하여 개방된 넓어지는 래디컬 인피드 부분 속으로 흐르도록 허용하는 수단;을 포함하는 플라즈마 원자층 장치가 제공된다.
본 발명의 상이하며 강제력이 없는 예시적 태양들 및 실시예들이 앞에서 묘사되었다. 상기 실시예들은 본 발명의 실현들에서 활용될 수 있는 선택된 태양들 또는 단계들을 단순히 설명하기 위해 사용된다. 일부 실시예들은 단지 본 발명의 어떤 예시적 태양들을 참조하여 제공될 수 있다. 대응하는 실시예들이 또한 다른 예시적 태양들에 적용될 수 있다는 것으로 인식되어야 할 것이다. 상기 실시예들의 어떠한 적절한 조합들도 형성될 수 있다.
본 발명에 따르면, 개선된 플라즈마 소오스를 갖는 퇴적 장치 및 방법을 얻을 수 있었다.
본 발명은 첨부하는 도면들을 참조하여 단지 예시적인 형태로서 설명될 것이다.
도1은 실시예에 따른 퇴적 반응기의 일반적인 뼈대를 보여준다.
도2는 실시예에 따른 퇴적 반응기의 어떤 상세한 내용을 보여준다.
도3은 실시예에 따른 퇴적 반응기의 반응 챔버와 어떤 관련된 부분들을 보여준다.
도4는 실시예에 따른 퇴적 반응기의 공정 장치를 보여준다.
도5는 도4의 실시예에서의 타임 차트의 예를 보여준다.
도6은 다른 실시예에 따른 퇴적 반응기의 공정 장치를 보여준다.
도7은 도6의 실시예에서의 타임 차트의 예를 보여준다.
도8은 실시예에 따른 퇴적 반응기 제어 시스템의 개략적인 블럭도를 보여준다.
이하의 설명에서, ALD 기술이 예로서 사용된다. 그러나 이러한 목적은 이 기술로 엄격히 제한하는 것이 아니며, 이것은 다른 비교할만한 원자-스케일 퇴적 기술들을 활용하는 방법들 및 장치들에서도 어떤 실시예들이 또한 적용가능하다는 것으로 인식되어야 한다.
ALD 성장 메카니즘의 기초들은 당업자에게 알려져 있다. ALD 방법들에 대한 상세한 내용들은 본 특허출원의 도입부에 또한 기술되어 있다. 이러한 상세한 내용들은 여기서 반복되지 않지만, 이 점과 관련하여 상기 도입부에 대해 참조가 이루어진다.
도1은 측면에서의 퇴적 반응기(플라즈마 ALD 반응기 또는 유사한 것)를 보여준다. 상기 퇴적 반응기는 ALD 반응기 모듈(130) 내측에 기판 전송 챔버 아래로 반응 챔버(도1에는 도시 안됨)를 포함한다. 소오스 가스가 상기 반응 챔버의 상측에서 캐리어 및 퍼지 가스 라인(101)을 경유하여 플라즈마 소오스(110)로 흐른다. 상기 플라즈마 소오스(110)에 의해 상기 소오스 가스로부터 생성된 래디컬들이 반응 챔버 인피드 라인 또는 플라즈마 소오스 라인(102)을 경유하여 상기 반응 챔버를 향해 흐른다. 상기 플라즈마 소오스(110)와 상기 반응 챔버 사이에 상기 기판 전송 챔버(120)가 있다. 적어도 하나의 기판이 상기 기판 전송 챔버(120)를 경유하여 상기 반응 챔버 속으로 로딩된다. 상기 기판 전송 챔버(120)는 상기 적어도 하나의 기판을 로딩하기 위한 로드락(load lock) 또는 이와 유사한 것을 위한 인터페이스를 포함한다. 하나의 실시예에서, 상기 인터페이스는 게이트 밸브를 갖는 로드락이 부착될 수 있는, 로드락 플랜지(122) 또는 유사한 것일 수 있다. 하나의 실시예에서, 상기 전송 챔버 내로 적어도 하나의 기판을 로딩하는 것은 자동화된 절차일 수 있다. 대안적으로, 상기 적어도 하나의 기판은 수동으로 로딩될 수 있다. 상기 전송 챔버에 결합된 보다 큰 출입구(123)가 상압(room pressure)에서 수동 로딩 및 언로딩을 위해 특히 적합하다.
상기 플라즈마 소오스로부터의 상기 플라즈마 소오스 라인(102)은, 상기 플라즈마 소오스 라인(102)에 부착된 폐쇄 부재 또는 게이트 밸브 또는 이와 유사한 것(이후에 게이트 밸브(115)라고 지칭함)과 같은 밸브(115)에 의해 상기 전송 챔버(120) 이전에서 폐쇄될 수 있다. 상기 밸브(115)가 개방되면, 상기 플라즈마 소오스로부터 상기 플라즈마 소오스(110)에 의해 발생된 래디컬들이 상기 플라즈마 소오스 라인(102)을 경유하여 상기 반응 챔버를 향하여 흐른다. 상기 래디컬들은 상기 전송 챔버 상부 플랜지(121)을 통과하여 상기 반응 챔버를 향해 넓어지는 확장 공간(도1에 도시안됨)으로 흐른다. 이것과 다른 부가적인 상세한 내용들이 도2에서 보여진다. 도6 및 관련된 상세한 설명에서 더 상세하게 보여지는 실시예에 있어서, 상기 폐쇄 부재 또는 밸브(115)는 상기 구조로부터 생략될 수 있으며, 상기 퇴적 공정 동안에 상기 소오스 가스 라인(101)으로부터 상기 플라즈마 발생기(110)를 통과하여 상기 반응 공간(331, 도3)을 향하여 흐르는 보호용 불활성 가스(아르곤과 같은)가 있다.
상기 확장 공간은 인피드 부분 또는 서로의 내에서 맞춰지도록 이동가능한 중첩된 서브-부분들 또는 링 형상 부재들의 세트를 포함하는 조립체에 의해 정의 또는 형성된다. 도2에서 보여지는 하나의 실시예에서, 상기 서브 부분들의 수는 2개이다. 상기 서브 부분들(241,242)는 망원경 구조를 형성한다. 도2에서 보여지는 하나의 실시예에서, 상기 상부 서브-부분(241)은 상기 전송 챔버 상부 플랜지(121)에 부착된다. 상기 플랜지(121)는, 진공 또는 거의 진공이 전형적으로 상기 인피드 부분을 둘러싸는 상기 전송 챔버의 부분으로 발생될 수 있기 때문에, 진공 챔버 플랜지로서 표시될 수도 있다. 도2에서 보여지는 실시예에서, 상기 하부 서브-부분(242)은 확장 공간 플랜지(224)에 부착되며, 이것은 퇴적 동안에 반응 공간(도3의 331)과 상기 반응 챔버(도3의 335)를 둘러싸는 가스 공간 사이에서 가스 누설을 방지하기 위하여 반응 챔버 플랜지(234)에 대해 실질적으로 누설밀봉(leak-tightly)되도록 고정된다.
도2에서 보여지는 실시예에서, 엘리베이터(250)의 접개들이(retractable) 축이 상기 확장 공간 플랜지(224)에, 또는 직접적으로 상기 인피드 부분에 부착된다. 상기 엘리베이터(250)의 몸체는 또한 상기 전송 챔버 상부 플랜지(121) 또는 상기 퇴적 반응기 내에서 다른 적합한 대응부분에 부착될 수 있다. 상기 엘리베이터(250)는 예를 들어, 적어도 부분적으로 벨로우즈(bellows,251) 또는 이와 유사한 것으로 커버되는 접개들이 축에 의해 동작하는 엘리베이터일 수 있다. 하나의 실시예에서, 이런 배열은 공압 또는 선형 액튜에이터와 상기 확장 공간 플랜지(224) 또는 인피드 부분 사이에 수직적으로 유연한 누설밀봉 커버를 형성한다. 하나의 실시예에서, 진공 및 대기측으로부터 제어된 상태에서 상기 기판 홀더와 함께 상기 인피드 부분 및 확장 공간 플랜지를 이동하기 위한 선형 피드스루(feedthrough)가 사용된다.
도2에서 보여지는 상기 퇴적 반응기는 상기 플라즈마 소오스 라인(102)과 유체 연통(fluid communication)하는 선택적인 배기 라인(207)을 갖는다. 상기 배기 라인(207)은 상기 플라즈마 소오스(110)와 상기 게이트 밸브(115) 사이의 상기 플라즈마 소오스 라인(102)의 일부 상에서 상기 플라즈마 소오스 라인(102)에 결합된다.
나아가, 상기 도2에서 보여지는 상기 퇴적 반응기는 상기 플라즈마 소오스 라인(102)과 유체 연통하는 선택적인 차폐 가스 라인(204)을 갖는다. 상기 차폐 가스 라인 내에 흐르는 불활성 차폐 가스는 파티클 또는 상류 방향으로의 가스 흐름을 방지해준다. 상기 차폐 가스 라인(204)은 상기 게이트 밸브(115) 이후, 하나의 실시예에서 하류 방향으로 상기 게이트 밸브(115)에 바로 아래의 상기 플라즈마 소오스 라인(102)의 일부 상에서 상기 플라즈마 소오스 라인(102)에 결합된다.
대안적인 실시예에서, 상기 확장 공간 플랜지(224)는 상기 인피드 부분으로부터 분리되지 않고 상기 인피드 부분의 일부를 형성하여, 상기 인피드 부분의 바닥부를 형성한다. 이 실시예에서 상기 바닥부는 상기 반응 챔버에 대하여 가장자리 시일(rim seal)로서 역할을 한다. 다른 한편, 이것은 상기 엘리베이터(250, 엘리베이터축)를 위한 고정 포인트 역할을 한다.
도3에서 보여지듯이, 반응 챔버(335)의 반응 공간(331) 내에는, 적어도 하나의 수평으로 위치한 기판(360)이 기판 홀더(361)에 의해 지지되고 또는 그 위에 놓인다. 하나의 실시예에서, 상기 기판 홀더는 두 개의 분리된 구역들을 포함하며, 상기 구역들 사이에서 기판 포크를 자유롭게 이동하기에 충분히 넓은 오픈갭(open gap)을 갖는다. 상기 기판 홀더(361)는 홀더 지지대들(362)에 의해 상기 확장 공간 플랜지(224)에 부착된다. 하나의 실시예에서, 상기 기판 홀더(361)는 상기 확장 공간 플랜지(224)와 함께 이동하도록 구성된다. 하나의 실시예에서, 상기 엘리베이터 벨로우즈(251)의 바닥단은 상기 축과 누설 밀봉되도록 결합된다. 상기 액튜에이터로 상기 엘리베이터 벨로우즈(251) 내에서 상기 축을 끌어당기는 것은 상기 엘리베이터 벨로우즈(251)를 수축시키며, 상기 기판 취급 영역과 그 주변들을 진공으로 유지하는 동안에 상기 적어도 하나의 기판(36) 또는 상기 기판 홀더(361)는 로딩 또는 언로딩을 위해 끌어올려질 수 있다. 상기 서브 부분들(241,242)을 포함하는 상기 인피드 부분은, 상기 전송 챔버(120, 도1)를 경유하여 로딩 및 언로딩을 위해 공간을 남기면서 상기 서브 부분(242)이 상기 보다 작은 서브 부분(241) 상으로 미끄러질 때 수직적으로 수축한다. 두 개의 엘리베이터들과 같이, 하나 이상의 엘리베이터가 있을 수 있다. 제2 엘리베이터의 상기 엘리베이터 벨로우즈(351)는 도3에서 점선으로 보여진다.
하나의 실시예에서, 상기 기판 홀더(361)는 상기 확장 공간 플랜지(224)에 탈착이 가능하도록 부착될 수 있다. 그런 식으로 상기 적어도 하나의 기판(360)과 함께 상기 기판 홀더(361)는 당겨올려질 때 로딩 또는 언로딩될 수 있다. 유사하게, 상기 기판 홀더 내에 수직적으로 위치한 한 배치(batch)의 기판들이 상기 퇴적 반응기 속으로 로딩될 수 잇고, 상기 퇴적 반응기로부터 언로딩될 수 있다.
상기 적어도 하나의 기판(360) 상에 물질을 퇴적하는 것은 상기 반응 챔버(335)의 상기 확장 공간(331) 내에서 순차적인 자기-포화 표면 반응들을 교대로 함으로써 이루어진다. 대안적으로, 상기 플라즈마 소오스(110, 도1 및 도2) 및 다른 전구체 증기로부터의 래디컬들이 상기 반응 챔버(335)의 상기 반응 공간(331)으로 흐른다. 상기 플라즈마 소오스(110)로부터의 래디컬들은 상기 확장 공간을 경유하여 상기 반응 공간(331)으로 상부에서 하부로의 흐름으로 흐른다. 다른 전구체 증기는 인피드 라인(371)을 경유하고, 상기 반응 챔버 플랜지(234) 내의 예시적인 관이음(381)과 채널(373)을 경유하여 흐르거나, 또는 인피드 라인(372)을 경유하고, 상기 반응 챔버 플랜지(234) 내의 예시적인 관이음(382)과 채널(374)을 경유하여 흐른다. 전형적인 반응기 구성에서, 상기 인피드 라인들의 수는 예를 들어 4개 또는 6개이다. 대안적으로, 상기 다른 전구체는 상기 플라즈마 발생 차단과 함께 상기 플라즈마 소오스 라인(102)을 경유하여 상기 반응 챔버(335) 속으로 흐른다. 배기 가스들이 흐름 방향 화살표(305)로 표시된 것처럼 상기 바닥 상의 배기 가이드를 경유하여 배기 라인으로 제거된다.
하나의 실시예에서, 상기 플라즈마 발생기(플라즈마 소오스 라인(110))와 상기 기판 홀더(361) 사이의 가스 공간은 실질적으로 개방 가스 공간으로 구성되어, 상기 플라즈마 발생기에 의해 발생된 대부분의 래디컬들이 상기 기판 전에 어떠한 표면들과 부딪치지 않고 실질적으로 온전하게 상기 기판(360)에 도달될 수 있다.
도4는 하나의 실시예에 따라 퇴적 반응기의 공정 장비를 보여준다. 상기 불활성(또는 비활성) 가스로부터의 불활성 가스 흐름은, 상기 캐리어 및 퍼지 가스 라인(101)을 경유하여 흐르는 캐리어 및 퍼지 가스 흐름과 상기 차폐 가스 라인(204)을 경유하여 흐르는 차폐 가스 흐름으로 나뉘어진다. 하나의 실시예에서, 아르곤 또는 헬륨 또는 이와 유사한 것이 상기 불활성 가스로서 사용된다. 상기 캐리어 및 퍼지 가스 라인(101)은 캐리어 및 퍼지 밸브(410)에 의해 개방되고 폐쇄될 수 있다. 퇴적 동안에, 상기 밸브(410)의 디폴트(default) 위치는 '개방'이다. 상기 차폐 가스 라인(204)은 차폐 가스 밸브(416)에 의해 개방되고 폐쇄될 수 있다. 퇴적 동안에 상기 밸브(416)의 디폴트 위치는 '개방'이다. 상기 캐리어 및 퍼지 가스 라인(101)에서의 유량은 질량 흐름 제어기(MFC, 431)에 의해 제어되며, 상기 차폐 가스 라인(204)에서의 유량은 질량 흐름 제어기(432)에 의해 제어된다. 상기 차폐 가스 라인(204)은 상기 게이트 밸브(115) 하류에서 상기 플라즈마 소오스 라인(102)과 결합한다. 동작 동안에, 상기 게이트 밸브의 디폴트 위치는 '개방'이다. 상기 결합된 흐름은 상기 플라즈마 소오스 라인(102)을 경유하여 흐르며, 상기 확장 공간(425)을 경유하여 상기 반응 챔버(335) 속으로 들어간다. 진공 펌프(438)가 상기 반응 공간(331)으로부터 배기 라인으로 배기 가스들을 퍼지하기 위해 사용된다. 압력 변환기(PT)가, 상기 플라즈마 소오스 라인 압력이 상기 원격 플라즈마 발생기를 동작시키기에 적합한 범위 내에 있다는 것을 확인하기 위해 사용된다.
상기 플라즈마 소오스(110)에 들어가기 전에 상기 캐리어 및 퍼지 밸브(410)의 하류에서 상기 캐리어 및 퍼지 가스가 플라즈마 소오스 전구체 펄싱 밸브들(411-414)을 통과하여 흐른다. 하나의 실시예에서, 상기 밸브들은 3-웨이 밸브들이다. 상기 캐리어 및 퍼지 가스는 펄싱 밸브의 제1 입구 속으로 흐르고, 출구를 경유하여 배출된다. 이런 상황에서, 펄싱 밸브(411-414)를 경유하여 상기 캐리어 및 퍼지 가스 라인(110) 속으로 흐를 수 있고, 연속하여 상기 플라즈마 소오스(110) 내에서 래디컬들을 발생시키기 위해 사용될 수 있는 전구체가 플라즈마 소오스 전구체로서 표시된다. 적용된 퇴적 사이클에 의존하여, 원하는 플라즈마 소오스 전구체가 MFM(Mass Flow Meter)을 경유하고, 캐필러리(capillary) 또는 니들 밸브를 통과하여 대응하는 펄싱 밸브의 제2 입구 속으로 안내된다. 동작 동안에, 밸브들(411-414)의 디폴트 위치는, 상기 제1 입구 및 출구는 '개방'이며, 제2 입구는 '폐쇄'이며, 단지 선택된 플라즈마 소오스 전구체의 플라즈마 전구체 펄스 주기들 동안에만 개방될 것이다.
도4에서 보여지는 실시예에서, 질소 가스, 수소 가스, 암모니아 가스 및 산소 가스가 플라즈마 소오스 전구체들의 예로서 역할을 한다. 질량 흐름 미터(MFM, 441)가 질소 가스 소오스로부터 캐필러리 또는 니들 밸브(451)을 통과하여 질소 펄싱 밸브(411)로 가는 질소 가스의 유량을 측정한다. 유사하게, 질량 흐름 미터(MFM, 442)가 수소 가스 소오스로부터 캐필러리 또는 니들 밸브(452)을 통과하여 수소 펄싱 밸브(412)로 가는 수소 가스의 유량을 측정하며, 질량 흐름 미터(MFM, 443)가 암모니아 가스 소오스로부터 캐필러리 또는 니들 밸브(453)를 통과하여 암모니아 펄싱 밸브(413)로 가는 질소 가스의 유량을 측정하며, 질량 흐름 미터(MFM, 444)가 산소 가스 소오스로부터 캐필러리 또는 니들 밸브(454)를 통과하여 산소 펄싱 밸브(414)로 가는 질소 가스의 유량을 측정한다. MFM들(441-444)은, 상기 플라즈마 소오스 전구체의 유량이 상기 캐필러리 또는 니들 밸브(451-454)의 상류에 대한 상기 플라즈마 소오스 전구체의 압력과, 상기 캐필러리의 오리피스(orifice) 크기 또는 상기 니들 밸브(451-454)의 조정으로 제어된 원하는 값으로 안정되는 것을 확인하기 위해 사용된다. 펄싱 밸브의 제2 입구가 개방될 때, 대응하는 플라즈마 소오스 전구체는 상기 캐리어 가스 흐름과 혼합되고, 나아가 래디컬 발생을 위해 상기 플라즈마 소오스(110)를 향하여 흐른다.
상기 플라즈마 소오스(110)의 하류와 상기 게이트 밸브(115)의 상류의 상기 플라즈마 소오스 라인(101)에 결합된 배출 라인(207)은 정상 동작 동안에 사용되지 않는다. 따라서, 상기 배출 라인(207)을 개방 및 폐쇄할 수 있는 배출 밸브(417)의 디폴트 위치는 '폐쇄'이다.
또한 도4에서는, 예를 들어 열적 ALD 주기 동안에 다른 전구체 증기가 상기 반응 챔버(335) 속으로 경유해서 들어갈 수 있는, 도3에서 볼 수 있는 다른 인피드 라인들(371 및 372)이 보여진다.
도5는 실시예에 따라서 타임 챠트에 의해 도4의 퇴적 반응기의 동작을 보여준다. 퇴적 공정은 기본적으로 반복된 퇴적 사이클들에 의해 형성된다. 시간 t1에서, 상기 플라즈마 소오스 라인(102)의 게이트 밸브(115)는 개방된다. 상기 게이트 밸브(115)는 전체 퇴적 공정 동안에 개방된다. 시간 t2에서, 상기 캐리어 및 퍼지 가스 라인(101)의 상기 분리 밸브(캐리어 및 퍼지 밸브, 410)는 개방된다. 상기 캐리어 및 퍼지 가스 라인(101)의 MFC(431)는 공정값, 예를 들어 50 sccm으로 설정된다. 시간 t3에서, 상기 차폐 가스 라인(204)의 MFC(432)가 상한값으로부터 하한값, 예를 들어 20 sccm으로 설정된다. t3와 t4 사이의 시간이 상기 반응 챔버(335)를 퍼지시키기 위해 사용될 수 있다. 시간 t4에서, (비금속)플라즈마 소오스 전구체의 펄싱 밸브가 개방된다. 도5에서 보여진 실시예에서, 수소 가스가 상기 플라즈마 소오스 전구체로서 사용되며, 그리하여 시간 t4에서 개방된 것은 펄싱 밸브(412)이다. 시간 t5에서, 상기 플라즈마 발생기(플라즈마 소오스,110)의 전력이 래디컬 발생값, 예를 들어 2000W로 증가된다. 하나의 실시예에서, 여기서 언급된 전력은 라디오 주파수(RF) 전력이다. 래디컬들이 t5와 t6 사이의 시간 동안 발생된다. 환언하면, 시간 t5와 t6 사이에서 플라즈마 ALD 단계가 수행된다. 시간 t6에서, 상기 플라즈마 발생기(플라즈마 소오소, 110)의 전력이 래디컬들이 발생되지 않은 값, 예를 들어 100W 이하의 전력으로 하향된다. 시간 t7에서, 상기 플라즈마 소오스 전구체의 펄싱 밸브(여기서는, 밸브 412)가 폐쇄된다. 시간 t8에서, 상기 차폐 가스 라인(204)의 MFC(432)가 하한값으로부터 상한값으로 설정된다. 시간 t7과 t9 사이의 시간이 상기 반응 챔버(335)를 퍼지하기 위해 사용된다. 시간 t9에서, 제2 전구체 증기가 상기 반응 챔버(335) 속으로 안내된다. 본 실시예에서, 상기 제2 전구체는 금속 전구체이다. 시간 t9와 t10 사이에서 상기 제2 전구체 펄스 단계가 수행된다. t9와 t10 사이의 시간은, 상기 차폐 가스 라인(204)을 통과하는 차폐 가스의 유량이 상기 게이트 밸브(115) 및 상기 원격 플라즈마 발생기(110)를 향하는 반응성 분자들의 역류를 방지하기 위하여 상한값으로 있는 동안에, 상기 반응 공간(331)으로부터 과잉의 제2 전구체 분자들과 반응 부산물들을 제거하기 위한 제2 전구체 펄스 및 제3 퍼지 주기로 구성될 수 있다. 이것은 보통 말하는 알려진 종래의 열적 ALD 방법으로서 수행될 수 있다. t3와 t4 사이의 퍼지 주기, t5와 t6 사이의 플라즈마 ALD 단계, t7과 t9 사이의 제2 퍼지 주기, 및 t9와 t10 사이의 열적 ALD 단계에 의해 형성된 퇴적 사이클이, 원하는 두께의 물질이 상기 반응 챔버(335) 내의 적어도 하나의 기판 상에서 성장될 때까지 반복된다. 마침내, 시간 t11에서, 상기 캐리어 및 퍼지 밸브(410)가 폐쇄되고, 상기 캐리어 및 퍼지 가스 라인(101)의 MFC(431)가 제로값으로 설정된다. 마지막으로, 시간 t12에서 상기 게이트 밸브(115)가 폐쇄된다.
대안적인 실시예는, 예를 들어, 모종의 이유로 상기 플라즈마 소오스 라인(102)이 퇴적 공정 동안에 상기 게이트 밸브(115)에 의해 폐쇄되도록 요구되는 상황들에 관한 것이다. 이것은 예를 들어, 상기 열적 ALD 단계 동안에, 또는 만약 상기 반응기가 열적 ALD 단계들만으로 퇴적 공정을 수행하도록 요구된다면 발생될 수 있다. 이들 실시예들에서, 상기 펄싱 밸브들(411-414) 및 상기 플라즈마 소오스(110)를 경유하는 경로는 폐쇄된다. 상기 플라즈마 소오스(110) 내에서 바람직하게는 일정한 압력이 유지되어야 하기 때문에, 상기 배출 라인 밸브(417)는 개방되며, 상기 플라즈마 소오스(110)를 통과하는 가스 흐름은 일정한 압력을 유지하기 위해 상기 배출 라인(207)을 경유하여 직접적으로 상기 배기 라인으로 안내된다. 상기 차폐 가스 라인(204)으로부터 흐르는 차폐 가스는 파티클 및 가스 흐름이 상기 반응 챔버(335)의 방향으로부터 상기 게이트 밸브(115)의 방향으로 올라가는 것을 방지하는 차폐 버퍼를 형성한다.
도6은 다른 실시예에 따라 퇴적 반응기의 공정 장비를 보여준다. 도6에서 보여지는 실시예는 상기 게이트 밸브(115), 관련된 배출 라인(207), 차폐 가스 라인(204), 및 캐리어 및 퍼지 밸브(410)를 포함하지 않는다는 것을 제외하고는 도4에서 보여지는 실시예에 대응한다.
어떠한 실시예들에서, 산소 가스로부터 발생된 산소 래디컬들이 3족 금속 옥사이드들(예를 들어, 이트륨 옥사이드), 4족 금속 옥사이드들(예를 들어, 하프늄 디옥사이드), 5족 금속 옥사이드들(예를 들어, 탄탈륨 펜트옥사이드), 13족 금속 옥사이드들(예를 들어, 알루미늄 옥사이드)과 같은 금속 옥사이드들을 성장시키기 위해 사용된다. 암모니아 가스로부터 발생된 암모니아 래디컬들 및 질소 가스로부터 발생된 질소 래디컬들은, 4족 금속 나이트라이드들(예를 들어, 티타늄 나이트라이드), 5족 금속 나이트라이드들(예를 들어, 탄탈륨 나이트라이드 및 초전도 니오븀 나이트라이드) 및 14족 원소 나이트라이드들(예를 들어, 실리콘 나이트라이드)과 같은 금속 나이트라이드들을 성장시키기 위해 사용된다. 수소 가스로부터 발생된 수소 래디컬들은, 4족 금속들(예를 들어, 티타늄), 5족 금속들(예를 들어, 탄탈륨), 6족 금속들(예를 들어, 텅스텐) 및 11족 금속들(예를 들어, 실버)와 같은 기본 박막들을 성장시키기 위해 환원제로서 사용되었다. 휘발성 하이드로카본들이, 4족 금속 카바이드들(예를 들어, 티타늄 카바이드)과 같은 금속 카바이드들을 성장시키기 위한 하이드로카본 래디컬들을 발생시키기 위해 활용되었다.
도7은 실시예에 따라서 타임 챠트에 의해 도6의 퇴적 반응기의 동작을 보여준다. 시간 tA에서, 상기 캐리어 및 퍼지 가스 라인(101)의 MFC(431)는 공정값, 바람직하게는 10-200 sccm의 범위 내, 보다 바람직하게는 20-100 sccm, 예를 들어 50 sccm으로 설정된다. tB와 tC 사이의 시간이, 열적 ALD 모드에서 금속 전구체로서 TMA가 사용된 경우 대략 50-500℃의 범위로부터 선택된 온도, 예를 들어 200℃로 가열된 상기 반응 공간(331)으로 금속 전구체 증기, 예를 들어 트리메틸알루미늄(TMA)을 펄싱하기 위해 사용된다. tC와 tD 사이의 시간이, 상기 플라즈마 소오스 라인(102)으로부터의 아르곤 또는 헬륨 가스와 상기 인피드 라인들(371, 372)로부터의 질소 가스로 구성된 불활성 가스로 상기 반응 공간(331)을 퍼지하기 위해 사용된다. 시간 tD에서, (비금속)플라즈마 소오스 전구체의 펄싱 밸브가 개방된다. 산소 가스가 도6에서 유용한 플라즈마 소오스 가스들로부터 선택되며, 그리하여 시간 tD에서 펄싱 밸브(414)가 개방된다. 시간 tE에서, 상기 플라즈마 발생기(플라즈마 소오스,110)의 전력이 래디컬 발생값, 산소 래디컬 발생의 경우 100-3000W의 범위에서 선택된 RF 전력, 예를 들어 2000W로 증가된다. 래디컬들이 tE와 tF 사이의 시간 동안에 발생된다. 환언하면, tE와 tF 상의 시간 사이에 플라즈마 ALD 단계가 수행된다. 시간 tF에서, 상기 플라즈마 발생기(플라즈마 소오스, 110)의 전력이, 래디컬이 발생되지 않은 수준, 바람직하게는 100W 이하, 예를 들어 0W의 전력으로 하향된다. 시간 tG에서, 상기 플라즈마 소오스 전구체의 펄싱 밸브(여기서는, 산소 가스 밸브 414)가 폐쇄된다. 시간 tG와 tH 사이의 시간이 불활성 가스로 상기 시스템을 퍼지하기 위해 사용된다. 금속 전구체 펄스, 퍼지, 래디컬 전구체 펄스 및 퍼지로 구성된 시간 tB로부터 시간 tH까지의 퇴적 사이클이, 원하는 두께의 박막이 상기 기판(360) 상에서 성장될 때까지 반복된다.
여기서 제시한 실시예들의 여러 변형들이 시행될 수 있음을 주의해야 한다. 도4에서 보여진 구성에서, 퇴적 사이클은 도5에서 보여진 순서로, 또는 예를 들어 도7에서 보여진 순서로 시행될 수 있다.
어떠한 실시예들에서, 상기 플라즈마 ALD 주기의 상기 플라즈마 전구체 펄스 주기 동안에 상기 플라즈마 발생기(플라즈마 소오스, 110)를 경유하여 상기 비활성 가스 소오스로부터 상기 래디컬 인피드 부분(또는 확장 공간 425)으로 가스가 흐르도록 안내되며, 그 펄스 주기 동안에 상기 가스는 발생된 래디컬들을 위한 캐리어 가스로서 기능을 하며, 어떠한 실시예들에서는 상기 플라즈마 ALD 주기의 퍼지 주기 동안에 상기 플라즈마 발생기를 경유하여 상기 비활성 가스 소오스로부터 상기 확장 공간(425)으로 가스가 흐르도록 안내되며, 그 퍼지 주기 동안에 상기 가스는 불활성 또는 퍼지 가스로서 기능을 한다. 어떠한 실시예들에서는, 이들 주기들의 양쪽 모두 동안에 이런 방식으로 가스가 안내된다. 이들 주기들의 양쪽 모두 동안에, 상기 비활성 가스 소오스로부터의 가스가, 어떠한 실시예들에서는 상기 차폐 가스 라인(204)을 경유하여 상기 확장 공간(425) 속으로 부가적으로 안내된다. 예를 들어, 열적 ALD 주기 동안에, 상기 비활성 가스 소오스로부터의 가스가, 어떠한 실시예들에서는 양쪽 경로들을 경유해서, 또는 상기 차폐 가스 라인(204)만을 경유해서(결국은, 상기 플라즈마 발생기로부터 상기 확장 공간(425)으로의 경로가 예를 들어, 폐쇄된다) 상기 확장 공간(425)으로 안내된다. 또한, 상기 플라즈마 발생기로부터 상기 확장 공간(425)으로의 경로가 폐쇄될 때는 언제나 상기 비활성 가스 소오스로부터의 가스가, 어떠한 실시예들에서는 이들 주기들 동안에 상기 차폐 가스 라인(204)을 경유하여 상기 확장 공간(425)으로 안내되어, 상기 확장 공간(425)으로의 지속적인 불활성 가스의 흐름을 일으키고, 역류 효과를 방지한다. 만약에 상기 플라즈마 발생기로부터 상기 확장 공간(425)으로의 경로가 폐쇄되면, 상기 플라즈마 발생기를 경유하여 흐르는 상기 비활성 가스 소오스로부터의 가스는, 어떠한 실시예들에서는 상기 플라즈마 발생기에서 일정한 압력을 유지하기 위해 그 주기 동안에 배기 라인으로 안내된다.
이하의 실험적인 예는 선택된 실시예들의 동작을 실례를 들어가며 더욱 보여준다.
< 실시예 1 >
100 mm 실리콘 웨이퍼가 도3에서 보여지는 이중 엘리베이터를 갖는 반응 챔버(335)로 로딩되었다. 도6에 따른 퇴적 반응기 장비와 도7의 타임 챠트가 200℃에서 실리콘 웨이퍼 상에 트리메틸알루미늄(TMA)과 물(H2O)로부터 알루미늄 옥사이드(Al2O3)를 성장시키기 위해 사용되었다. 아르곤 가스의 유량은 상기 캐리어 및 퍼지 가스 라인(101)을 통하여 30 sccm 이었다. 상기 TMA 펄스 길이는 0.1초이며, 6초의 퍼지가 이어진다. 산소 가스 펄싱 밸브(414)가 개방되고, 50 sccm의 산소 가스가 상기 펄싱 밸브(414)를 통하여 상기 원격 플라즈마 발생기(110)로 흐른다. 상기 RF 전력은 상기 플라즈마를 켜기 위해 0W에서 2500W로 증가되었으며, 6초 동안 2500W 수준에서 유지된다. 그 이후, 상기 RF 전력은 상기 플라즈마를 끄기 위해 2500W에서 0W로 낮혔다. 이어서, 산소 가스 밸브가 폐쇄되고, 상기 시스템은 10초 동안 불활성 가스로 퍼지되었다. 상기 퇴적 사이클은 36 nm Al2O3 박막이 성장될 때까지 반복되었다. 결과적으로, 49 지점들로부터 엘립소미터로 측정된 박막 두께의 1-시그마 비균일도는 단지 1.3%이었다.
하나의 실시예에서, 여기에서 설명된 퇴적 반응기는 컴퓨터-제어된 시스템이다. 상기 시스템의 메모리 속에 저장된 컴퓨터 프로그램은, 상기 시스템의 적어도 하나의 프로세서에 의한 실행에 따라 상기 퇴적 반응기로 하여금 지시된 대로 동작하게 하는 지시들을 포함한다. 상기 지시들은 컴퓨터 판독가능한 프로그램 코드의 형태일 수 있다. 도8은 퇴적 반응기 제어 시스템(800)의 개략적인 블럭도를 보여준다. 기본 시스템에서 셋업 공정 파라미터들은 소프트웨어의 도움으로 프로그래밍되고, 지시들은 인간 기계 인터페이스(HMI) 단자(806)로 수행되며, 이더넷(Ethernet) 버스(804)를 경유하여 컨트롤 박스(802)로 다운로드된다. 하나의 실시예에서, 상기 컨트롤 박스(802)는 범용 PLC(Programable Logic Control) 유니트를 포함한다. 상기 컨트롤 박스(802)는 메모리, 다이나믹 및 스태틱 메모리들, I/O 모듈들, A/D 및 D/A 컨버터들 및 파워 릴레이들에 저장된 프로그램 코드를 포함하는 컨트롤 박스 소프트웨어를 실행하기 위한 적어도 하나의 마이크로 프로세서를 포함한다. 상기 컨트롤 박스(802)는 상기 퇴적 반응기의 적절한 밸브들의 공압식 컨트롤러들에 전기적 파워를 보내며, 적절한 질량 흐름 제어기들과 양방향 통신을 하며, 상기 퇴적 반응기의 동작을 제어하는 외에도 상기 플라즈마 소오스 및 래디컬 발생과 엘리베이터(들)의 동작을 제어한다. 상기 컨트롤 박스(802)는 상기 퇴적 반응기로부터 상기 HMI 단자(806)로의 프로브 판독들을 측정하고 전달한다. 점선(816)은 상기 퇴적 반응기 부분들과 상기 컨트롤 박스(802) 사이의 인터페이스 라인을 나타낸다.
앞서 말한 설명은, 본 발명의 특정 실현들 및 실시예들의 비제한적인 실시예들을 통해, 본 발명을 수행하기 위해 최근 발명자들에 의해 고려된 최적의 방식(best mode)에 대한 완전하며 유용한 설명을 제공했다. 그러나 당업자들에게 있어서는, 본 발명은 위에 제시한 실시예들의 상세한 내용들로 한정되는 것이 아니며, 본 발명의 특징으로부터 벗어남이 없이 균등한 수단들을 사용하는 다른 실시예들에서 구현될 수 있다는 것이 명백할 것이다.
나아가, 위에 제시한 본 발명의 실시예들의 특징들의 일부는 다른 특징들의 대응하는 사용이 없이 유리하게 사용될 수도 있다. 그러므로 앞의 설명은 본 발명의 원리들에 대한 단순한 실례로서 고려되어져야 하며, 그들로의 제한으로 고려되서는 아니된다. 따라서 본 발명의 사상은 첨부한 특허 청구항들에 의해서만 제한된다.

Claims (1)

  1. 플라즈마 원자층 퇴적 반응기로서,
    반응 챔버;
    원격 플라즈마 소오스; 및
    순차적인 자기포화(self-saturating) 표면 반응들에 의해 상기 반응 챔버 내에서 물질을 퇴적하기 위하여 상기 플라즈마 원자층 퇴적 반응기를 구동하도록 구성된 제어 시스템을 포함하는 플라즈마 원자층 퇴적 반응기.
KR1020187033912A 2011-04-07 2011-04-07 플라즈마 소오스를 갖는 원자층 퇴적 KR102111702B1 (ko)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/FI2011/050303 WO2012136876A1 (en) 2011-04-07 2011-04-07 Atomic layer deposition with plasma source

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020187000786A Division KR101923167B1 (ko) 2011-04-07 2011-04-07 플라즈마 소오스를 갖는 원자층 퇴적

Publications (2)

Publication Number Publication Date
KR20180128982A true KR20180128982A (ko) 2018-12-04
KR102111702B1 KR102111702B1 (ko) 2020-05-15

Family

ID=46968647

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020137028931A KR101819721B1 (ko) 2011-04-07 2011-04-07 플라즈마 소오스를 갖는 원자층 퇴적
KR1020187033912A KR102111702B1 (ko) 2011-04-07 2011-04-07 플라즈마 소오스를 갖는 원자층 퇴적
KR1020187000786A KR101923167B1 (ko) 2011-04-07 2011-04-07 플라즈마 소오스를 갖는 원자층 퇴적

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020137028931A KR101819721B1 (ko) 2011-04-07 2011-04-07 플라즈마 소오스를 갖는 원자층 퇴적

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020187000786A KR101923167B1 (ko) 2011-04-07 2011-04-07 플라즈마 소오스를 갖는 원자층 퇴적

Country Status (9)

Country Link
US (3) US9095869B2 (ko)
EP (1) EP2694700B1 (ko)
JP (1) JP5919371B2 (ko)
KR (3) KR101819721B1 (ko)
CN (1) CN103635605B (ko)
RU (1) RU2584841C2 (ko)
SG (1) SG11201405416UA (ko)
TW (1) TWI565824B (ko)
WO (1) WO2012136876A1 (ko)

Families Citing this family (296)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101819721B1 (ko) * 2011-04-07 2018-02-28 피코순 오와이 플라즈마 소오스를 갖는 원자층 퇴적
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9443998B2 (en) * 2013-03-14 2016-09-13 Nanoco Technologies Ltd. Multi-layer-coated quantum dot beads
JP6107327B2 (ja) * 2013-03-29 2017-04-05 東京エレクトロン株式会社 成膜装置及びガス供給装置並びに成膜方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
CN103882409B (zh) * 2014-03-13 2016-04-20 中国科学院半导体研究所 源输送混合比可调气路装置
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9617637B2 (en) * 2014-07-15 2017-04-11 Lam Research Corporation Systems and methods for improving deposition rate uniformity and reducing defects in substrate processing systems
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9318319B2 (en) * 2014-08-27 2016-04-19 Ultratech, Inc. Radical-enhanced atomic layer deposition using CF4 to enhance oxygen radical generation
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
EP3271499A4 (en) * 2015-03-17 2018-12-19 Picosun Oy Heat conductive ald-coating in an electrical device
WO2016156659A1 (en) * 2015-04-01 2016-10-06 Picosun Oy Ald-deposited graphene on a conformal seed layer
JP5990626B1 (ja) * 2015-05-26 2016-09-14 株式会社日本製鋼所 原子層成長装置
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
RU2633894C1 (ru) * 2016-06-24 2017-10-19 Акционерное общество "Научно-производственное предприятие "Пульсар" Способ плазмо-стимулированного атомно-слоевого осаждения изолирующих диэлектрических покрытий на гетероструктурах нитрид-галлиевых полупроводниковых приборов
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9865455B1 (en) * 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP6697640B2 (ja) * 2017-02-08 2020-05-20 ピコサン オーワイPicosun Oy 可動構造をもつ堆積またはクリーニング装置および動作方法
US10655221B2 (en) * 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP2018152457A (ja) * 2017-03-13 2018-09-27 株式会社デンソー 半導体基板およびその製造方法
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
KR102411152B1 (ko) * 2017-05-02 2022-06-21 피코순 오와이 Ald 장치, 방법 및 밸브
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI650837B (zh) * 2017-09-25 2019-02-11 台灣積體電路製造股份有限公司 製程設備及其組裝方法
CN109559965B (zh) * 2017-09-25 2021-05-14 台湾积体电路制造股份有限公司 制程设备及其组装方法
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10927459B2 (en) * 2017-10-16 2021-02-23 Asm Ip Holding B.V. Systems and methods for atomic layer deposition
KR101960073B1 (ko) * 2017-10-27 2019-03-20 주식회사 뉴파워 프라즈마 반도체 공정용 기판 처리 시스템
KR102014887B1 (ko) * 2017-10-27 2019-08-28 주식회사 뉴파워 프라즈마 선택적으로 라디칼을 공급하는 라디칼 발생기
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US10734238B2 (en) 2017-11-21 2020-08-04 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for critical dimension control
US10515815B2 (en) * 2017-11-21 2019-12-24 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for fin field effect transistor formation
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10446394B2 (en) 2018-01-26 2019-10-15 Lam Research Corporation Spacer profile control using atomic layer deposition in a multiple patterning process
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
CN111074235B (zh) * 2018-10-19 2024-01-05 北京北方华创微电子装备有限公司 进气装置、进气方法及半导体加工设备
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
FI129719B (en) 2019-06-25 2022-07-29 Picosun Oy PLASMA IN SUBSTRATE PROCESSING EQUIPMENT
JP2022538099A (ja) * 2019-06-25 2022-08-31 ピコサン オーワイ 基板処理装置におけるプラズマ
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
US20220275510A1 (en) * 2019-08-06 2022-09-01 Lam Research Corporation Thermal atomic layer deposition of silicon-containing films
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
WO2021090793A1 (ja) * 2019-11-06 2021-05-14 株式会社クリエイティブコーティングス Dlc膜の成膜装置及び成膜方法
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
CN113363405B (zh) * 2021-06-29 2022-06-03 昆山梦显电子科技有限公司 一种显示面板的制备方法、显示面板及显示装置
JP7039085B1 (ja) * 2021-08-30 2022-03-22 株式会社クリエイティブコーティングス 成膜装置
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
FI130545B (en) * 2021-09-14 2023-11-08 Picosun Oy SUBSTRATE PROCESSING EQUIPMENT AND METHOD
CN114807905B (zh) * 2022-06-27 2022-10-28 江苏邑文微电子科技有限公司 一种原子层沉积装置

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030083132A (ko) * 2002-04-19 2003-10-30 주식회사 아이피에스 리모트 플라즈마 ald 장치 및 이를 이용한 ald 박막증착방법
US20050019494A1 (en) * 2003-07-25 2005-01-27 Applied Materials, Inc., A Delaware Corporation Sequential gas flow oxide deposition technique
KR20070015959A (ko) * 2004-05-12 2007-02-06 어플라이드 머티어리얼스, 인코포레이티드 하프늄―함유 높은-k 유전체 재료의 원자 층 증착을 위한장치 및 방법
KR20100025699A (ko) * 2008-08-28 2010-03-10 다이나믹솔라디자인 주식회사 용량 결합 플라즈마 반응기 및 이를 이용한 플라즈마 처리 방법 및 이것에 의해 제조된 반도체 장치

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (sv) * 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US4996077A (en) * 1988-10-07 1991-02-26 Texas Instruments Incorporated Distributed ECR remote plasma processing and apparatus
NL1017849C2 (nl) 2001-04-16 2002-10-30 Univ Eindhoven Tech Werkwijze en inrichting voor het deponeren van een althans ten dele kristallijne siliciumlaag op een substraat.
US7204886B2 (en) * 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US20040086434A1 (en) * 2002-11-04 2004-05-06 Gadgil Pradad N. Apparatus and method for treating objects with radicals generated from plasma
WO2004085703A1 (ja) * 2003-03-25 2004-10-07 Tokyo Electron Limited 処理装置及び処理方法
JP2005322668A (ja) * 2004-05-06 2005-11-17 Renesas Technology Corp 成膜装置および成膜方法
US8211235B2 (en) * 2005-03-04 2012-07-03 Picosun Oy Apparatuses and methods for deposition of material on surfaces
KR101272321B1 (ko) * 2005-05-09 2013-06-07 한국에이에스엠지니텍 주식회사 복수의 기체 유입구를 가지는 원자층 증착 장치의 반응기
US20070116872A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
CN101321893B (zh) 2005-12-06 2011-09-28 株式会社爱发科 气体压头及薄膜制造装置
KR101379015B1 (ko) * 2006-02-15 2014-03-28 한국에이에스엠지니텍 주식회사 플라즈마 원자층 증착법을 이용한 루테늄 막 증착 방법 및고밀도 루테늄 층
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
JP5193527B2 (ja) 2006-09-28 2013-05-08 東京エレクトロン株式会社 シリコン酸化膜の形成方法、シリコン酸化膜の形成装置及びプログラム
US7595270B2 (en) * 2007-01-26 2009-09-29 Asm America, Inc. Passivated stoichiometric metal nitride films
US20080241387A1 (en) * 2007-03-29 2008-10-02 Asm International N.V. Atomic layer deposition reactor
JP5219562B2 (ja) * 2007-04-02 2013-06-26 株式会社日立国際電気 基板処理装置、基板処理方法及び半導体装置の製造方法
US8235001B2 (en) 2007-04-02 2012-08-07 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
US20090025004A1 (en) * 2007-07-16 2009-01-22 Microsoft Corporation Scheduling by Growing and Shrinking Resource Allocation
WO2009129332A2 (en) * 2008-04-16 2009-10-22 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
US8741062B2 (en) * 2008-04-22 2014-06-03 Picosun Oy Apparatus and methods for deposition reactors
EP2159304A1 (en) * 2008-08-27 2010-03-03 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Apparatus and method for atomic layer deposition
US20100183825A1 (en) * 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method
KR101819721B1 (ko) * 2011-04-07 2018-02-28 피코순 오와이 플라즈마 소오스를 갖는 원자층 퇴적

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030083132A (ko) * 2002-04-19 2003-10-30 주식회사 아이피에스 리모트 플라즈마 ald 장치 및 이를 이용한 ald 박막증착방법
US20050019494A1 (en) * 2003-07-25 2005-01-27 Applied Materials, Inc., A Delaware Corporation Sequential gas flow oxide deposition technique
KR20070015959A (ko) * 2004-05-12 2007-02-06 어플라이드 머티어리얼스, 인코포레이티드 하프늄―함유 높은-k 유전체 재료의 원자 층 증착을 위한장치 및 방법
KR20100025699A (ko) * 2008-08-28 2010-03-10 다이나믹솔라디자인 주식회사 용량 결합 플라즈마 반응기 및 이를 이용한 플라즈마 처리 방법 및 이것에 의해 제조된 반도체 장치

Also Published As

Publication number Publication date
TWI565824B (zh) 2017-01-11
RU2013148923A (ru) 2015-05-20
CN103635605A (zh) 2014-03-12
US20180099304A1 (en) 2018-04-12
US9868131B2 (en) 2018-01-16
KR101923167B1 (ko) 2018-11-29
JP5919371B2 (ja) 2016-05-18
KR101819721B1 (ko) 2018-02-28
KR20180008875A (ko) 2018-01-24
EP2694700A4 (en) 2014-08-27
SG11201405416UA (en) 2014-11-27
US20140024223A1 (en) 2014-01-23
US9095869B2 (en) 2015-08-04
TW201243095A (en) 2012-11-01
KR102111702B1 (ko) 2020-05-15
JP2014517499A (ja) 2014-07-17
EP2694700A1 (en) 2014-02-12
WO2012136876A8 (en) 2012-12-06
RU2584841C2 (ru) 2016-05-20
KR20140029424A (ko) 2014-03-10
CN103635605B (zh) 2017-03-08
EP2694700B1 (en) 2016-11-16
US20150322569A1 (en) 2015-11-12
WO2012136876A1 (en) 2012-10-11

Similar Documents

Publication Publication Date Title
KR101819721B1 (ko) 플라즈마 소오스를 갖는 원자층 퇴적
KR101923087B1 (ko) 플라즈마 소오스를 갖는 퇴적 반응기
EP2286006B1 (en) Methods and apparatus for deposition reactors
KR20190128562A (ko) 박막 형성 방법 및 기판 처리 장치
TWI652431B (zh) 用於保護氣體容器內部之方法及設備
EP1745159A2 (en) Apparatuses and methods for atomic layer deposition
KR20200003098A (ko) 밸브를 가진 장치 및 작동 방법
EP4056731A1 (en) Film formation method and film formation device

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant