KR20070015959A - 하프늄―함유 높은-k 유전체 재료의 원자 층 증착을 위한장치 및 방법 - Google Patents

하프늄―함유 높은-k 유전체 재료의 원자 층 증착을 위한장치 및 방법 Download PDF

Info

Publication number
KR20070015959A
KR20070015959A KR1020067026140A KR20067026140A KR20070015959A KR 20070015959 A KR20070015959 A KR 20070015959A KR 1020067026140 A KR1020067026140 A KR 1020067026140A KR 20067026140 A KR20067026140 A KR 20067026140A KR 20070015959 A KR20070015959 A KR 20070015959A
Authority
KR
South Korea
Prior art keywords
gas
chamber
substrate
precursor
hafnium
Prior art date
Application number
KR1020067026140A
Other languages
English (en)
Other versions
KR101316056B1 (ko
Inventor
니 우 미요
켄릭 최
쉬레이 켈
프라빈 나완칼
스티브 포페
크레이지 알. 맷즈너
폴 데아틴
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20070015959A publication Critical patent/KR20070015959A/ko
Application granted granted Critical
Publication of KR101316056B1 publication Critical patent/KR101316056B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4488Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by in situ generation of reactive gas by chemical or electrochemical reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45582Expansion of gas before it reaches the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02TCLIMATE CHANGE MITIGATION TECHNOLOGIES RELATED TO TRANSPORTATION
    • Y02T50/00Aeronautics or air transport
    • Y02T50/60Efficient propulsion technologies, e.g. for aircraft
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • Y10T137/0324With control of flow by a condition or characteristic of a fluid
    • Y10T137/0357For producing uniform flow
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • Y10T137/0396Involving pressure control
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/206Flow affected by fluid contact, energy field or coanda effect [e.g., pure fluid device or system]
    • Y10T137/2087Means to cause rotational flow of fluid [e.g., vortex generator]

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Electrochemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 발명의 실시예들은 원자 층 증착(ALD)과 같이 기상 증착 프로세스동안 기판상에 재료를 증착하기 위한 장치를 및 방법을 제공한다. 일 실시예에 있어서, 챔버는 확장형 채널을 포함하는 챔버 리드를 포함하며, 확장형 채널은 챔버 리드내에 직접 형성되거나 또는 챔버 리드상에 부착된 퍼넬 라이너내에 형성된 열적 절연 재료내에 형성된다. 챔버는 확장형 채널내의 가스 인입구에 결합되고 소용돌이형, 나선형 또는 선회형과 같은 순환 방향으로 가스 흐름을 제공하도록 배치된 적어도 하나의 도관을 더 포함한다. 챔버는 리테이닝 링, 상부 프로세스 라이너, 하부 프로세스 라이너 또는 슬립 밸브 라이너를 포함할 수 있다. 라이너들은 보통 폴리싱된 표면 마무리를 가지며 용융 석영 또는 세라믹과 같은 열적 절연 재료를 포함한다. 대안 실시예에 있어서, 증착 시스템은 ALD 챔버에 결합된 촉매 수증기 생성기를 포함한다.

Description

하프늄―함유 높은-K 유전체 재료의 원자 층 증착을 위한 장치 및 방법{APPARATUSES AND METHODS FOR ATOMIC LAYER DEPOSITION OF HAFNIUM-CONTAINING HIGH-K DIELECTRIC MATERIALS}
본 발명의 실시예들은 일반적으로 기판상에 재료들을 증착하기 위한 방법들 및 장치들, 특히 기상 증착 프로세스들에 의하여 높은-k 유전체 재료를 증착하기 위한 방법들 및 장치들에 관한 것이다.
반도체 프로세싱 분야에서, 평판 디스플레이 프로세싱 또는 다른 전자 장치 프로세싱, 기상증착 프로세싱들은 기판상에 재료를 증착할때 중요한 역할을 한다. 전자장치들의 기하학적 형태들이 계속해서 소형되고 장치들의 밀도가 계속해서 증가함에 따라, 피처들의 크기 및 에스펙트 비는 더욱더 중요하게 되고 있으며, 예컨대 0.07㎛의 피처 크기들 및 10 이상의 에스펙트 비가 고려되고 있다. 따라서, 이들 장치들을 형성하기 위한 재료들의 등각 증착은 그 중요성이 증대되고 있다.
종래의 화학기상증착(CVD)이 장치의 기하학적 형태 및 에스펙트 비를 0.15㎛ 이하까지 줄이는데 성공적인 반면에, 더 진보적인 장치 기하학적 형태들은 대안 증착 기술을 필요로 한다. 주의가 집중되는 한 기술은 원자 층 증착(ALD)이다. ALD 프로세스동안, 반응 가스들은 기판을 포함하는 프로세스 챔버에 순차적으로 유입된 다. 일반적으로, 제 1 반응물은 프로세스 챔버내에서 진동하여 기판 표면상에 흡착된다. 제 2반응물은 프로세스 챔버내에서 진동하여, 증착된 재료를 형성하기 위하여 제 1반응물과 반응한다. 정화 단계는 전형적으로 각각의 반응 가스의 전달중에 수행된다. 정화 단계는 캐리어 가스를 사용하는 연속 정화이거나 또는 반응 가스들의 전달중의 펄스 정화일 수 있다.
ALD 프로세스동안 금속 및 실리콘 선구물질들을 산화시킴으로서 높은-k 유전체 재료들을 형성하는 것은 공지되어 있다. 오존 또는 원자 산소는 ALD 프로세스들을 위한 공통 산화제 또는 산화 소스이다. 낮은 프로세스 온도는 오존 및 원자 산소의 라디컬 상태로 인하여 유전체 재료를 형성하면서 증착 프로세스동안 유리하게 유지될 수 있다. 낮은 온도에서 높은 반응성이 라디컬 산화 작용제들의 속성인 반면에, 프로세스 챔버 전반에 걸처 부적절한 측면 반응들이 전파되어 기판상에 오염물들이 형성된다. 선택적으로, 물 또는 산소는 ALD 프로세스동안 유전체 재료들을 형성하기 위하여 산화 소스로서 사용될 수 있다. 그러나, 물 또는 산소의 중간 반응성으로 인하여, ALD 프로세스들은 일반적으로 라디컬 산소 소스들보다 느린 흐름속도, 긴 노출 기간 및 높은 온도를 필요로한다. 또한, 물 또는 산소를 사용하는 ALD 프로세스들은 각각의 산화 펄스후에 연장된 정화 기간을 필요로 하며 이에 다라 제조 스루풋을 증가시킨다. 게다가, 느린 흐름속도 및 높은 온도는 보통 기판 표면상에서 오염물들을 증가시킨다.
스팀 산화 프로세스는 종래의 CVD 프로세스들동안 금속 또는 실리콘 재료들을 불활성화 또는 산화시키기 위하여 사용되었다. 일례에서, 수증기는 2차 컨테이 너내에 포함된 물을 비등함으로서 생성된후에 프로세스 챔버내로 배관된다. 다른 예에서, 수소 가스 및 산소 가스는 높은 온도(예컨대, > 1,000℃)에서 사전에 가열된 프로세스 챔버내로 공급된다. 양 예들에서, 생성된 수증기는 금속 산화물들 또는 실리콘 산화물들과 같은 유전체 재료들을 형성하기 위하여 금속 표면 또는 실리콘 표면과 반응한다. 전술한 스팀 산화 프로세스들이 CVD 프로세스동안 사용하기에 효과적인 수증기를 생성하는 반면에, 생성된 수증기는 ALD 프로세스동안 사용될 수 없다. 이들 스팀 산화 프로세스들로부터 유도된 수증기는 기판 표면상에 오염물을 유발할 수 있으며 산화 수증기의 함유량 또는 프로세스 온도를 개략적으로 제어한다. 또한, ALD 프로세스들은 프로세스 챔버내에 계량가능하게 전달될 수 있는 일치 조성물의 시약들에 대한 즉시 액세스를 필요로한다.
따라서, 낮은 온도에서 산화 가스를 생성하고, 산화 가스 및 증착된 유전체 재료의 조성물을 제어하며 프로세스 기간을 단축하고 오염물을 최소화하는 유전체 재료 증착 장치 및 방법에 대한 필요성이 요구된다.
일 실시예에 있어서, 기판들을 처리하기 위한 장치는 기판 수용 표면을 가진 기판 지지체, 및 챔버 리드를 포함하며, 챔버 리드는 챔버 리드의 중앙 부분에서 열적 절연 재료내에 형성된 확장형 채널을 포함한다. 테이퍼진 하부 표면은 챔버 리드의 확장형 채널로부터 챔버 리드의 주변 부분으로 확장하며 기판 수용 표면을 실질적으로 커버하는 하는 형상 및 크기를 가진다. 장치는 확장형 채널내에서 제 1가스 인입구 및 제 2가스 인입구에 결합된 제 1도관 및 제 2도관을 더 포함한다. 제 1 및 제 2 도관은 순환 방향으로 확장형 채널을 통해 가스 흐름을 제공하도록 배치되어, 가스 흐름은 소용돌이, 나선형, 선회형 또는 이들의 파생물의 흐름 패턴을 가진다. 확장형 채널은 챔버 리드내에 직접 형성되거나 또는 챔버 리드상에 부착된 퍼넬 라이너내에 형성될 수 있다. 챔버는 상부 프로세스 라이너, 하부 프로세스 라이너, 리테이닝 링 라이너 또는 슬립 밸브 라이너를 포함하는 추가 열적 절연 라이너들을 포함할 수 있다. 챔버 라이너들은 보통 용융 석형, 세라믹, 사파이어, 이들의 파생물 또는 이들의 결합물을 포함하는 열적 절연 재료를 포함하며 적어도 약 0.051㎛의 표면 마무리를 가질 수 있다.
다른 예에서, 기판들을 처리하는 장치는 기판 수용 표면을 가진 기판 지지체 및 챔버 리드를 포함하며, 챔버 리드는 챔버 리드의 중앙 부분에서 열적 절연 재료내에 형성된 확장형 채널을 포함한다. 테이퍼진 하부 표면은 챔버 리드의 확장형 채널로부터 챔버 리드의 주변 부분으로 확장하며 기판 수용 표면을 실질적으로 커버하도록 하는 형상 및 크기를 가진다. 장치는 확장형 채널내에서 적어도 하나의 가스 인입구에 결합된 적어도 하나의 도관, 도관에 결합된 ALD 밸브 어셈블리, 및 ALD 밸브 어셈블리에 결합된 수증기 생성기를 더 포함한다. 수증기 생성기는 촉매제를 포함하며 ALD 밸브 어셈블리를 통해 확장 채널과 유체 연통한다. 수소 소스 및 산소 소스는 보통 수증기 생성기에 배관된다.
다른 실시예에 있어서, ALD 프로세스에 의하여 산소 함유 재료를 증착하기 위한 장치는 프로세스 영역에 노출된 기판 지지체 및 프로세스 영역과 유체 연통하는 적어도 두개의 ALD 밸브 어셈블리들을 포함하는 ALD 프로세스 챔버를 포함한다. 챔버는 프로세스 영역을 한정하는 리드 어셈블리의 중앙 부분에서 확장형 채널을 포함하는 리드 어셈블리를 가질 수 있다. 장치는 하나의 ALD 밸브 어셈블리에 결합된 제 1선구물질 소스 및 제 2 ALD 밸브 어셈블리에 결합된 수중기 생성기를 제공한다. 수증기 생성기는 수소 소스 및 산소 소스에 결합되며, 약 0.1 sccm(분당 표준 입방 센티미터) 내지 약 100sccm의 범위내의 흐름속도로 수증기를 생성한다. 일례에서, 수증기는 약 10sccm 이하, 바람직하게 약 1 sccm 이하의 흐름속도를 가진다. 수소 소스 가스 및 산소 소스 가스는 일례에서 수소 소스 가스가 질소 캐리어 가스내에서 수소의 약 1 vol%을 포함하도록 캐리어 가스에 의하여 희석될 수 있다. 수증기 생성기는 팔라듐, 플라티늄, 니켈, 철, 크롬, 루테늄, 로듐, 이들의 합금 또는 이들의 결합물을 포함하는 촉매제를 가질 수 있다.
일 실시예에 있어서, 기판상에 재료를 증착하기 위한 방법은 챔버 바디 및 챔버 리드를 포함하는 프로세스 챔버내에서 기판 지지체상에 기판을 배치하는 단계를 포함한다. 챔버 리드는 챔버 리드의 중앙 부분에서 열적 절연 재료로 형성된 확장형 채널을 포함하고 챔버 리드의 주변 부분에서 확장형 채널로부터 확장하는 테이퍼진 하부 표면을 포함한다. 테이퍼진 하부 표면은 기판을 실질적으로 커버하도록 하는 형상 및 크기를 가진다. 프로세스 챔버는 확장형 채널내에서 제 1가스 인입구 및 제 2 가스 인입구에 결합된 제 1도관 및 제 2도관을 더 포함한다. 제 1 및 제 2 도관들은 순환 흐름으로 가스를 제공하도록 배치된다. 본 방법은 순환 흐름을 형성하기 위하여 적어도 하나의 캐리어 가스를 제 1 및 제 2도관을 통해 흐르게 하는 단계, 순환 흐름을 사용하여 적어도 하나의 캐리어 가스에 기판을 노출시키는 단계, 적어도 하나의 캐리어 가스에 적어도 하나의 선구물질을 펄싱하는 단계, 및 적어도 하나의 선구물질로부터 적어도 하나의 성분을 포함하는 재료를 기판상에 증착하는 단계를 포함한다. 캐리어 가스는 소용돌이, 나선형, 선회형 또는 이들의 파생물의 흐름 패턴을 가진다.
다른 실시예에 있어서, 기판상에 재료를 증착시키는 방법은 순환 흐름을 사용하여 가스를 형성할 수 있는 가스 전달 시스템을 포함하는 프로세스 챔버내에서 기판 지지체상에 기판을 배치하는 단계, 순환 흐름을 형성하기 위하여 프로세스 챔버내에 적어도 하나의 캐리어 가스를 흐르게 하는 단계, 및 순환 흐름을 사용하여 적어도 하나의 캐리어 가스로 기판을 노출시키는 단계를 포함한다. 본 방법은 수증기를 형성하기 위하여 수증기 생성기내에 수소 소스 가스 및 산소 소스 가스를 수중기 생성기내에 흐르게 하는 단계, 및 선구물질로부터 적어도 하나의 성분 및 산소를 포함하는 재료를 기판상에 증착하기 위하여 캐리어 가스내에 적어도 하나의 선구물질 및 수증기를 펄싱하는 단계를 포함한다.
본 발명의 앞서 인용된 특징들이 더 상세히 이해되는 방식, 본 발명의 상세한 설명, 본 발명의 간단한 설명은 실시예들을 참조로하여 상세히 설명될 것이며, 실시예들의 일부는 첨부 도면들에 기술된다. 그러나, 첨부된 도면들은 단지 본 발명의 전형적인 실시예들을 기술하며 이에 따라 본 발명의 범위를 제한하지 않을 것이다.
도 1은 여기에 기술된 실시예에 따란 ALD 프로세스에 의하여 하프늄-함유 재 료를 증착하는 프로세스 시퀀스를 도시한 도면.
도 2A는 여기에 기술된 실시예에 따라 구성된 프로세스 시스템의 개략도.
도 2B는 여기에 기술된 실시예에 따른 수증기 생성기 시스템의 개략도.
도 3은 여기에 기술된 다른 실시예에 따라 ALD 프로세스에 의하여 하프늄-함유 재료를 증착하는 프로세스 시퀀스를 도시한 도면.
도 4는 여기에 기술된 또 다른 실시예에 따라 ALD 프로세스에 의하여 하프늄-함유 프로세스를 증착하기 위한 프로세스 시퀀스를 도시한 도면.
도 5A-5E는 여기에 기술된 실시예들에 따라 ALD 프로세스동안 하프늄 및 실리콘 선구물질들에 대한 펄싱 시퀀스들의 일부를 도시한 도면.
도 6은 여기에 기술된 실시예에 따라 증착 프로세스동안 사용될 수 있는 프로세스 챔버의 개략적 단면도.
도 7은 여기에 기술된 실시예에 따라 증착 프로세스동안 사용될 수 있는 다른 프로세스 챔버의 개략적 단면도.
도 8은 여기에 기술된 실시예에 따라 증착 프로세스동안 사용될 수 있는 다른 프로세스 챔버의 개략적 단면도.
도 9A-9B는 여기에 기술된 실시예에 따라 프로세스 챔버와 함께 사용될 수 있는 열적 절연 라이너들의 개략도.
도 10은 여기에 기술된 실시예에 따라 증착 프로세스동안 사용될 수 있는 프로세스 챔버 리드 어셈블리의 개략도.
본 발명은 원자 층 증착(ALD) 프로세스들에 의하여 기판 표면들상에 하프늄-함유 재료들 및 다른 높은-k 유전체 재료를 증착하는 방법을 제공한다. 일 양상에 있어서, ALD 프로세스는 하프늄-함유 재료를 형성하기 위하여 ALD 프로세스 챔버내에 하프늄 선구물질 및 산화 가스를 순차적으로 펄싱함으로서 수행된다. 산화 가스는 ALD 프로세스 챔버에 결합된 수증기 생성기(WVG) 시스템으로부터 유도된 수증기를 포함한다. WVG 시스템은 수소 소스 가스 및 산소 소스 가스를 촉매제에 노출시킴으로서 낮은 온도(예컨대, <500℃)로 산화 가스를 생성한다. 산화 가스의 조성물은 산소 또는 수소의 다양한 비율로 강화되는 수증기를 제공하도록 정밀하게 제어될 수 있다. 수증기를 생성하기 위하여 WVG 시스템을 이용하는 ALD 프로세스들은 증착된 유전체 재료들의 조성물을 원소 제어하여 기판상의 오염물들을 최소로하고 프로세스 시간을 고속으로 함으로서 제조 스루풋을 증가시킨다.
프로세스
도 1은 본 발명의 일 실시예에 따라 하프늄 산화물과 같은 하프늄-함유 재료를 형성하는 전형적인 프로세스 시퀀스(100)를 기술한다. 기판은 순환 증착을 수행할 수 있는 프로세스 챔버내에 로드되며 프로세스 조건들은 조절될 수 있다(단계 110). 프로세스 조건들은 기판 또는 프로세스 챔버의 온도, 챔버 압력 및 가스 흐름속도를 포함할 수 있다. 기판은 ALD 사이클을 시작하기전에 선택적 사전-흡수 프로세스 및 정화에 노출될 수 있다(단계 115). 기판은 약 0.1초 내지 약 5초의 범위내의 기간동안 단독으로 또는 캐리어 가스와 결합하여 프로세스 챔버내에 유입된 하프늄 선구물질의 펄스에 노출된다(단계 120). 그 다음에, 정화 가스의 펄스 는 임의의 잔류 하프늄 선구물질 또는 부산물들을 정화 또는 제거하기 위하여 프로세싱 챔버내에 유입된다(단계 130). 다음에, 산화 가스의 펄스는 프로세싱 챔버내에 유입된다(단계 140). 산화 가스는 수증기 및 산소와 같은 여러 산화 작용제들의 혼합물을 포함할 수 있다. 정화 가스의 펄스는 임의의 잔류 산화 가스 또는 부산물들을 정화 또는 제거하기 위하여 프로세서 챔버내에 다시 유입된다(단계 150). 적절한 캐리어 가스들 또는 정화 가스들은 헬륨, 아르곤, 질소, 수소, 포밍 가스, 산소 또는 이들의 결합물을 포함할 수 있다.
여기에서 사용된 "펄스"는 프로세스 챔버의 반응 영역내에 간헐적으로 또는 비연속적으로 유입되는 특정 화합물량을 언급한다. 각각의 펄스내의 특정 화합물량은 펄스의 기간에 따라 시간에 대하여 변화할 수 있다. 각각의 펄스의 기간은 예컨대 사용된 프로세스 챔버의 체적 용량, 프로세스 챔버에 결합된 진공 시스템 및 특정 화합물의 휘발성/반응성과 같은 다수의 인자들에 따라 변화할 수 있다. 여기에서 사용되는 "절반-반응"은 노출단계 및 이 단계 다음의 제거단계를 언급한다. 노출단계는 프로세스 챔버내에 시약을 유입하는 단계, 및 시약을 함유하는 프로세스 가스의 펄스와 같이 그 내부에 포함된 기판상의 시약을 흡착하거나 또는 화학적으로 반응시키는 단계를 제공한다. 정화단계는 가스(예컨대, 정화 가스 또는 캐리어 가스)를 유입하는 챔버로부터 초과 시약 또는 반응 부산물들을 제거하는 단계, 및 진공 시스템을 진공시키는 단계 또는 이들의 결합물을 제공한다.
단계(160)를 참조하면, 각각의 증착 사이클(단계들(120) 내지 (150))후에, 하프늄 산화물과 같은 하프늄-함유 재료층은 기판상에 증착된다. 보통, 각각의 증 착 사이클은 약 1Å 내지 약 10Å의 범위내의 두께를 가진 층을 형성한다. 특정 장치 요건들에 따르면, 다음 증착 사이클들은 적정 두께를 가진 하프늄-함유 재료를 증착하는데 필요할 수 있다. 마찬가지로, 증착 사이클(단계들(120) 내지 (150))은 하프늄-함유 재료의 미리 결정된 두께를 달성하도록 반복될 수 있다. 그 다음에, 프로세스 시퀀스(100)는 단계(170)에서 지시된 바와같이 중지될 수 있다. 증착 프로세스에 의하여 형성된 하프늄 산화물 재료는 실험 화학식 HfOx를 가진다. 하프늄 산화물은 분자 화학식 HfO2를 가질 수 있으나, 프로세스 조건들(예컨대, 타이밍, 온도 또는 선구물질)을 변화시킴으로서 하프늄 산화물들은 HfO1 . 8와 같이 덜 산화될 수 있다. 바람직하게, 하프늄 산화물은 그 내부의 프로세스들에 의하여 증착되며, 분자 화학식은 HfO2이거나 또는 산호:하프늄 농도는 2 이하이다.
기판은 단계(115)동안 증착되는 바와같이 다양한 기능 그룹들을 사용하여 기판 표면을 마무리하기 위하여 사전-처리 프로세스 또는 사전-흡수 프로세스에 노출될 수 있다. 여기에 기술된 증착 프로세스를 시작하기전에 유용한 기능 그룹들은 하이드록실(OH), 알콕시(OR, 여기서 R=Me, Et, Pr 또는 Bu), 할록시(OX, 여기서 X=F, Cl, Br 또는 I), 할로겐화물(F, Cl, Br 또는 I), 산소 라디컬 및 아미노(NR 또는 NR2 여기서 R=H, Me, Et, Pr 또는 Bu)를 포함한다. 사전처리 프로세스는 NH3,B2H6, SiH4, SiH6, H2O, HF, HCl, O2, O3, H2O, H2O2, H2, 원자-H, 원자-N, 원자-O, 알콜, 아닌, 이들의 파생물 또는 이들의 결합물과 같은 시약에 기판을 노출시킬 수 있다. 기능 그룹들은 기판 표면상에 부착하기 위한 입력 화학 선구물질에 대한 베이스를 제공할 수 있다. 사전처리 프로세스는 약 1초 내지 약 2초, 바람직하게 약 5초 내지 약 60 범위의 기간동안 시약에 기판 표면을 노출시킬 수 있다.
일 실시예에 있어서, 사전-흡수 프로세스는 WVG 시스템으로부터 생성된 수증기를 포함하는 산화 가스에 기판을 선택적으로 노출시키는 단계를 포함할 수 있다. 사전-흡수 프로세스는 다음 노출동안 아미노-타입 리간드(예컨대, TDEAH, TDMAH, TDMAS 또는 Tris-DMAS)를 포함하는 선구물질들과 반응하는 하이드록시 한정 기능 그룹들을 기판 표면에 제공한다. 사전-흡수 프로세스동안, 기판 표면은 약 3초 내지 약 90초, 바람직하게 약 5초 내지 약 60초, 더 바람직하게 약 10초 내지 약 30초의 범위내의 기간동안 수증기를 포함하는 산화 가스에 노출될 수 있다. 흡수 프로세스후에, 프로세스 챔버는 초과 산화 가스 및 임의의 휘발성 부산물을 제겅하기 위하여 캐리어 가스 또는 정화 가스로 정화된다. 하프늄-함유 재료를 형성하는 예에서, 기판 표면은 WVG 시스템으로부터 생성된 수증기를 함유하는 산화 가스에 약 9초동안 노출될 수 있다. 그 다음에, 프로세스 챔버는 약 6초동안 정화되며, ALD 프로세스 사이클은 TDEAH 또는 TDMAG를 함유하는 프로세스 가스의 펄스를 제공함으로서 초기화된다. 실리콘-함유 재료들을 형성하는 것과 같은 다른 예들에서, 기판 표면은 WVG 시스템으로부터 생성된 수증기를 포함하는 산화 가스에 약 15초동안 노출될 수 있다. 그 다음에, 프로세스 챔버는 약 10초동안 정화되며, 프로세스 사이클은 TDMAS 또는 Tris-DMAS를 포함하는 프로세스 가스의 펄스를 제공함으로서 초기화된다.
ALD 프로세스는 전형적으로 약 1Torr 내지 약 100Torr, 바람직하게 약 1Torr 내지 약 20Torr, 더 바람직하게 약 1Torr 내지 약 10Torr의 범위내의 압력에서 프로세스 챔버내에서 수행된다. 기판의 온도는 약 70℃ 내지 약 1,000℃, 바람직하게 약 100℃ 내지 약 650℃, 더 바람직하게 약 250℃ 내지 약 500℃의 범위내에서 유지된다.
단계(120)동안, 하프늄 선구물질은 약 5 sccm 내지 약 200sccm의 범위내에 흐름속도로 프로세스 챔버내에 유입된다. 하프늄 선구물질은 약 50sccm 내지 약 1,000sccm의 범위내의 전체 흐름속도로 질소와 같은 캐리어 가스와 함께 유입된다. 하프늄 선구물질은 특정 프로세스 조건들, 하프늄 선구물질 또는 증착된 하프늄-함유 재료의 적정 조성물에 따라 약 0.1초 내지 약 10초의 범위내의 속도에서 프로세스 챔버내에서 정화될 수 있다. 일 실시예에 있어서, 하프늄 선구물질은 약 1초 내지 약 5초의 속도, 예컨대 약 3초의 속도에서 프로세스 챔버내에서 펄싱된다. 다른 실시예에 있어서, 하프늄 선구물질은 약 0.1초 내지 약 1초의 속도, 예컨대 약 0.5초의 속도에서 프로세스 챔버내에서 펄싱된다. 일례에서, 하프늄 선구물질은 바람직하게 하프늄 테라클로라이드(HfCl4)이다. 다른 예에서, 하프늄 선구물질은 바람직하게 테트라키스(디에틸라미노)하프늄((Et2N)4Hf 또는 TDEAH)와 같은 테트라키스(디아킬라미노)하프늄 화합물이다.
하프늄 선구물질은 도 2A에 도시된 바와같이 하프늄 선구물질을 함유하는 앰폴(282)를 통해 캐리어 가스를 유입함으로서 프로세스 챔버(280)내에 분배된다. 앰폴(282)은 앰폴, 버블, 카트리지, 또는 화학 선구물질들을 포함하거나 또는 분배하기 위하여 사용되는 다른 컨테이너를 포함할 수 있다. PROE-VAPTM와 같은 적절한 앰폴은 코네티컷 댄버리에 위치한 어드밴스디드 테크롤로지 매터리얼스로부터 판매된다. 앰폴(282)은 도관(283)에 의하여 프로세스 챔버(280)와 유체 연통한다. 도관(283)은 튜브, 파이프, 라인, 호스 또는 공지된 다른 도관들일 수 있다. 또한, 앰폴(282)은 프로세스 챔버(280)로부터 거리(284)에 배치된다. 거리(284)는 보통 약 2 미터 이하, 바람직하게 약 1.25미터 이하, 더 바람직하게 약 0.7미터 이하이다. 거리(284)는 일치 하프늄 선구물질 흐름을 유지하기 위하여 최소화될 수 있다. 또한, 도관(283)이 직선형이거나 또는 곡선형일수 있을지라도, 도관(283)은 바람직하게 직선형이거나 또는 가능한 비곡선형이다. 도관(283)은 미리 결정된 온도를 유지하기 위하여 가열 테이프로 감길 수 있다. 앰폴(282)의 온도는 약 20℃ 내지 약 300℃의 범위와 같은 범위내에서 하프늄 선구물질에 따른 온도로 유지된다. 일례에서, 앰폴(282)은 약 150℃ 내지 약 200℃의 범위내의 온도에서 HfCl4를 포함한다.
일 실시예에 있어서, 앰폴(282)은 주입기 밸브 시스템(281)을 포함하는 액체 전달 시스템의 부분일 수 있다. 주입기 밸브 시스템(281)은 도관(283)에 의하여 앰폴(282) 및 프로세스 챔버(280)에 결합된다. 캐리어 가스의 소스는 보통 주입된 밸브 시스템(281)에 결합된다(도시안됨). 액체 선구물질(예컨대, TDEAH, TDMAH, TDMAS 또는 Tris-DMAS)를 포함하는 앰폴(282)은 액체 선구물질을 주입기 밸브 시스 템(281)에 전달하도록 압축될 수 있다. 일반적으로, 앰폴(282)은 약 138kPa(약 20psi) 내지 약 414kPa(약 60psi)의 범위내의 압력에서 압축될 수 있으며, 약 100℃ 이하의 온도, 바람직하게 약 20℃ 내지 약 60℃의 범위내에서 가열될 수 있다. 주입기 밸브 시스템(281)은 프로세스 챔버(280)에 주입되는 선구물질 증기를 형성하기 위하여 캐리어 가스와 액체 선구물질을 결합한다. 캐리어 가스는 질소, 아르곤, 헬륨, 수소 또는 이들의 결합물을 포함할 수 있으며, 캐리어는 약 85℃ 내지 약 150℃의 범위내의 온도에서 사전에 가열될 수 있다. 적절한 주입기 밸브는 일본 교토에 위치에 위치한 호리바-스텍으로부터 이용가능하다.
단계(140)동안, 산화 가스는 약 0.05sccm 내지 약 1,000sccm, 바람직하게 약 0.5sccm 내지 약 100sccm의 범위내의 흐름속도로 프로세스 챔버(280)에 유입된다. 산화 가스는 약 0.05초 내지 약 10초, 바람직하게 약 0.08초 내지 약 3초, 더 바람직하게 약 0.1초 내지 약 2초의 범위내의 흐름속도에서 프로세스 챔버(280)내에 펄싱된다. 일 실시예에 있어서, 산화 가스는 약 1초 내지 약 5초의 범위내의 흐름속도, 예컨대 1.7초의 흐름속도로 펄싱된다. 다른 실시예에 있어서, 산화 가스는 약 0.1초 내지 약 3초의 범위내의 속도, 예컨대 약 0.5초의 속도로 펄싱된다.
산화 가스는 도관(287)에 의하여 프로세스 챔버(280)와 유체 연통하여 수증기 생성기(WVG) 시스템(286)으로부터 생성될 수 있다. 피팅들(212, 214)은 도관(287)를 WVG 시스템(286)에 도는 프로세스 챔버(280)에 링크하기 위하여 사용될 수 있다. 적절한 피팅들은 아메리카, 인크.의 후지킨으로부터 이용가능한 UPG 피팅들을 포함한다. 일반적으로, 도관(287)은 ALD 밸브 어셈블리를 통해 프로세스 챔버 (280)과 유체 연통한다. 도관(287)은 튜브, 파이프, 라이너 또는 금속(예컨대, 스테인레스강 또는 알루미늄), 고무, 또는 플라스틱(예컨데, PTFE)로 구성된 호스일 수 있다. 일례에서, 스테인레스강(316L)으로부터 형성된 파이프는 도관(287)로서 사용된다. WVG 시스템(286)은 낮은 온도(예컨대, <500℃)에서 산소 소스 가스(예컨대, O2) 및 수소 소스 가스(예컨대, H2)의 촉매 반응에 의하여 초고순도 수증기를 생성한다. 수소 및 산소 소스 가스들은 각각 약 5sccm 내지 약 200sccm, 바람직하게 약 10sccm 내지 약 100 sccm의 범위내의 흐름속도로 WVG 시스템(286)내로 흐른다. 일반적으로, 산소 및 수소 소스 가스들의 흐름속도들은 산소 또는 산소 소스 가스의 존재 및 산화 가스의 외부흐름내에서 수소 또는 수소 소스 가스의 부재를 가지도록 독립적으로 조절된다.
수증기를 포함하는 산화 가스를 생성하는데 유용한 산소 소스 가스는 산소(O2), 원자 산소(O), 오존(O3), 일산화질소(N2O), 일산화질소(NO), 이산화질소(NO2), 오산화디니트로젠(N2O5) , 오산화수소(H2O2), 이들의 파생물 또는 이들의 결합물을 포함할 수 있다. 수증기를 포함하는 산화 가스를 생성하는데 유용한 수소 소스 가스는 수소(H2), 원자 수소(H), 포밍 가스(N2/H2), 암모니아(NH3), 탄화수소(예컨대, CH4), 알콜(예컨대, CH3OH), 이들의 파생물 또는 이들의 결합물을 포함할 수 있다. 캐리어 가스는 산소 소스 가스 또는 수소 소스 가스와 공동으로 흐를 수 있으며 N2, He, Ar 또는 이들의 결합물이다. 바람직하게, 산소 소스 가스는 산소 또는 일산화질소이며, 수소 소스 가스는 수소, 또는 질소에서 수소의 5vol%와 같은 포밍 가스이다.
수소 소스 가스 및 산소 소스 가스는 증착 프로세스동안 산화 가스내에서 수증기의 민감한 제어를 제공하도록 캐리어 가스로 희석될 수 있다. 일 실시예에 있어서, 느린 수증기 흐름속도(약 <10sccm 수증기)는 하프늄-함유 재료 또는 다른 유전체 재료를 형성하기 위하여 ALD 프로세스동안 화학적 반응을 완료하는데 바람직할 수 있다. 느린 수증기 흐름속도는 산화 가스내의 수증기 농도를 희석시킨다. 희석된 수증기는 기판표면상에 흡착된 선구물질들을 산화하는 농도를 가진다. 따라서, 느린 수증기 흐름속도는 제조 스루풋을 증가시키기 위하여 수증기 노출후에 정하 시간을 최소화한다. 또한, 느린 수증기 흐름속도는 부적절한 공동 반응을 방지함으로서 입자 오염물들의 형성을 감소시킨다. 질량 흐름 제어기(MFC)는 약 0.5sccm의 흐름속도로 수증기의 스트림을 생성하면서 약 0.5sccm의 흐름속도로 수소 소스 가스를 제어하는데 사용될 수 있다. 그러나, 대부분의 MFC 시스템들은 이러한 느른 흐름속도로 일치 흐름속도를 제공할 수 없다. 따라서, 희석된 수소 소스 가스(예컨대, 포밍 가스)는 느린 수증기 흐름속도를 달성하기 위하여 WVG 시스템내에서 사용될 수 있다. 일례에서, 약 10sccm의 흐름속도를 가지고 5% 수소 포밍 가스를 포함하는 수소 소스 가스는 약 0.5sccm의 흐름속도로 WVG 시스템으로부터 수증기를 전달한다. 대안 실시예에 있어서, 고속 수증기 흐름속도(약 >10sccm 수증기)는 하프늄-함유 재료 또는 다른 유전체 재료를 형성하면서 ALD 프로세스 동안 화학적 반응을 완료하는데 바람직할 수 있다. 예컨대 수소 가스의 약 100 sccm 는 수증기의 약 100 sccm을 전달한다.
포밍 가스는 아르곤 또는 질소와 같이 캐리어 가스에서 약 1 중량 % 내지 약 95중량 %의 범위내의 수소 농도로 선택될 수 있다. 일 양상에서, 포밍 가스의 수소 농도는 캐리어 가스에서 약 1 중량% 내지 약 30 중량, 바람직하게 약 2중량 내지 약 20중량, 더 바람직하게 약 3중량 내지 약 10중량의 범위내에 있으며, 예컨대 포밍 가스는 약 3 수소 내지 약 95 질소를 포함할 수 있다. 다른 양상에서, 포밍 가스의 수소 농도는 캐리어 가스에서 약 30중량 내지 약 95중량, 바람직하게 약 40중량 내지 약 90중량, 더 바람직하게 약 50중량 내지 약 85중량의 범위내에 있으며, 예컨대 포밍 가스는 약 80% 수소 및 약 20 질소를 포함할 수 있다.
일례에서, WVG 시스템은 약 0.5sccm의 흐름속도로 수증기를 포함하고 약 9.8sccm의 흐름속도로 산소를 포함하는 산화 가스를 형성하기 위하여 약 10sccm의 흐름속도로 5% 수소(95% 질소)를 포함하는 수소 소스 가스 및 약 10sccm의 흐름속도로 산소 소스 가스(예컨대, O2)를 수신한다. 다른 양상에 있어서, WVG 시스템은 약 1sccm의 흐름속도로 수증기를 포함하고 약 9sccm의 흐름속도로 산소를 포함하는 산화 가스를 형성하기 위하여 약 20sccm의 흐름속도로 5% 수소 포밍 가스를 포함하는 수소 소스 가스 및 약 10sccm의 흐름속도로 산소 소스 가스를 수신한다. 또 다른 예에서, WVG 시스템은 약 10sccm의 흐름속도로 수증기를 포함하고 약 9.8sccm의 흐름속도로 산소를 포함하는 산화 가스를 형성하기 위하여 약 20sccm의 흐름속도로 수소 가스를 포함하는 수소 소스 가스 및 약 10sccm의 흐름속도로 산소 소스 가스 를 수신한다. 다른 예들에서, 산소 소스 가스로서 일산화질소는 ALD 프로세스들동안 수증기를 형성하기 위하여 수소 소스 가스와 함께 사용된다. 일반적으로, 일산화질소의 2몰 당량은 산소 가스의 각각의 몰 당량으로 대체된다.
WVG 시스템은 수증기를 포함하는 산화 가스가 수소의 소스 및 산소의 소스사이의 촉매 화학 반응에 의하여 생성되는 촉매-라이닝형 반응기 또는 촉매 카트리지와 같은 촉매를 포함한다. WVG 시스템은 보통 1,000℃ 이상의 온도에서 발화 반응의 결과로서 수증기를 생성하는 발열 생성기들과 다를 수 있다. 촉매를 포함하는 WVG 시스템은 약 100℃ 내지 약 500℃의 범위, 바람직하게 약 350℃ 이하의 낮은 온도에서 수증기를 생성한다. 촉매 반응기내에 포함된 촉매는 팔라듐, 플래티늄, 니켈, 철, 크롬, 루데늄, 로듐, 이들의 합금 또는 이들의 결합물과 같은 금속 또는 합금을 포함할 수 있다. 초고순도 물은 본 발명에서 ALD 프로세스들에 대하여 이상적이다. 일 실시예에 있어서, 비반응 수소가 하부로 흐르는 것을 방지하기 위하여, 산소 소스 가스는 약 5초동안 WVG 시스템을 통해 흐르도록 한다. 다음에, 수소 소스 가스는 약 5초동안 반응기에 입력되도록 된다. 산소 및 수소 소스 가스들(예컨대, H2 및 O2)간의 촉매 반응은 수증기를 생성한다. 산소 및 수소 소스 가스들의 흐름을 조절하면, 수증기를 포함하는 형성된 산화 가스내의 산소 및 수소 농도들을 정밀하게 제어할 수 있다. 수증기는 수소 소스 가스, 산소 소스 가스 또는 이들의 결합물의 나머지들을 포함할 수 있다. 적절한 WVG 시스템들은 캘리포니아 산타클라라에 위치한 아메리카 인크.의 후지킨에 의한 수증기 생성기(WVG) 시스템, 또는 캘리포니아 멘로 파크에 위치한 울트라 클린 테크롤로지에 의한 촉매 스팀 생성기 시스템(CSGS)와 같이 상업적으로 이용가능하다.
도 2B는 WVG 시스템(286)의 한 구성을 기술한다. 수소 소스(262), 산소 소스(262), 및 캐리어 가스 소스(266)는 도관 시스템(261)에 의하여 WVG 시스템(286)에 연결된다. 도관 시스템(261)은 수소 가스(262), 산소 소스(264) 및/또는 캐리어 가스 소스(266)로부터의 가스들이 가스 입력들(267) 및 가스 필터(268)를 통해 촉매 반응기(270)와 독립적으로 유체 연통하도록 하는 도관들 및 밸브들을 포함한다. 수증기는 촉매 반응기(270)내에 형성되고 촉매 반응기(270)로부터 방출된다. 또한, 도관 시스템(261)은 수소 소스(262) 및 산소 소스(264)로부터의 가스들이 접점(271)에 있는 촉매 반응기(270)를 독립적으로 바이패스하도록 하는 도관들 및 밸브들을 포함한다. 따라서, 추가 수소 소스 가스 및/또는 산소 소스 가스는 촉매 반응기(270)를 바이패스할 수 있으며, 산소 또는 수소로 강화된 산화 가스를 형성하기 위하여 수증기와 결합한다. 가스 센서(272) 및 가스 필터(274)는 촉매 반응기(270)의 하부에 있는 도관 시스템(261)에 연결된다. 가스 센서(272)는 산소, 수소 및 수증기 농도를 포함하는 산화 가스의 조성물을 결정하기 위하여 사용될 수 있다. 산화 가스는 출력 WVG 시스템(286)전에 가스 필터(274)를 통과할 수 있다.
정화 가스, 바람직하게 아르곤 또는 질소의 펄스들은 전형적으로 단계(130, 150)에서 2(slm:분당 표준리터) 내지 약 22slm의 범위, 바람직하게 약 10slm의 흐름속도에서 유입된다. 각각의 프로세싱 사이클(단계들 120 내지 150)은 약 0.01초 내지 약 20초의 범위내의 기간동안 발생한다. 일례에 있어서, 프로세스 사이클은 약 10초에 종료한다. 다른 예에 있어서, 프로세스 사이클은 약 2초에 종료한다. 약 10초에서 종료하는 긴 프로세싱 단계들은 우수한 하프늄-함유 막들을 증착하나 스루풋을 감소시킨다. 특정 정화 가스 흐름속도 및 프로세스 사이클의 기간은 실험을 통해 획득된다. 일례에 있어서, 300mm 직경 웨이퍼는 유사한 스루풋을 유지하기 위하여 200mm 직경 웨이퍼와 동일한 기간동안 약 2배의 흐름속도를 필요로한다.
일 실시예에 있어서, 수소 가스는 증착된 재료들로부터 할로겐 오염물을 감소시키기 위하여 캐리어 가스, 정화 및/또는 반응 가스로서 공급된다. 할로겐 원자들(예컨대, HfCl4, SiCl4 및 Si2Cl6)을 포함하는 선구물질들은 증착된 유전체 재료들을 용이하게 오염시킨다. 수소는 리던던트이며, 휘발성 및 제거가능 부산물로서 수소 할로겐화물(예컨대, HCl)을 생성할 것이다. 따라서, 수소는 선구물질 화합물(예컨대, 하프늄, 실리콘, 산소 선구물질들)과 결합될때 캐리어 가스 및 리던던트 가스로서 사용될 수 있으며, 다른 캐리어 가스(예컨대, Ar 또는 N2)를 포함할 수 있다. 일 실시예에 있어서, 물/수소 혼합물은 약 100℃ 내지 약 500℃의 범위내의 온도에서 할로겐 농도를 감소시키고 증착된 재료의 산소 농도를 증가시키도록 사용된다. 일례에서, 물/수소 혼합물은 수소 강화 물 증기를 형성하기 위하여 WVG 시스템내에 수소 소스 가스의 초과분을 공급함으로서 유도될 수 있다.
다른 실시예에 있어서, 도 3은 하프늄 실리케이트와 같은 하프늄-함유 재료를 형성하기 위한 전형적인 프로세스 시퀀스(200)를 기술한다. 기판은 순환 증착 을 수행할 수 있는 프로세스 챔버내로 로드되며, 프로세스 조건들은 조절된다(단계 205). 기판은 ALD 사이클을 시작하기전에 선택적 사전-습수 프로세스 및 정화에 노출될 수 있다(단계 207). 기판은 약 0.1초 내지 약 5초의 범위내의 기간동안 프로셋 챔버내에 유입되는 하프늄 선구물질의 펄스에 노출된다(단계 210). 정화가스 펄스는 임의의 잔류 하프늄 선구물질 또는 부산물을 정화 또는 제거하기 위하여 프로세스 챔버내에 유입된다(단계 215). 다음으로, 약 0.1초 내지 약 10초의 범위내의 기간동안 프로세스 챔버내에 유입된다(단계 220). 산화 가스는 WVG 시스템으로부터 유도된 산소 및 수증기와 같은 여러 산화 작용제들을 포함할 수 있다. 정화 가스의 펄스는 임의의 잔류 산화 화합물 또는 부산물을 정화시키거나 또는 제거하기 위하여 프로세스 챔버내에 다시 유입된다(단계 225). 그 다음에, 기판은 약 0.1초 내지 약 10초의 범위내의 기간동안 프로세스 챔버내에 유입되는 실리콘 선구물질의 펄스에 노출된다. 정화 가스의 펄스는 임의의 잔류 실리콘 선구물질 또는 부산물을 정화시키거나 또는 제거하기 위하여 프로세스 챔버내에 펄싱된다(단계 235). 다음에, 산화 가스의 다른 펄스는 약 0.1초 내지 10초의 범위내의 기간동안 프로세스 챔버내에 유입된다(단계 240). 정화 가스의 펄스는 임의의 잔류 산화 화합물 또는 부산물들을 정화하거나 또는 제거하기 위하여 프로세싱 챔버내에 유입된다(단계 245). 적절한 캐리어 가스들 또는 정화 가스들은 헬륨, 아르곤, 질소, 수소, 퍼밍 가스, 산소 또는 이들의 결합을 포함할 수 있다.
단계(250)를 참조하면, 각각의 증착 사이클(단계들 210 내지 245)후에, 제 1두께를 가진 하프늄 실리케이트와 같은 하프늄-함유 재료는 기판 표면상에 증착된 다. 보통, 각각의 증착 사이클은 약 0.5Å 내지 약 10Å의 범위내의 두께를 가진 층을 형성한다. 특정 장치 요건들에 따르면, 다음 증착 사이클들은 미리 결정된 두께를 가진 하프늄-함유 재료를 증착시키는데 필요할 수 있다. 증착 사이클(단계 210 내지 245)는 하프늄-함유 재료에 대한 적정 또는 미리 결정된 두께가 단계(250)에서 수행되고 프로세스 시퀀스(200)가 단계(260)에서 중지될때까지 반복될 수 있다.
여기에 기술된 증착 프로세스들에 의하여 형성된 하프늄 실리케이트 재료는 실험 화학식 HfSiyOx를 가진다. 하프늄 실리케이트는 하프늄 산화물(HfOx 또는 HfO2), 실리콘 산화물(SiOx 또는 SiO2) 또는 단일 페이즈 HfSiO4 재료의 균질 혼합물일 수 있다. 하프늄 실리케이트는 분자 화학식 HfSiO4를 가질 수 있으나 프로세스 조건(예컨대, 타이밍, 온도, 선구물질들)을 가변시킴으로서 하프늄 실리케이트들은 원소 농도, 예컨대 HfSiO3 .8 또는 HfSi0 .8O3 .8에 의하여 변화할 수 있다.
도 3에 도시된 ALD 프로세스는 약 1Torr 내지 100Torr, 바람직하게 약 1Torr 내지 약 20Torr, 더 바람직하게 약 1Torr 내지 약 10Torr의 범위내의 압력에서 프로세스 챔버내에서 발생한다. 기판의 온도는 보통 약 70℃ 내지 약 1,000℃, 바람직하게 약 100℃ 내지 약 650℃, 더 바람직하게 약 250℃ 내지 약 500℃의 범위내에 있다. 단계(207)에서 선택적 사전-흡수 프로세스는 ALD 사이클을 시작한 다음에 이루어지며, 단계(115)에 기술된 바와같이 WVG 시스템으로부터 생성된 수증기를 포함하는 산화 가스에 기판을 노출시키는 단계를 포함한다.
단계(210)동안, 하프늄 선구물질은 약 5sccm 내지 약 200sccm의 범위내의 흐름속도로 프로세스 챔버내로 유입된다. 하프늄 선구물질은 보통 약 50sccm 내지 약 1,000sccm의 범위내의 전체 흐름속도로 질소와 같은 캐리어 가스와 함께 유입된다. 하프늄 선구물질은 약 0.1초 내지 약 10초의 범위내의 속도로 프로세스 챔버내에 펄싱된다. 일 실시예에 있어서, 하프늄 선구물질은 약 1초 내지 약 5초의 범위, 예컨대 약 3초의 속도로 펄싱된다. 다른 실시예에 있어서, 하프늄 선구물질은 약 0.1초 내지 약 1초의 범위, 예컨대 약 0.5초의 속도로 펄싱된다. 일부 예들에서, 하프늄 선구물질은 바람직하게 하프늄 테트라클로라이드인 반면에, 다른 예들에서 하프늄 선구물질은 바람직하게 TDEAH 또는 다른 테트라키스(디아킬라미노)하프늄 화합물들이다.
일 실시예에 있어서, 하프늄 선구물질은 일반적으로 도 2A에 도시된 바와같이 하프늄 선구물질을 포함하는 캐리어 가스를 앰폴(282)를 통해 유입시킴으로서 프로세스 챔버(280)내에 분배된다. 앰폴(282)의 온도는 약 20℃ 내지 약 300℃의 범위내의 온도에서 하프늄 선구물질에 따라 유지된다. 일례에서, 앰폴(282)은 약 150℃ 내지 약 200℃의 범위내의 온도에서 HfCl4를 포함한다. 다른 예에서, 액체 선구물질(예컨대, TDEAH, TDMAH, TDMAS 또는 Tris-DMAS)을 포함하는 앰폴(282)은 주입기 밸브 시스템(281)에 액체 선구물질을 전달하도록 압축될 수 있다. 일반적으로, 액체 선구물질을 포함하는 앰폴(282)은 약 138kPa(약, 20psi) 내지 약 414 kPa(약 60psi)의 범위내의 압력으로 압축될 수 있으며 약 100℃ 이하, 바람직하게 약 20℃ 내지 약 60℃의 범위내의 온도로 가열될 수 있다. 주입기 밸브 시스템(281)은 프로세스 챔버(280)내로 주입되는 선구물질 증기를 형성하기 위하여 캐리어 가스와 액체 선구물질을 결합한다. 캐리어 가스는 질소, 아르곤, 헬륨, 수소 또는 이들의 결합을 포함할 수 있으며, 캐리어는 약 85℃ 내지 약 150℃의 범위내의 온도로 사전에 가열될 수 있다.
단계들(220) 및 (240)에서, 수증기를 포함하는 산화 가스는 약 20 sccm 내지 약 1,000, 바람직하게 약 50 sccm 내지 약 200sccm의 범위내의 속도에서 프로세스 챔버(280)내로 유입된다. 산화 가스는 증착된 하프늄-함유 재료의 적정 조성물 및 특정 프로세스 조건들에 따라 약 0.1초 내지 약 10초의 범위내의 속도로 프로세스 챔버(280)내로 펄싱된다. 일 실시예에 있어서, 산화 가스는 약 1초 내지 약 3초의 범위, 예컨대 약 1.7초의 속도로 펄싱된다. 다른 실시예에 있어서, 산화 가스는 약 0.1초 내지 약 1초, 예컨대 약 0.5초의 속도로 펄싱된다.
산화 가스는 도관(287)에 의하여 프로세스 챔버(280)과 유체 연통하는 WVG 시스템(286)으로부터 생성될 수 있다. 수소 소스 가스(H2) 및 산소 소스 가스(O2)는 각각 약 20sccm 내지 약 300sccm의 범위내의 흐름속도로 WVG 시스템(286)내레 독립적으로 흐른다. 일반적으로, 산소 소스 가스는 소스 가스보다 높은 흐름속도를 가진다. 일례에서, 수소 소스 가스는 약 100sccm의 흐름속도를 가지며, 산소 소스 가스는 산소를 사용하여 수증기를 강조하기 위하여 약 120sccm의 흐름속도를 가진다.
WVG 시스템의 다른 실시예에 있어서, 수소의 흐름은 산소의 흐름보다 높으며, 예컨대 수소 소스 가스는 약 250sccm의 흐름속도를 가지며, 산소 소스 가스는 약 100sccm의 흐름속도를 가진다. 따라서, WVG 시스템으로부터 흐르는 수증기는 수소로 강화된다. 예컨대, 수소 소스 가스가 약 250sccm의 흐름속도를 가지며 산소 소스 가스가 약 100sccm의 흐름속도를 가질때, 산화 가스의 외부 흐름은 약 100sccm의 수증기 및 약 50sccm의 수소의 부분 흐름속도를 포함한다. 수소로 강화된 수증기는 여러가지 중요한 기능들을 가진다. 첫째, 수증기내에서 수소의 초과는 할로겐과 같은 임의의 오염물들의 제거 속도를 증가시킨다. HfCl4 또는 다른 할로겐 화물 선구물질들을 포함하는 증착 프로세스들동안, 할로겐 가스의 초과는 정화 단계들에 의하여 용이하게 제거되는 휘발성 생성물로서 할로겐 염화물을 형성하기 위하여 염화물과 반응한다. 둘째, 수증기내의 할로겐의 초과는 일부 금속 게이트 층들의 산화를 방지한다. MIM 커패시터 또는 장치에서, 스택은 알루미늄 또는 텅스텐과 같은 두개의 금속층들사이에 삽입된 유전체층을 포함할 수 있다. 실리케이트 화합물과 같은 유전체층을 형성하는 동안, 초과 할로겐은 수증기가 유전체층을 산화시키는 동안 금속층을 감소시킨다.
단계(230)동안, 실리케이트 선구물질은 약 5sccm 내지 약 200 sccm의 범위내의 흐름속도 또는 약 1mg/min 내지 약 50mg/min, 바람직하게 약 5mg/min 내지 약 25mg/min의 범위내의 흐름속도로 프로세스 챔버에 유입된다. 실리콘 선구물질은 약 50 sccm 내지 약 1,000 sccm의 범위내의 전체 흐름속도로 질소와 같은 캐리어 가스와 함께 유입된다. 실리콘 선구물질은 특정 프로세스 및 적정 실리콘 농도에 따라 약 0.1 초 내지 약 10초의 범위내의 속도로 프로세스 챔버내로 펄싱된다. 일 실시예에 있어서, 실리콘 선구물질은 약 1초 내지 약 5초의 범위, 예컨대 약 3초의속도로 펄싱된다. 다른 실시예에 있어서, 실리콘 선구물질은 약 0.1초 내지 약 1초의 범위, 예컨대 약 0.5초의 속도로 펄싱된다. 일부 예들에서, 실리콘 선구물질은 바람직하게 트리스(디메틸라미노)실란 ((Me2N)3SiH 또는 Tris-DMAS), 테트라키스(디메틸라미노)실란((Me2N)4Si 또는 TDMAS) 또는 다른 디아킬라미노실란인 반면에, 다른 예들에서 실리콘 선구물질은 바람직하게 실란(SiH4)이다.
단계들(215, 225, 235, 245)동안, 아르곤 또는 질소와 같은 정화 가스의 펄스들은 약 2slm 내지 약 22slm의 범위, 바람직하게 약 10slm의 흐름속도로 유입된다. 각각의 프로세스 사이클(단계들 210 내지 245)는 약 2초 내지 약 40초의 범위내의 기간동안 발생할 수 있다. 일례에서, 프로세스 사이클의 기간은 약 20초에 종료하는 반면에, 다른 예에서 프로세스 사이클의 기간은 약 4초에 종료한다. 약 20초에서 종료하는 긴 프로세스 단계들은 감소된 스루풋으로 우수한 하프늄-함유 막들을 증착한다.
다른 실시예에 있어서, 하프늄-실리케이트와 같은 하프늄-함유 재료들은 산화 가스를 유입하는 단계들중 하나 그리고 다음 정화 단계를 생략함으로서 형성될 수 있다. 일례에서, 단계들(220) 및 (225)은 생락되며, 이에 따라 하프늄 실리케이트 재료는 하프늄 선구물질, 정화 가스, 실리콘 선구물질, 정화 가스, 산화 가스 및 정화 가스를 순차적으로 펄싱함으로서 형성될 수 있다. 다른 예들에서, 단계들(240, 245)은 생략되며, 이에 따라 하프늄 실리케이트 재료는 하프늄 선구물질, 정화 가스, 산화 가스, 정화 가스, 실리콘 선구물질 및 정화 가스를 순차적으로 펄싱함으로서 형성될 수 있다.
도 4는 본 발명의 다른 실시예에 따라 하프늄 실리케이트와 같은 하프늄-함유 재료를 형성하는 전형적인 프로세스 시퀀스(300)를 기술한다. 기판은 순환 증착을 형성할 수 있는 프로세스 챔버내에 로드되며, 프로세스 조건들은 조절된다(단계 310). 기판은 ALD 사이클을 시작하기전에 선택적 사전-습수 프로세스 및 정화에 노출될 수 있다(단계 315). 기판은 약 0.1초 내지 약 5초의 범위내의 기간동안 프로세스 챔버내에 유입되며 시간적으로 완전하게 또는 적어도 부분적으로 중첩되는 실리콘 선구물질의 펄스 및 하프늄 선구물질의 펄스에 노출된다(단계 320). 정화 가스의 펄스는 임의의 잔류 하프늄 선구물질, 실리콘 선구물질 또는 부산물을 정화시키거나 또는 제거하기 위하여 프로세싱 챔버내에 펄싱된다(단계 330). 다음에, 산화 가스의 다른 펄스는 프로세싱 챔버내에 유입된다(단계 340). 산화 가스는 WVG 시스템으로부터 유도된 수증기 및 산소와 같은 여러 산화제들을 포함할 수 있다. 정화 가스의 펄스는 임의의 잔류 산화 화합물을 정화하거나 또는 제거하기 위하여 프로세싱 챔버내에 유입된다(단계 350). 적절한 캐리어 가스들 또는 정화 가스들은 헬륨, 아르곤, 질소, 수소, 퍼밍 가스, 산소 또는 이들의 결합물을 포함할 수 있다.
단계(360)를 참조하면, 각각의 증착 사이클(단계들 320 내지 350)후에, 제 1 두께를 가진 하프늄 실리케이트와 같은 하프늄-함유 재료는 기판 표면상에 증착될 것이다. ALD 프로세스동안, 각각의 증착 사이클은 약 0.5Å 내지 약 10Å의 범위내의 두께를 가진 층을 형성한다. 특정 장치 요건들에 따르면, 다음 증착 사이클들은 미리 결정된 두께를 가진 하프늄-함유 재료를 증착시키는데 필요할 수 있다. 증착 사이클(단계 320 내지 350)는 하프늄-함유 재료에 대한 적정 또는 미리 결정된 두께가 단계(360)에서 수행되고 프로세스 시퀀스(300)가 단계(370)에서 중지될때까지 반복될 수 있다.
도 4에 도시된 ALD 프로세스는 전형적으로 약 1Torr 내지 100Torr, 바람직하게 약 1Torr 내지 약 20Torr, 더 바람직하게 약 1Torr 내지 약 10Torr의 범위내의 압력에서 프로세스 챔버내에서 발생한다. 기판의 온도는 보통 약 70℃ 내지 약 1,000℃, 바람직하게 약 100℃ 내지 약 650℃, 더 바람직하게 약 250℃ 내지 약 500℃의 범위내에 있다. 단계(315)에서 선택적 사전-흡수 프로세스는 ALD 사이클을 시작한 다음에 이루어지며, 단계(115)에 기술된 바와같이 WVG 시스템으로부터 생성된 수증기를 포함하는 산화 가스에 기판을 노출시키는 단계를 포함한다.
단계(320)동안, 하프늄 선구물질 및 실리콘 선구물질은 선구물질의 펄스로서 프로세스 챔버내로 흐름으로서 각각 유입되며, 즉 펄스된 선구물질은 프로세스 챔버내에 선구물질의 유입이다. 도 5A-5E에 있어서, t1은 하프늄 선구물질 및 실리콘 선구물질이 단계(320)동안 펄스되는 기간에 대응하는 반면에, t2는 단계들(330), (340) 및 (350)동안 기간에 대응한다. 기간 t1 및 t2는 서로에 대하여 실제 크기로 도시되지 않는다. 도 5A에 도시된 일 실시예에 있어서, 하프늄 선구물질 및 실리콘 선구물질은 t1의 모두동안 선구물질들이 흐르도록 동일한 기간동안 독립적으로 펄싱된다. 예컨대, 하프늄 선구물질 및 실리콘 선구물질은 약 2초동안 동시에 펄싱된다.
도 5B-5C에 의하여 도시된 다른 실시예에 있어서, 하프늄 선구물질 및 실리콘 선구물질은 독립적으로 펄싱되어 제 1선구물질은 t1의 모두동안 흐르며 제 2선구물질은 t1의 중간동안 흐른다. 예컨대, 도 5B에서, t1가 약 2초에서 종료할때, 하프늄 선구물질은 약 2초동안 펄싱되며 실리콘 선구물질은 펄싱된 하프늄 선구물질의 중간동안 약 1.5초동안 펄싱된다. 선택적으로, 도 5C에서, t1가 약 2초에서 종료할때, 실리콘 선구물질은 약 2초동안 펄싱되며, 하프늄 선구물질은 펄싱된 실리콘 선구물질의 중간동안 약 1.5초동안 펄싱된다.
도 5D-5E에 의하여 도시된 다른 실시예에 있어서, 하프늄 선구물질 및 실리콘 선구물질은 부분적 중첩으로 독립적으로 펄싱되며, 이에 따라 제 1선구물질은 t1의 초기에 흐르나 t1의 끝에서 흐르지 않으며 제 2선구물질은 t1의 초기에 흐르지 않으나 t1의 끝에서 흐른다. 예컨대, 도 5D에서, t1가 약 2초에서 종료될때, 하프늄 선구물질은 t1의 초기에 약 1.5초동안 펄싱되며 실리콘 선구물질은 t1의 끝에서 약 1.5초동안 펄싱된다. 다른 예에 있어서, 도 5E에서, t1가 약 2초에서 종료하면, 실리콘 선구물질은 t1의 초기에 약 1.75동안 펄싱되며 하프늄 선구물질은 t1의 끝에서 약 1.5초동안 펄싱된다.
선택적으로, 제 1선구물질(예컨대, 하프늄 선구물질)은 기간 t1의 임의의 부분동안 펄싱될 수 있는 반면에 제 2선구물질(예컨대, 실리콘 선구물질)의 중첩 또는 비중첩은 기간 t1의 임의의 부분동안 펄싱될 수 있다. 따라서, 하프늄 선구물질, 실리콘 선구물질 또는 다른 선구물질은 임의의 부분 시간중첩을 가지거나 또는 시간중첩을 가지지 않고 프로세스 챔버내로 독립적으로 펄싱될 수 있다. 일례에 있어서, t1가 약 2초에서 종료할때, 하프늄 선구물질은 약 2초동안 펄싱되며, 실리콘 선구물질은 하프늄 선구물질의 펄스동안 0.5초동안 펄싱된다. 다른 예에 있어서, t1가 약 2초에서 종료할때, 하프늄 선구물질은 약 0.5초동안 펄싱되며, 실리콘 선구물질은 하프늄 선구물질의 펄스동안 또는 하프 선구물질의 펄스의 비중첩시에 약 0.5초동안 펄싱된다. 다른 예에 있어서, t1가 약 2초에서 종료할때, 하프늄 선구물질은 약 0.5초동안 펄싱되며, 실리콘 선구물질은 하프늄 선구물질의 펄스동안 또는 하프 선구물질의 펄스의 중첩시에 약 0.5초동안 펄싱된다. 또한, 다중 펄스에 있어서, 제 1선구물질 및 제 2선구물질은 기간 t1동안 펄싱될 수 있다.
단계(320)동안, 하프늄 선구물질은 약 5sccm 내지 약 200sccm의 범위내의 흐름속도로 프로세스 챔버내로 유입된다. 하프늄 선구물질은 보통 약 50sccm 내지 약 1,000sccm의 범위내의 전체 흐름속도로 질소와 같은 캐리어 가스와 함께 유입된 다. 하프늄 선구물질은 약 0.1초 내지 약 10초의 범위의 속도로 프로세스 챔버내로 펄싱될 수 있다. 일 실시예에 있어서, 하프늄 선구물질은 약 1초 내지 약 5초의 범위, 예컨대 약 3초의 속도로 프로세스 챔버내로 펄싱될 수 있다. 다른 실시예에 있어서, 하프늄 선구물질은 약 0.1초 내지 약 1초의 범위, 예컨대 약 0.5초의 속도로 펄싱된다. 일부 예들에서, 하프늄 선구물질은 바람직하게 하프늄 테트라클로라이드인 반면에, 다른 예들에서 하프늄 선구물질은 바람직하게 TDEAH이다.
하프늄 선구물질은 일반적으로 도 2A에 도시된 바와같이 하프늄 선구물질을 포함하는 캐리어 가스를 앰폴(282)를 통해 유입시킴으로서 프로세스 챔버(280)내에 분배된다. 캐리어 가스 및 하프늄 선구물질은 도관(283)을 통해 프로세스 챔버(280)내로 흐르는 선구물질 증기를 형성한다. 앰폴(282)의 온도는 약 20℃ 내지 약 300℃의 범위내의 온도에서 하프늄 선구물질에 따라 유지된다. 일례에서, 앰폴(282)은 약 150℃ 내지 약 200℃의 범위내의 온도에서 HfCl4를 포함한다. 다른 예에서, 액체 선구물질(예컨대, TDEAH, TDMAH, TDMAS 또는 Tris-DMAS)을 포함하는 앰폴(282)은 주입기 밸브 시스템(281)에 액체 선구물질을 전달하도록 압축될 수 있다. 일반적으로, 액체 선구물질을 포함하는 앰폴(282)은 약 138kPa(약, 20psi) 내지 약 414 kPa(약 60psi)의 범위내의 압력으로 압축될 수 있으며 약 100℃ 이하, 바람직하게 약 20℃ 내지 약 60℃의 범위내의 온도로 가열될 수 있다. 주입기 밸브 시스템(281)은 프로세스 챔버(280)내로 주입되는 선구물질 증기를 형성하기 위하여 캐리어 가스와 액체 선구물질을 결합한다. 캐리어 가스는 질소, 아르곤, 헬 륨, 수소 또는 이들의 결합물을 포함할 수 있으며, 캐리어는 약 85℃ 내지 약 150℃의 범위내의 온도로 사전에 가열될 수 있다.
단계(320)동안, 실리콘 선구물질은 약 5sccm 내지 약 200 sccm의 범위내의 흐름속도 또는 약 1mg/min 내지 약 50mg/min, 바람직하게 약 5mg/min 내지 약 25mg/min의 범위내의 흐름속도로 프로세스 챔버에 유입된다. 실리콘 선구물질은 약 50 sccm 내지 약 1,000 sccm의 범위내의 전체 흐름속도로 질소와 같은 캐리어 가스와 함께 유입된다. 실리콘 선구물질은 약 0.1 초 내지 약 10초의 범위내의 속도로 프로세스 챔버내로 펄싱된다. 일 실시예에 있어서, 실리콘 선구물질은 약 1초 내지 약 5초의 범위, 예컨대 약 3초의 속도로 펄싱된다. 다른 실시예에 있어서, 실리콘 선구물질은 약 0.1초 내지 약 1초의 범위, 예컨대 약 0.5초의 속도로 펄싱된다. 일부 예들에서 실리콘 선구물질은 바람직하게 Tris-DMAS 또는 TDMAS이며, 다른 실시예들에서 실리콘 선구물질은 바람직하게 실란이다.
대안 실시예에 있어서, 단계(320)동안, 하프늄 선구물질 및 실리콘 선구물질은 프로세스 챔버내에의 펄싱전에 결합될 수 있다. 하프늄/실리콘 선구물질 혼합물은 증착된 하프늄-함유 재료내에서 적정 Hf:Si 비를 달성하기 위하여 하프늄 선구물질 및 실리콘 선구물질의 비례양을 결합함으로서 형성된다. 하프늄/실리콘 선구물질 혼합물을 포함하는 프로세스 가스는 앰폴내의 선구물질 혼합물을 통해 캐리어 가스를 흐르게 함으로서 형성될 수 있다. 하프늄/실리콘 선구물질 혼합물은 하프늄 실리케이트 재료와 같은 하프늄-함유 재료를 형성하기 위하여 ALD 프로세스에 의하여 산화 가스와 함께 순차적으로 펄싱된다. 여기에 기술된 프로세스들에 의하여 증착된 하프늄 실리케이트들은 실험 화학식 HfSiyOx를 가지며, 여기서 y는 하프늄/실리콘 선구물질 혼합물내의 하프늄 선구물질 및 실리콘 선구물질의 몰 비를 변경시킴으로서 조절될 수 있다. 예컨대, 만일 하프늄 선구물질 대 실리콘 선구물질의 비는 1보다 크면, y는 1보다 작다. 그러나, 만일 하프늄 선구물질 대 실리콘 선구물질의 비가 1보다 작으면, y는 1보다 크다.
단계(340)동안, 산화 가스는 약 200sccm 내지 약 1,000sccm, 바람직하게 약 50sccm 내지 약 200sccm의 범위내의 흐름속도로 프로세스 챔버(280)내에 유입된다. 산화 가스는 약 0.1초 내지 약 10초의 범위내의 속도로 프로세스 챔버(280)내에 펄싱된다. 일 실시예에 있어서, 산화 가스는 약 1초 내지 약 3초의 범위, 예컨대 약 1.7초의 속도로 펄싱된다. 다른 실시예에 있어서, 산화 가스는 약 0.1초 내지 약 1초의 범위내의 속도, 예컨대 약 0.5초의 속도로 펄싱된다.
프로세스 시퀀스(300)의 일 실시예에 있어서, 산화 가스는 도관(287)에 의하여 프로세스 챔버(280)와 유체 연통하여 WVG 시스템(286)으로부터 생성된다. 수소 소스 가스 및 산소 소스 가스는 약 20sccm 내지 약 200sccm의 범위내의 흐름속도로 WVG 시스템(286)내에 각각 흐른다. 일반적으로, 산소 소스 가스의 흐름속도는 수소 소스 가스의 흐름속도보다 높으며, 예컨대 스소 소스 가스는 약 100sccm의 흐름속도를 가지며 산소 소스는 약 120sccm의 흐름속도를 가진다. 따라서, WVG 시스템(286)으로부터 흐르는 수증기는 산소로 강화된다. 예컨대, 수소 소스 가스가 약 100sccm의 흐름속도를 가지고 산소 소스 가스가 약 120sccm의 흐름속도를 가질때, 산화 가스의 외부 흐름은 약 100sccm의 수증기 및 약 70sccm의 산소의 부분 흐름속도를 포함한다. 다른 예에서, 수소 소스 가스는 약 250sccm의 흐름속도를 가지며, 산소 소스 가스는 약 100sccm의 흐름속도를 가진다. 따라서, WVG 시스템으로부터 흐르는 수증기는 수소로 강화된다.
단계들(330, 350)동안 아르곤 또는 질소와 같은 정화 가스의 펄스들은 전형적으로 약 2slm 내지 약 22slm의 범위, 바람직하게 약 10slm의 흐름속도로 유입된다. 각각의 프로세스 사이클(단계들 320 내지 350)은 약 0.5초 내지 약 20초의 범위내의 기간동안 발생할 수 있다. 일례에 있어서, 프로세스 사이클은 약 10초에 종료한다. 다른 예에 있어서, 프로세스 사이클은 약 2초에 종료한다.
프로세스 시퀀스들(100, 200, 300)을 포함하는 실시예들의 일부에 있어서, 종래의 산화제와 같은 대안 산화 가스는 WVG 시스템으로부터 형성된 수증기를 포함하는 산화 가스 대신에 사용될 수 있다. 대안 산화 가스는 WVG 시스템으로부터 유도되지 않은 물을 포함하는 산소 소스, 즉 산소(O2), 오존(O3), 원자 산소(O),오산화수소(H2O2), 일산화질소(N2O), 일산화질소(NO), 이산화질소(NO2), 오산화디니트로젠(N2O5) , 이산화질소(NO2), 이들의 파생물 또는 이들의 결합물으로부터 프로세스 챔버내로 유입된다. 본 발명의 실시예들이 WVG 시스템으로부터 형성된 수증기를 포함하는 산화 가스로부터 장점을 취하는 프로세스들을 제공하는 반면에, 다른 실시예들은 여기에 기술된 증착 프로세스들 동안 하프늄-함유 재료 및 다른 유전체 재료를 형성하면서 대안 산화 가스 또는 종래의 산화제들을 이용하는 프로세스들을 제공한다.
많은 선구물질들은 여기에 기술된 유전체 재료들을 증착하기 위한 본 발명의 실시예들의 범위내에 있다. 하나의 중요한 선구물질의 특징은 양호한 증기 압력을 가진다는 것이다. 대기 온도 및 대기압에서의 선구물질은 가스, 액체 또는 고체일 수 있다. 그러나, 기화된 선구물질들은 ALD 챔버내에서 사용된다. 유기금속 화합물들은 아미드, 알킬, 알콕실, 알킬아미노 또는 아닐리드와 같이 적어도 하나의 금속 원자 및 적어도 하나의 유기-함유 기능 그룹을 포함한다. 선구물질은 유기금속, 무기 또는 할로겐 조성물들을 포함할 수 있다.
전형적인 하프늄 선구물질들은 할로겐 화물, 알킬아미노, 사이클로펜타디에닐, 아킬, 알콕사이드, 이들의 파생물 또는 이들의 결합물을 포함한다. 하프늄 선구물질들로서 유용한 하프늄 할로겐 화물 화합물들은 HfCl4, Hfl4 및 HfBr4를 포함할 수 있다. 하프늄 선구물질들로서 유용한 하프늄 알킬아미노 화합물들은 (RR'N)4Hf를 포함하며, 여기서 R 또는 R'는 독립적으로 수소, 메틸, 에틸, 프로필 또는 부틸이다. 하프늄-함유 재료들을 증착하는데 유용한 하프늄 선구물질들은
Figure 112006091983707-PCT00001
Figure 112006091983707-PCT00002
또는 이의 파생물을 포함한다. 바람직하게, 증팍 프로세스동안 사용된 하프늄 선 구물질들은
Figure 112006091983707-PCT00003
또는
Figure 112006091983707-PCT00004
를 포함한다.
실리콘-함유 재료들을 증착하는데 유용한 전형적인 실리콘 선구물질들은 실란, 아킬아미노실란, 실란 또는 알콕시 실란을 포함하며, 예컨대,
Figure 112006091983707-PCT00005
Figure 112006091983707-PCT00006
Figure 112006091983707-PCT00007
또는 이의 파생물을 포함할 수 있다. 실리콘 선구물질들로서 유용한 다른 알킬라미노실란 화합물은
Figure 112006091983707-PCT00008
이며, 여기서 R 또는 R'는 독립적으로 수소, 메틸, 에틸, 프로필, 또는 부틸이며, n=0-3이다. 다른 알콕시 실란들은 일반 화학식
Figure 112006091983707-PCT00009
에 의하여 기술될 수 있으며, 여기서 R=메틸, 에틸, 프로필 또는 부틸이며 L=H, OH, F, Cl, Br 또는 I 및 이들의 혼합물이다. 또한, 높은 실란은 본 발명의 일부 실시예들내에서 실리콘 선구물질로서 사용된다. "실리콘 화합물을 사용한 실리콘-함유 층 증착"이라는 명칭으로 2003년 10월 17일에 출원되고 US 20040224089로 공개된 공동 양도된 미국특허출원번호 제10/688,797호에 개시되어 있으며, 이 출원은 여기에 참조문헌으로서 통합된다. 바람직하게, 증착 프로세스동안 사용되는 실리콘 선구물질들은
Figure 112006091983707-PCT00010
또는
Figure 112006091983707-PCT00011
를 포함한다.
일부 실시예들에 있어서, 질소는 하프늄-함유 재료들 및 여기에 기술된 프로 세스들동안 증착된 다른 유전체 재료들에 첨가될 수 있다. 일례에서, 하프늄 산화물 재료는 하프늄 옥시니트라이드 재료를 형성하기 위하여 질화될 수 있으며 하프늄 실리케이트 재료는 하프늄 실리콘 옥시니트라이드 재료를 형성하기 위하여 질화될 수 있다. 일례에서, 하프늄 실리케이트 막은 실리콘이 풍부하게 증착되나 기판/유전체 인터페이스에 근접한 부분에서는 질소가 존재하지 않거나 또는 거의 없다. 막의 두께가 증가함에 따라, 유전상수를 증가시키기 위하여 더 많은 하프늄이 막에 통합된다. 질소는 막을 통해 도펀트들의 확산을 감소시키기 위하여 막의 벌크에 첨가될 수 있다. 선택적으로, 질소는 안정한 캐핑 층을 제공하기 위하여 막의 상부 근처에 첨가될 수 있다.
질소는 질소-함유 환경에서 기판을 어닐링하고 및/또는 ALD 사이클내에서 추가 절반 반응으로 질소 선구물질을 포함하는 질소 플라즈마와 같은 질소 충돌에 의하여 하프늄-함유 재료들 및 다른 유전체 재료들에 첨가될 수 있다. 질소 플라즈마 프로세스는 절반 반응후, ALD 사이클의 완료시에 및/또는 하프늄-함유 재료의 증착 완료시에 플라즈마 질소화 프로세스에 기판 표면을 노출시키는 단계를 포함할 수 있다. 예컨대, 질화 원격-플라즈마는 하프늄 옥시니트라이드 막을 형성하기 위하여 하프늄 산화물 막에 노출되거나 또는 하프늄 실리콘 옥시니트라이드 막을 형성하기 위하여 하프늄 실리케이트 막에 노출된다.
다른 실시예에 있어서, 기판상에 증착된 하프늄-함유 재료는 N2, NH3, N2H4, NO, N2O, 원자-N 또는 이들의 결합물과 같은 질소-함유 환경에서 어닐링된다. 기판 은 약 15초 내지 약 10분의 범위내의 기간동안 약 800℃ 내지 약 1,100℃의 범위내의 온도로 가열된다. 예컨대, 하프늄 실리케이트 막을 포함하는 기판은 하프늄 실리콘 옥시니트라이드 막을 형성하기 위하여 NH3로 충전된 챔버내에서 1분동안 900℃에서 열적으로 어닐링된다.
다른 실시예에 있어서, 하프늄 실리콘 옥시니트라이드 재료는 하프늄 선구물질 절반 반응, 실리콘 선구물질 절반 반응, 질소 선구물질 절반 반응 및 적어도 하나의 산화 가스 절반 반응을 포함하는 사이클들을 제공함으로서 ALD 프로세스동안 형성될 수 있다. 질소 선구물질 절반 반응은 하프늄, 실리콘 및 산소 선구물질 절반 반응들에 비례하는 임의의 비율로 한 사이클동안 ALD 프로세스에 첨가될 수 있다. 일례에서, 질소 선구물질 절반 반응은 하프늄, 실리콘 및 산소 선구물질 절반 반응들의 약 두개의 ALD 사이클마다 추가된다. 게다가, 사이클 비는 막 깊에에 통합되는 질소 비를 제어하기 위하여 변화될 수 있다. 일 실시예에 있어서, ALD 프로세스는 막의 하부에서 보다 막의 상부 근처에서 질소의 농도가 높도록 하프늄 실리콘 옥시니트라이드 경사진 막을 형성할 수 있다. 일반적으로, 높은 질소 농도를 포함하는 막의 상부는 막의 약 상부 20% 이하, 바람직하게 상부 10% 이하, 더 바람직하게 상부 5% 이하이다. 만일 실리콘 선구물질 절반 반응이 생략되면, 하프늄 옥시니트라이드 막은 유사한 ALD 사이클에서 성장될 수 있다. 바람직하게, 산화 가스는 WVG 시스템으로부터 형성된 수증기를 포함한다.
전형적인 질소 선구물질들은 NH3, N2, 히드라진(예컨대, N2H4 또는 MeN2H3), 아민(예컨대, Me3N, Me2NH 또는 MeNH2), 아닐린(예컨대, C6H5NH2), 유기 아지드(예컨대, MeN3 또는 Me3SiN3), 무기 아지드(예컨대, NaN3 또는 Cp2CoN3), 라디컬 질소 화합물(예컨대, N3, N2, N, NH, 또는 NH2), 이의 파생물들 또는 이의 결합물들을 포함할 수 있다. 라디컬 질소 화합물들은 열, 핫-와이어들 또는 플라즈마에 의하여 생성될 수 있다.
대안 실시예에 있어서, 다양한 금속 산화물들 및 금속 실리케이트들은 WVG 시스템으로부터 유도된 수증기를 포함하는 산화 가스로 금속 선구물질들을 순차적으로 펄싱함으로서 형성될 수 있다. 여기에 기술된 ALD 프로세스들(예컨대, 프로세스 시퀀스들(100, 200, 300)은 하프늄 알루미네이트, 티타늄 실리케이트, 지르코늄 산화물, 지르코늄 실리케이트, 지리코늄 알루미네이트, 탄탈 산화물, 탄탈 실리케이트, 티타늄 산화물, 티타늄 실리케이트, 실리콘 산화물, 알루미늄 산화물, 알루미늄 실리케이트, 란탈 산화물, 란탈 실리케이트, 란탈 알루미네이트, 이의 질화물, 이의 파생물 또는 이의 결합물와 같은 추가 유전체 재료들을 형성하기 위하여 다른 금속 선구물질로 하프늄 및/또는 실리콘 선구물질을 치환함으로서 변경될 수 있다. 일 실시예에 있어서, 두개 이상의 ALD 프로세스들은 서로 교번하여 하나의 층을 증착하도록 동시에 수행된다. 예컨대, 결합된 프로세스는 제 1유전체 재료를 형성하는 제 1ALD 프로세스 및 제 2유전체 재료를 형성하는 제 2 ALD 프로세스를 포함한다. 결합된 프로세스는 다양한 하프늄-함유 재료들, 예컨대 하프늄 알루미늄 실리케이트 또는 하프늄 알루미늄 실리콘 옥시니트라이드를 생성하기 위하여 사 용될 수 있다. 일례에서, 유전체 스택 재료는 기판상에 제 1하프늄-함유 재료를 증착하고 기판상에 제 2 하프늄-함유 재료를 증착함으로서 형성된다. 제 1 및 제 2 하프늄-함유 재료들은 하나의 층이 하프늄 산화물을 포함하고 다른 층이 하프늄 실리케이트를 포함할 수 있도록 결합하여 변화할 수 있다. 일 양상에 있어서, 하부층은 실리콘을 포함한다. 여기에 기술된 ALD 프로세스들동안 사용되는 대안 금속 선구물질들은
Figure 112006091983707-PCT00012
Figure 112006091983707-PCT00013
Figure 112006091983707-PCT00014
, 이의 파생물 또는 이의 결합물을 포함한다.
여기에 기술된 다양한 실시예들에 의하여 기술된 증착 프로세스동안 형성된 생성물 유전체 재료에 대하여 많은 산업적 응용이 존재한다. 마이크로전자 산업에 있어서, 생성물 재료는 높은-k 트랜지스터 게이트 유전체 재료, 트랜지스터 게이트 인터페이스 엔지니어링, 높은-k 커패시터 유전체 재료(DRAM), 시드층, 확산 장벽층, 부착층, 절연층 및 패터닝된 표면들을 위한 기능화된 표면 그룹(예컨대, 선택적 증착)로서 사용될 수 있다. 마이크로전자기계 시스템(MEMS)과 관련하여, 여기에 기술된 프로세스동안 형성된 재료들은 절연 또는 구조적 막들로서 사용될 수 있다.
하드웨어
도 6은 여기에 기술된 실시예들에 따라 집적회로 제조를 수행하기 위하여 사용될 수 있는 프로세스 챔버(610)의 개략적 단면도를 도시한다. 프로세스 챔버(610)는 일반적으로 기판을 지지하기 위하여 사용되는 기판 지지 페데스탈(648)을 장착한다(도시안됨). 기판 지지 페데스탈(648)은 이동 메커니즘(648A)을 사용하여 프로세스 챔버(610)내에서 수직방향으로 가동한다.
특정 프로세스에 따르면, 기판은 증착전 또는 증착동안 임의의 적정 온도로 가열될 수 있다. 예컨대, 기판 지지 페데스탈(648)은 삽입된 가열 엘리먼트(652A)를 사용하여 가열될 수 있다. 기판 지지 페데스탈(648)은 AC 전력 공급부(652)로부터 가열 엘리먼트(652A)로 전류를 공급함으로서 저항적으로 가열될 수 있다. 기판(도시안됨)은 지지 페데스탈(648)에 의하여 가열된다. 선택적으로, 기판 지지 페데스탈(648)은 예컨대 램프(도시안됨)와 같은 방사 가열기들을 사용하여 가열될 수 있다.
열전쌍과 같은 온도 센서(650A)는 종래의 방식으로 페데스탈(648)의 온도를 모니터링하기 위하여 기판 지지 페데스탈(648)에 삽입된다. 측정된 온도는 기판온도가 특정 프로세스 응용동안 적절한 적정 온도로 유지되거나 또는 제어될 수 있도록 가열 엘리먼트(652A)에 대한 AC 전력 공급부(652)를 제어하기 위하여 피드백 루프로 사용된다.
진공 펌프(618)는 프로세스 챔버(610)을 진공시키고 프로세스 챔버(610)내의 압력을 유지하기 위하여 사용된다. 가스 매니폴드(634)는 프로세스 가스가 프로세 스 챔버(610)내에 유입될지라도 기판 지지 페데스탈(648) 위에 배치된다. 가스 매니폴드(634)는 다양한 프로세스 가스들을 제어하여 프로세스 챔버(610)에 공급하는 가스 패널(도시안됨)에 연결된다.
가스 매니폴드(634)로의 가스 흐름의 적절한 제어 및 조절은 중량 흐름 제어기들(도시안됨 및 마이크로프로세서 제어기(670)에 의하여 수행된다. 가스 매니폴드(634)는 프로세스 가스들이 프로세스 챔버(610)에 유입되어 균일하게 분배되도록 한다. 부가적으로, 가스 매니폴드(634)는 매니폴드내의 임의의 반응 가스들의 응축을 방지하기 위하여 선택적으로 가열될 수 있다.
가스 매니폴드(634)는 다수의 전자 제어 밸브들(도시안됨)을 포함한다. 여기에서 사용되는 전자 제어 밸브들은 약 0.01 초 내지 약 10초, 바람직하게 약 0.1초 내지 약 5초의 범위내의 속도로 밸브 개방 및 폐쇄 사이클들에서 프로세스 챔버(610)에 가스 흐름을 고속으로 정밀하게 제공할 수 있는 임의의 제어 밸브를 언급하며, 예컨대 긴 사이클은 약 3초에서 종료할 수 있으며 짧은 사이클은 약 0.5초에서 종료할 수 있다.
마이크로프로세서 제어기(670)는 다양한 챔버들 및 서브-프로세서들을 제어하기 위한 개별 세팅에 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서(CPU)중 하나일 수 있다. 컴퓨터는 랜덤 액세스 메모리, 판독전용 메모리, 플로피 디스크 드라이브, 컴팩트 디스크 드라이브, 하드 디스크 또는 임의의 다른 형태의 디지털 저장장치와 같은 임의의 적절한 메모리를 국부적으로 또는 원격적으로 사용할 수 있다. 다양한 지원 회로들은 종래의 방식으로 프로세서를 지원하기 위하여 CPU 에 접속될 수 있다. 소프트웨어 루틴들은 필요에 따라 메모리에 저장되거나 또는 원격적으로 배치된 소스(예컨대, 컴퓨터 또는 서버)에 의하여 실행될 수 있다.
소프트웨어 루틴은 프로세스 수단들 또는 시퀀스들을 초기화하도록 실행된다. 소프트웨어 루틴들은 실행될때 챔버 프로세스가 실행되도록 챔버 동작을 제어하는 특정 프로세스 컴퓨터로 범용 프로세스를 변환한다. 예컨대, 소프트웨어 루틴들은 본 발명에 따라 프로세스 시퀀스들을 실행하기 위하여 전자 제어 밸브들의 활성화를 정밀하게 제어하도록 사용될 수 있다. 선택적으로, 소프트웨어 루틴들은 주문형 집적회로 또는 다른 타입이 하드웨어 구현 또는 소프트웨어 또는 하드웨어의 결합으로서 하드웨어로 수행될 수 있다.
도 7은 원자 층 증착 또는 고속 화학기상증착과 같은 순환 증착에 적합한 가스 전달 장치(730)를 포함하는 프로세스 챔버(680)의 일 실시예에 대한 개략적 단면도이다. 프로세스 챔버(680)에 대한 상세한 설명은 "원자 층 증착을 위한 가스 전달 장치 및 방법"이라는 명칭으로 2001년 12월 21일에 출원되고 US20030079686으로 공개된 공동 양도된 미국특허 출원번호 제10/032,284호 미;ㅊ "원자 층 증착을 위한 가스 전달 장치"라는 명칭으로 2002년 10월 25일에 출원되고 US 20030121608로 공개된 공동 양도된 미국특허 출원번호 제10/281,079호에 개시되어 있으며, 이 특허 출원은 여기에 참조문헌으로서 통합된다. 여기에서 사용되는 용어 원자 층 증착(ALD), 고속 화학 기상증착 및 순차 기장증착은 기판 구조위에 박막층을 증착하기 위하여 반응제들 또는 선구물질들의 순차적 유입을 언급한다. 반응제들의 순차적 유입은 등각층을 적정 두께로 형성하기 위하여 다수의 박막층들을 증착하기 위하여 반복될 수 있다. 일부 실시예들에 있어서, 하나 이상의 선구물질(예컨대, 하프늄 선구물질 및 실리콘 선구물질)을 포함하는 반응 혼합물은 다른 선구물질(예컨대, 수증기)로 순차적으로 펄싱될 수 있다. 프로세스 챔버(680)는 다른 증착 기술을 위하여 적합할 수 있다.
프로세스 챔버(680)는 측벽들(684) 및 바닥(686)을 가진 챔버 바디(682)를 포함한다. 프로세스 챔버(680)내의 슬릿 밸브(688)는 200mm 또는 300mm을 가진 반도체 웨이퍼 또는 유리 기판과 같은 기판(690)을 프로세스 챔버(680)로부터 전달하고 회수하기 위하여 로봇(도시안됨)에 대한 액세스를 제공한다.
기판 지지체(692)는 프로세스 챔버(680)내의 기판 수용 표면(691)상에 기판(690)를 지지한다. 기판 지지체(692)는 기판 지지체(692) 및 기판 지지체위에 배치된 기판(690)을 상승 및 하강시키기 위하여 리프트 모터(714)에 장착된다. 리프트 모터(718)에 연결된 리프트 플레이트(716)는 프로세스 챔버(680)에 장착되며 기판 지지체(692)를 통해 이동가능하게 배치된 핀들(720)을 상승 및 하강시킨다. 핀들(720)은 기판 지지체(692)의 표면위의 기판(690)을 상승 및 하강시킨다. 기판 지지체(692)는 프로세스동안 기판 지지체(692)에 기판(690)를 고정하는 진공 척, 정전기 척 또는 클램프 링을 포함할 수 있다.
기판 지지체(692)는 기판 지지체상에 배치된 기판(690)의 온도를 증가시키기 위하여 가열될 수 있다. 예컨대, 기판 지지체(692)는 저항 가열기와 같은 삽입된 가열 엘리먼트를 사용하여 가열될 수 있거나 또는 기판 지지체(692)위에 배치된 가열 램프들과 같은 방사 열을 사용하여 가열될 수 있다. 정화 링(722)은 기판(690) 상에 증착을 방지하기 위하여 기판(690)의 주변 부분에 정화 가스를 제공하는 정화 채널(724)를 한정하기 위하여 기판 지지체(692)상에 배치될 수 있다.
가스 전달 장치(730)는 프로세스 가스 및/또는 정화 가스와 같은 가스를 프로세스 챔버(680)에 제공하기 위하여 챔버 바디(682)의 상부 부분에 배치된다. 진공 시스템(778)은 프로세스 챔버(680)로부터 임의의 적정 가스를 배기하고 프로세스 챔버(680)의 펌핑 영역(766)내의 적정 압력 또는 적정 압력 범위를 유지하도록 펌핑 채널(779)와 연통한다.
일 실시예에 있어서, 프로세스 가스 및/또는 정화 가스는 가스 전달 장치(730)를 통해 기판(690)의 평면에 대하여 법선인(즉, 90°) 프로세스 챔버(680)에 입력한다. 따라서, 기판(690)의 표면은 기판상에 균일하게 막을 형성하는 가스들에 대칭적으로 노출된다. 프로세스 가스는 한 펄스동안 하프늄-함유 화합물(예컨대, TDEAH 또는 HfCl4)을 포함하고 다른 펄스동안 산화 가스(예컨대, WVG 시스템으로부터 유도된 수증기)를 포함할 수 있다.
도 7에 도시된 프로세스 챔버(680)는 도 6에 도시된 챔버(610)보다 더 균일한 막을 생성할 수 있다. 또한, 프로세스 챔버(680)는 프로세스 챔버(680)가 프로세스 챔버(610)보다 선구물질을 가진 기판을 정화시키고 또한 포화시키는데 적은 시간이 걸리기 때문에 프로세스 챔버(610)보다 짧은 순환 시간을 사용한다. 따라서, 프로세스 챔버들(610, 680)들은 약 20초 이하의 하프늄-함유 화합물을 도핑할 수 있으며, 바람직하게 프로세스 챔버(680)는 약 10초 이하, 더 바람직하게 약 5초 이하, 예컨대 약 3초 또는 약 0.5초동안 하프늄-함유 화합물을 도핑할 수 있다.
일 실시예에 있어서, 가스 전달 장치(730)는 챔버 리드(732)를 포함한다. 챔버 리드(732)는 챔버 리드(732)의 중앙 부분으로부터 연장하는 확장형 채널(734) 및 확장형 채널(734)로부터 챔버 리드(732)의 주변 부분으로 연장하는 하부 표면(760)을 포함한다. 하부 표면(760)은 기판 지지체(692)상에 배치된 기판(690)을 실질적으로 커버하도록 하는 형상 및 크기를 가진다. 챔버 리드(732)는 기판(690)의 주변에 인접한 챔버 리드(732)의 주변 부분에서 초크(762)를 가질 수 있다. 캡 부분(772)은 확장형 채널(734) 및 가스 인입구들(736A, 736B)의 일부분을 포함한다. 확장형 채널(734)은 두개의 작은 밸브들(742A, 742B)로부터 가스 흐름들을 제공하기 위하여 가스 인입구들(736A, 736B)을 가진다. 가스는 밸브들(742A, 742B)와 함께 및/또는 밸브들(742A, 742B)로부터 분리되어 제공될 수 있다.
일 실시예에 있어서, 챔버 리드(732)는 스테인레스 강(예컨대, 니켈을 선택적으로 포함하는 철-크롬 합금들), 알루미늄, 이의 파생물, 이의 함급 또는 이의 결합물과 같은 금속 재료로 형성된다. 대안 실시예에 있어서, 챔버 리드(732)는 용융 석영, 사파이어, 열분해 붕소 아질산염(PBN) 재료, 세라믹, 이들의 파생물 또는 이들의 결합물과 같은 열적 절연 재료를 포함한다. 일례에서, 열적 절연 라이너는 확장형 채널(734) 및 하부 표면(760)(도시안됨)의 일부분을 커버하는 챔버 리드(732)에 추가된다. 바람직하게, 확장형 채널(734) 및 하부 표면(760)은 열적 절연 재료로 구성된 챔버 리드(732)내로 가공될 수 있다. 동일한 또는 유사한 열적 절연 재료로 형성된 추가 라이너들은 프로세스 챔버(680)내에 추가될 수 있다. 일 례에 있어서, 슬릿 밸브(688)는 라이너(687)를 포함하고, 측벽들(684)는 라이너(683)을 포함하며, 하부 표면들(685)은 라이너(689)를 포함한다.
일 구성에 있어서, 밸브(742A) 및 밸브(742B)는 개별 반응 가스 소스들에 결합되나 동일한 정화 가스 소스에 바람직하게 결합된다. 예컨대, 밸브(742A)는 반응 가스 소스(738)에 결합되고, 밸브(742B)는 반응 가스 소스(739)에 결합되며, 밸브들(742A, 742B)은 정화 가스 소스(740)에 결합된다. 밸브들(742A, 742B)는 각각 밸브 시트 어셈블리(744A, 744B)를 가진 전달 라인(743A, 743B)을 포함하며, 밸브들(752A, 752B)와 유체 연통하는 밸브 시트 어셈블리(746A, 746B)를 가진 정화 라인(745A, 745B)을 포함한다. 전달 라인(743A, 743B)은 반응 가스 소스(738, 739)와 유체 연통하며, 확장형 채널(734)의 가스 인입구들(736A, 736B)와 유체 연통한다. 부가 반응가스 소스들, 전달 라이들, 가스 인입구들 및 밸브들은 대안 실시예들에서 가스 전달 장치(730)에 추가될 수 있다(도시안됨). 전달 라인(743A, 743B)의 밸브 시트 어셈블리(744A, 744B)는 반응 가스 소스들(738, 739)로부터 확장형 채널(734)로 반응 가스의 흐름을 제어한다. 정화 라인(745A, 745B)은 정화 가스 소스(740)와 유체 연통하며, 전달 라인(743A, 743B)의 밸브 시트 어셈블리(744A, 744B)의 하부에 전달 라인(743A, 743B)와 교차한다. 정화 라인(745A, 745B)의 밸브 시트 어셈블리(746A, 746B)는 정화 가스 소스(740)로부터 전달 라인(743A, 743B)로 정화 가스의 흐름을 제어한다. 만일 캐리어 가스가 반응 가스 소스(738, 739)로부터 반응 가스들을 전달하기 위하여 사용되면, 동일한 가스는 캐리어 가스 및 정화 가스(예컨대, 캐리어 가스 및 정화 가스로서 사용된 질소)로서 사용될 수 있다.
각각의 밸브 시트 어셈블리(744A, 744B, 746A, 746B)는 격막 및 밸브 시트를 포함할 수 있다. 격막은 개방 또는 폐쇄될 수 있으며, 각각 폐쇄 또는 개방되도록 작동될 수 있다. 격막들은 공기식으로 작동되거나 또는 전기적으로 작동될 수 있다. 공기식 작동 밸브들의 예들은 후지킨 및 베리플로우로부터 이용가능한 공기식 작동 밸브들을 포함한다. 전기식 작동 밸브들의 예들은 후지킨으로부터 이용가능한 전기식 작동 밸브들을 포함한다. 프로그램가능 논리 제어기들(748A, 748)은 밸브들(742A, 742B)의 밸브 시트 어셈블리들(744A, 744B, 746A, 746B)의 격막들에 대한 작동을 제어하기 위하여 밸브들(742A, 742B)에 결합될 수 있다. 공기식 작동 밸브들은 약 0.020 초만큼 낮은 기간에서 가스들의 펄스들을 제공할 수 있다. 전기식 작동 밸브들은 약 0.005초만큼 낮은 기간에 가스들의 펄스들을 제공할 수 있다. 일반적 공기식 및 전기식 작동 밸브들은 약 3초만큼 높은 기간에 가스들의 펄스들을 제공할 수 있다. 비록 가스 펄싱을 위한 높은 기간이 가능할지라도, 전형적인 ALD 프로세스는 약 5초 이하, 바람직하게 약 3초 이하, 더 바람직하게 약 2초 이하의 간격동안 개방되면서 가스의 펄스들을 생성하기 위하여 ALD 밸브들을 이용한다. 일 실시예에 있어서, ALD 밸브는 약 0.005초 내지 약 3초, 바람직하게 약 0.02초 내지 약 2초, 더 바람직하게 약 0.05초 내지 약 1초의 범위내의 간격동안 펄싱한다. 전기식 작동 밸브는 전형적으로 밸브 및 프로그램가능 논리 제어기사이에 결합된 드라이버의 사용을 필요로한다.
각각의 밸브(742A, 742B)는 밸브의 밸브 시트 어셈블리(744A, 744B)가 폐쇄 될때 전달 라인(743A, 743B)로부터 반응 가스를 플러싱하도록 제로 데드 볼륨 밸브일 수 있다. 예컨대, 정하 라인(745A, 745B)은 전달 라인(743A, 743B)의 밸브 시트 어셈블리(744A, 744B)에 인접하게 배치될 수 있다. 밸브 시트 어셈블리(744A, 744B)가 폐쇄될때, 정화 라인(745A, 745B)은 전달 라인(743A, 743B)을 플러싱하기 위하여 정화 가스를 제공할 수 있다. 일 실시예에 있어서, 가열된 정화 가스(예컨대, 약 50℃ 내지 약 200℃)는 밸브 세트 어셈블리(744A, 744B)상에서 뿐만아니라 전달 라인들(743A, 743B)를 감소 또는 중지시키기 위하여 밸브 세트 어셈블리(744A, 744B)를 가열시키도록 통과된다. 기술된 실시예에 있어서, 정화 라인(745A, 745B)은 정화 가스가 개방될때 밸브 시트 어셈블리(744A, 744B)내로 직접 전달되지 않도록 전달 라인(743A, 743B)의 밸브 시트 어셈블리(744A, 744B)로부터 약간 떨어져 배치된다. 제로 데드 볼륨 밸브는 무시할 수 있는 데드 볼륨을 가지는(즉, 반드시 제로 데드 볼륨이 아닌) 밸브로서 한정된다.
각각의 밸브(742A, 742B)는 반응 가스(738, 739) 및 정화 가스(740)의 결합된 가스 흐름 및/또는 개별 가스 흐름들을 제공하는데 적합할 수 있다. 밸브(742A)를 기준으로 하여, 밸브(742A)에 의하여 제공된 정화 가스(740) 및 반응 가스(738)의 결합된 가스 흐름의 일례는 정화 라인(745A)을 통해 정화 가스 소스(740)로부터 정화 가스의 연속 흐름 및 전달 라인(743A)을 통해 반응 가스 소스(738)로부터의 반응 가스의 펄스들의 연속 흐름을 포함한다. 정화 가스의 연속 흐름은 정화 라인(743A)의 밸브 시트 어셈블리(746A)의 격막을 개방함으로서 제공될 수 있다. 반응 가스 소스(738)로부터의 반응 가스의 펄스들은 전달 라인(743A)의 밸브 시트(744A)의 격막을 개방 및 폐쇄함으로서 제공될 수 있다. 밸브(742A)를 기준으로하여, 밸브(742A)에 의하여 제공된 정화 가스(740) 및 반응 가스(738)의 개별 가스 흐름들의 일례는 정화 라인(745A)을 통해 정화 가스 소스(740)로부터의 정화 가스의 펄스들 및 전달 라인(743A)을 통해 반응 가스 소스(738)로부터의 반응 가스의 펄스들을 포함한다. 정화 가스의 펄스들은 정화 라인(745A)의 밸브 시트 어셈블리(746A)의 격막을 개방 및 폐쇄함으로서 제공될 수 있다. 반응 가스 소스(738)로부터의 반응 가스의 펄스들은 전달 라인(743A)의 격막 밸브 시트(744A)를 개방 및 폐쇄함으로서 제공될 수 있다.
밸브들(742A, 742B)의 전달 라인들(743A, 743B)은 가스 도관들(750A, 750B)을 통해 가스 인입구들(736A, 736B)에 결합될 수 있다. 가스 도관들(750A, 750B)은 밸브들(742A, 742B)와 통합되거나 또는 밸브들(742A, 742B)로부터 분리되어 배치될 수 있다. 일 양상에 있어서, 밸브들(742A, 742B)은 밸브들(742A, 742B) 및 가스 인입구들(736A, 736B)사이의 가스 도관들(750A, 750B) 및 전달 라인(743A, 743B)의 임의의 불필요한 부피를 감소시키기 위하여 확장형 채널(734)에 근접하게 결합된다.
도 7에서, 확장형 채널(734)은 챔버 리드(732)의 하부 표면(760)에 인접한 확장형 채널(734)의 상부 부분으로부터 하부 부분으로 증가하는 내부 직경을 가진 채널을 포함한다. 특정한 일 실시예에 있어서, 200mm 직경 기판을 처리하는데 적합한 챔버에 대한 확장형 채널(734)의 내부 직경은 확장형 채널(734)의 상부 부분(737)에서 약 0.2인치(0.51cm) 내지 1.0인치(2.54cm), 바람직하게 약 0.3인치 (0.76cm) 내지 약 0.9인치(2.29cm), 더 바람직하게 약 0.3인치(0.76cm) 내지 약 0.5인치(1.27cm)이며, 확장 채널(734)의 하부 부분(735)에서 약 0.5인치(1.27cm) 내지 약 3.0인치(7.62cm), 바람직하게 약 0.75인치(1.91cm) 내지 약 2.5인치(6.35cm), 더 바람직하게 약 1.1 인치(2.79cm) 내지 약 2.0 인치(5.08cm)이다.
다른 특정 실시예에 있어서, 300mm 직경 기판들을 처리하는데 적합한 챔버에 대한 확장형 채널(734)의 내부 직경은 확장형 채널(734)의 상부 부분(737)에서 약 0.2인치(0.51cm) 내지 1.0인치(2.54cm), 바람직하게 약 0.3인치(0.76cm) 내지 약 0.9인치(2.29cm), 더 바람직하게 약 0.3인치(0.76cm) 내지 약 0.5인치(1.27cm)이며, 300mm 기판에 대한 확장 채널(734)의 하부 부분(735)에서 약 0.5인치(1.27cm) 내지 약 3.0인치(7.62cm), 바람직하게 약 0.75인치(1.91cm) 내지 약 2.5인치(6.35cm), 더 바람직하게 약 1.2 인치(3.05cm) 내지 약 2.2 인치(5.59cm)이다. 일반적으로, 앞의 크기는 약 500sccm 내지 약 3,000sccm의 범위내의 전체 가스흐름을 제공하는데 적합한 확장형 채널에 적용한다.
다른 특정 실시예들에 있어서, 크기는 임의의 가스 흐름을 수용하도록 변경될 수 있다. 일반적으로, 큰 가스 흐름은 큰 직경의 확장 채널을 필요로 할 것이다. 일 실시예에 있어서, 확장형 채널(734)은 절단된 원추형(절단된 원추형과 유사한 형상들을 포함함)의 형상을 가질 수 있다. 가스가 확장형 채널(734)의 벽들쪽으로 또는 기판쪽 바로 아래에 제공되던지간에, 가스 흐름의 속도는 가스 흐름이 가스의 확장으로 인하여 확장 채널(734)를 통해 이동할때 감소한다. 가스 흐름 속도의 감소는 가스가 기판의 표면에 흡착된 반응물을 분출할 가능성을 감소시키는데 도움이 된다.
확장형 채널(734)의 상부 부분(737)으로부터 하부 부분(735)으로 점진적으로 증가하는 확장형 채널(734)의 직경이 가스의 온도를 제어하는데 도움이 되는 확장형 채널(734)을 통해 가스의 단열 팽창 손실을 유발한다. 예컨대, 가스 인입구(736a, 736b)를 통해 확장형 채널(734)내로 전달되는 가스의 급격한 단열 팽창은 선구물질의 응축 및 입자들의 형성을 유발할 수 있는 가스 온도의 강하를 야기할 수 있다. 다른 한편으로, 본 발명의 실시예들에 따른 점진적 확장 채널(734)은 가스의 단열 팽창을 더 유발한다. 따라서, 가스로 또는 가스로부터 더 많은 열이 전달될 수 잇으며, 이에 따라 가스의 온도는 가스의 주변 온도를 제어함으로서(즉, 챔버 리드(732)의 온도를 제어함으로서) 더 용이하게 제어될 수 있다. 점진적 확장 채널(734)은 테이퍼진 직선 표면, 오목형 표면, 볼록형 표면 또는 이들의 결합물과 같은 하나 이상의 테이퍼진 내부 표면을 포함할 수 있거나 또는 하나 이상의 테이퍼진 표면들의 섹션들(즉, 테이퍼진 부분 및 테이퍼지지 않은 부분)을 포함할 수 있다.
일 실시예에 있어서, 가스 인입구들(736A, 736B)는 확장 채널(734)의 상부 부분(737)에 인접하게 배치된다. 다른 실시예들에 있어서, 하나 이상의 가스 인입구들(736A, 736B)은 상부 부분(737) 및 하부 부분(735)사이에서 확장 채널(734)의 길이를 따라 배치될 수 있다. 가스 인입구들(736A, 736B)로부터 챔버 리드(732)의 확장형 채널(734)로 흐르는 가스는 순환 흐름을 형성한다. 비록 확장형 채널(734)를 통한 정확한 흐름 패턴이 알려지지 않을지라도, 순환 흐름은 확장형 채널(734) 을 통해 소용돌이, 나선형, 선회형 또는 이들의 파생형의 흐름 패턴으로 이동할 수 있다. 순환 흐름은 기판(690)으로부터 분리된 구획에 반대하여 하부 부분(735) 및 기판 수용 표면(691)사이에 배치된 프로세싱 영역에 제공될 수 있다. 일 양상에 있어서, 소용돌이 흐름은 확장형 채널(734)의 내부 표면을 가로지르는 순환 흐름의 스위핑 동작으로 인하여 확장형 채널(734)을 더 효율적으로 정화시킬 수 있다. 또한, 순환 가스 흐름은 기판(690)의 표면을 통해 가스의 일치 및 등각 전달을 제공한다.
도 7에서, 프로그래밍된 퍼스널 컴퓨터, 워크 스테이션 컴퓨터 등과 같은 제어 유닛(780)은 프로세싱 조건들을 제어하기 위하여 프로세스 챔버(680)에 결합될 수 있다. 예컨대, 제어 유닛(780)은 기판 프로세스 시퀀스의 다른 스테이지들동안 밸브들(742A, 742B)를 통해 가스 소스들(738, 739, 740)로부터 다양한 프로세스 가스 및 정화 가스의 흐름을 제어하도록 구성될 수 있다. 예시적으로, 제어 유닛(780)은 중앙처리장치(CPU)(782), 지원 회로(784), 및 연관된 제어 소프트웨어(783)을 포함하는 메모리(782)를 포함한다. 제어유닛(780)은 WVG 시스템(286)을 제어하고 및/또는 앰폴(282)를 조절하도록 구성될 수 있다.
제어유닛(780)은 다양한 챔버들 및 서브-프로세서들을 제어하기 위한 산업적 세팅들에서 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서중 하나 일 수 있다. CPU(782)는 랜덤 액세스 메모리, 판독전용 메모리, 플로피 디스크 드라이브, 컴팩트 디스크 드라이브, 하드 디스크, 또는 임의의 다른 형태의 디지털 저장장치와 같은 임의의 적절한 메모리(786)를 국부적으로 또는 원격적으로 사용할 수 있 다. 다양한 지원 회로들은 프로세스 챔버(680)를 지원하기 위하여 CPU(782)에 접속될 수 있다. 제어회로(780)는 밸브들(742A, 742B)의 프로그램가능 논리 제어기들(748A, 748B)과 같이 개별 챔버 컴포넌트들에 인접하여 배치된 다른 제어기에 접속될 수 있다. 프로세스 챔버(680)의 다양한 다른 컴포넌트 및 제어유닛(780)간의 양방향 통신은 신호 버스들(788)로서 언급되는 다수의 신호 케이블들을 통해 조절될 수 있으며, 신호 버스들(788)의 일부가 도 7에 기술된다. 밸브들(742A, 742B)의 프로그램가능 논리 제어기들(748A, 748B)로부터 그리고 가스 소스들(738, 739, 740)로부터 프로세스 가스들 및 정화 가스들을 제어하는 것외에, 제어유닛(780)은 웨이퍼 이송, 온도 제어, 챔버 평가 등과 같이 웨이퍼 프로세싱시에 사용되는 다른 동작들을 자동적으로 제어하도록 구성될 수 있으며, 이들 동작들중 일부들이 여기에 기술된다.
다른 실시예에 있어서, 프로세스 챔버(680)는 3개 이상의 가스 도관들에 결합된 3개 이상의 가스 인입구들을 통해 함께, 부분적으로 함께(즉, 3개의 가스 흐름중 두개가 함께) 또는 개별적으로 3개 이상의 가스 흐름들을 수용하는데 적합할 수 있다. 각각의 도관은 단일 또는 다수의 밸브들에 결합된다. 3개 이상의 프로세스 가스 흐름들을 흐르게하는데 적합한 프로세스 챔버(680)의 상세한 설명은 "원자 층 증착에 대한 가스 전달 장치 및 방법"이라는 명칭으로 2001년 12월 21일에 출원되고 US20030079686으로서 공개된 공동 양도된 미국특허출원번호 제10/032,284호에 개시되어 있으며, 이 특허출원은 여기에 참조문헌으로서 통합된다. 일례에서, 3개의 가스 흐름들은 하프늄 선구물질, 실리콘 선구물질 및 산화 가스를 포함 할 수 있으며, 여기서 제 1흐름은 TDEAH, TDMAH 또는 HfCl4을 포함하며, 제 2흐름은 TDMAS, Tris-DMAS 또는 실란을 포함하며, 제 3흐름은 WVG 시스템으로부터 수중기를 포함하는 산화 가스를 포함한다.
도 8은 여기에 기술된 실시예들에 따라 집적회로 제조를 수행하는데 사용될 수 있는 프로세스 챔버(810)의 개략적 단면도를 도시한다. 프로세스 챔버(810)는 프로세스 챔버(680)와 유사한 기능을 수행하며, 높은 온도(예컨대, <800℃)에서 동작하도록 열적 절연 재료들을 포함한다. 프로세스 챔버(810)는 용융 석영, 사파이어, 열분해 붕소 아질산염(PBN) 재료, 세라믹, 이들의 파생물 또는 이들의 결합물과 같은 열적 절연 재료로 형성된 라이너들을 포함한다. 일 실시예에 있어서, 프로세스 챔버(680)로부터 가스 전달 장치(730)는 프로세스 챔버(810)상에서 사용되기에 적합할 수 있다.
프로세스 챔버(810)는 일반적으로 기판(802)를 지지하는데 사용되는 기판 지지 페데스탈(812)를 장착한다. 기판 지지 페데스탈(812)은 프로세스 챔버(810)내에서 회전가능하고 수직으로 이동가능하다. 기판 지지 페데스탈(812)은 그 위의 기판(802)의 온도를 제어하기 위하여 가열 엘리먼트를 포함할 수 있다. 캡 부분(872)은 프로세스 챔버(810)의 리드(832)상에 배치되며, 가스 인입구들(836a, 836b, 836c, 836d)을 포함한다. 캡 부분(872)은 PE-ALD 프로세스, 사전-세정 프로세스 또는 질화 프로세스와 같은 플라즈마 프로세스동안 사용디는 마이크로파 장치 또는 원격 플라즈마 장치에 대한 어댑터(874)를 포함할 수 있다. 선택적으로, 어 댑터(874)는 캡 부분(872)으로부터 제거된다.
가스 전달 시스템(811)은 캡 부분(872)을 통해 프로세스 챔버(810)에 연결된다. 가스 전달 시스템(811)은 가스 인입구(836), 도관 시스템(841), 밸브(843) 및/또는 밸브(845) 및 소스(842) 및/또는 소스(844)중 적어도 하나 및 가능한 약 10개의 세트를 포함한다. 도 8에 기술된 바와같이, 가스 전달 시스템(811)은 가스 인입구들(836a, 836b, 836c, 836d), 도관 시스템들(841a, 841b, 841c, 841d), 밸브들(843a, 843b, 843c, 843d), 밸브들(845a, 845b, 845c, 845d), 소스들(842a, 842b, 842c, 842d) 및 소스들(844a, 844b, 844c, 844d)을 포함하는 4개의 기본 세트들을 포함한다.
대안 실시예에 있어서, 도관 시스템(841)은 가스 인입구들(836a, 836b, 836c, 836d)와 유체 연통하도록 배치된 단부들에서 노즐들을 형성하는 점진적 확장 가스 도관들을 포함할 수 있다. 여기에 기술된 일부 실시예들에서 유용한 노즐들 또는 단부들은 "MOCVD/ALD 시스템에서 입자들의 형성을 억제하는 가스 흐름 및 전달 제어"이라는 명칭으로 2005년 4월 29일에 출원된 공동 양도된 미국특허출원번호 제11/119,388호에 개시되어 있으며, 이 출원은 여기에 참조문헌으로서 통합된다. 가스 도관의 기하학적 형태는 증가방식으로 테이퍼진 흐름 채널을 통해 점진적으로 확장하는 수단에 통과 가스들을 제공함으로서 큰 온도 하락을 방지한다. 일부 실시예에 있어서, 약 3mm 내지 약 15mm의 범위내의 내부 직경을 가진 전달 가스 라인들의 단면부들로부터 약 30mm 내지 약 100mm의 범위내의 거리 이상 약 10mm 내지 약 20mm의 범위내의 큰 직경을 가진 가스 인입구(836)로 전이한다. 흐름 채널의 직경에 대한 점진적 증가는 확장 가스들이 근사 평형을 이루도록 하며 거의 일정한 온도를 유지하도록 열의 급격한 손실을 방지한다. 확장형 가스 도관들은 테이퍼진 직선형 표면, 오목형 표면, 볼록형 표면, 이의 파생물들 또는 이의 결합물과 같은 하나 이상의 테이퍼진 내부 표면들을 포함할 수 있거나 또는 하나 이상의 테이퍼진 내부 표면들의 섹션들(예컨대, 테이퍼진 부분 및 테이퍼지지 않은 부분)을 포함할 수 있다.
도관 시스템9841)은 가스 인입구들(836), 밸브들(843, 845) 및 소스들(842, 844)를 결합하는 하나 이상의 여러 도관들 및 튜브들을 포함한다. 밸브(843)는 소스(842)로부터 가스 인입구(836)로 선구물질들 또는 가스들의 유입을 제어하며, 소스(844)로부터 가스 인입구(836)로 선구물질들 또는 가스들의 유입을 제어한다. 밸브들(843, 845)은 격막 및 밸브 시트를 포함하는 밸브 시트 어셈블리 및 밸브를 포함할 수 있다. 공기식 작동 밸브들은 약 0.020초의 기간에 가스들의 펄스들을 제공할 수 있다. 전기식 작동 밸브들은 약 0.005초의 기간에 가스들의 펄스들을 제공할 수 있다. 일반적으로, 공기식 또는 전기식 작동 밸브들은 약 3초의 시간에 가스들의 펄스들을 제공할 수 있다. 비록 가스 펄싱을 위한 높은 기간이 가능할지라도, 전형적인 ALD 프로세스는 약 5초 이하, 바람직하게 약 3초 이하, 더 바람직하게 약 2초 이하의 기간동안 개방되면서 가스의 펄스들을 생성하는 ALD 밸브들을 이용한다. 일 실시예에 있어서, ALD 밸브는 약 0.005초 내지 약 3초, 바람직하게 약 0.02초 내지 약 2초, 더 바람직하게 약 0.05초 내지 약 1초의 범위내의 기간동안 펄싱한다. 전기식 작동 밸브는 전형적으로 밸브 및 프로그램가능 논리 제어기 사이에 접속된 드라이버의 사용을 필요로한다. 프로그래밍된 퍼스널 컴퓨터, 워크스테이션 컴퓨터 등과 같은 제어유닛(도시안됨)은 여기에 기술된 프로세싱 조건들을 제어하기 위하여 밸브들(843, 845), 소스들(842, 844), 진공 시스템(833), 기판 지지체(812), WVG 시스템(286) 및 앰폴(282)을 포함하는 프로세스 챔버(810)와 함께 포함될 수 있다.
소스들(842, 844)은 증착 프로세스동안 사용되는 선구물질 소스, 정화 가스 소스 및/또는 캐리어 가스 소스를 제공할 수 있다. 선구물질 소스는 하나 이상의 화학 선구물질(에컨대, 하프늄 선구물질 및 실리콘 선구물질)을 포함할 수 잇으며 캐리어 가스를 포함할 수 있다. 선구물질 소스는 앰폴들, 버블러들, 탱크들, 컨테이너들 또는 카트리지들을 포함한다. 또한, 선구물질 소스는 여기에 기술된 바와같이 가스 전달 시스템(811)와 유체 연통하는 수증기 생성기(WVG)를 포함한다. 정화 가스 소스 및/또는 캐리어 가스 소스, 보통 탱크, 컨테이너, 카트리지 또는 인-하우스 도관형 공급 시스템은 질소, 아르곤, 헬륨, 수소, 퍼밍 가스 또는 이의 결합물들을 가스 전달 시스템(811)에 제공할 수 있다.
가스 인입구들(836a, 836b, 836c, 836d)은 캡 부분(872)내의 확장형 채널(834)의 길이를 따라 배치될 수 있다. 가스 인입구들(836a, 836b, 836c, 836d)로부터 확장형 채널(834)로 흐르는 가스는 순환 흐름을 형성한다. 비록 확장형 채널(834)를 통한 정확한 흐름 패턴이 알려지지 않을지라도, 순환 흐름은 확장형 채널(834)을 통해 소용돌이, 나선형, 선회형 또는 이들의 파생형의 흐름 패턴으로 이동할 수 있다. 순환 흐름은 기판(802)으로부터 분리된 구획에 반대하여 퍼넬 라이너 (820) 및 기판 지지체(812)사이에 배치된 프로세싱 영역에 제공될 수 있다. 일 양상에 있어서, 소용돌이 흐름은 확장형 채널(834)의 내부 표면을 가로지르는 순환 흐름의 스위핑 동작으로 인하여 프로세싱 영역을 더 효율적으로 정화시킬 수 있다. 또한, 순환 가스 흐름은 기판(802)의 표면을 통해 가스의 일치 및 등각 전달을 제공한다.
도 8 및 도 9A-9B는 여기에 기술된 증착 프로세스들동안 프로세스 챔버(810) 및 다른 프로세스 챔버들내에서 사용될 수 있는 열적 절연 라이너들의 개략도를 도시한다. 확장형 채널(834)은 퍼넬 라이너(820)사이 및 캡 부분(872)내에 형성될 수 있다. 열적 절연체(870)는 캡 부분(872) 둘레에 배치된다. 퍼넬 라이너(820)는 퍼넬 라이너(820)의 리지 표면(818)과 리테이닝 링 라이너(819)의 리지 표면(817)을 정렬시킴으로서 리테이닝 링 라이너(819)에 의하여 리드(832)의 하부측면에서 유지될 수 있다. 리테이닝 링 라이너(819)는 피팅들, 볼트들, 나사들 또는 핀들과 같은 패스너들(837)에 의하여 리드(832)의 하부측면에 부착될 수 있다. 일례에서, 패스너들(837)은 리테이닝 링 라이너(819)의 홈(816)내에 피팅 삽입되어 세팅된다. 퍼넬 라이너(820)는 가열 프로세스동안 열적으로 확장하도록 퍼넬 라이너(820)에 자유도를 제공하기 위하여 느슨하게 끼워맞춤되는 여러 핀들(838)을 포함할 수 있다. 일 실시예에 있어서, 퍼넬 라이너(820)는 열적으로 확장된후에 기판(802)와 정렬되어 중심에 맞추어진다. 선택적으로, 퍼넬 라이너(820) 및 리테이닝 링 라이너(819)는 단일 피스로 형성될 수 있다.
프로세스 챔버(810)는 상부 프로세스 라이너(822) 및 하부 프로세스 라이너 (824)를 포함할 수 있다. 하부 프로세스 라이너(824)는 하부 표면(827)상에 배치되고, 상부 프로세스 라이너(822)는 챔버 바디(803)의 벽 표면(830)을 따라 하부 프로세스 라이너(824)상에 배치된다. 슬립 밸브 라이너(826)는 상부 프로세스 라이너(822)를 통해 프로세스 영역(815)내로 돌출하도록 배치된다. 퍼넬 라이너(820), 리테이닝 링 라이너(819), 상부 프로세스 라이너(822), 하부 프로세스 라이너(824) 및 슬립 밸브 라이너(826)을 포함하는 라이너들은 용융 석형, 사파이어, PBN 재료, 세라믹, 이의 파생물 또는 이의 결합물과 같은 열적 절연 재료이다. 일반적으로, 라이너들은 여기에 기술된 증착 프로세스들의 시작 및 냉각 사이클동안 열적 사이클링으로 인한 실폐를 방지하도록 응력이 유지된다. 라이너들은 약 800℃ 이상, 바람직하게 약 1,000℃ 이상, 더 바람직하게 약 1,200℃ 이상의 온도를 견딜 수 있다. 부가적으로, 라이너들은 약 2마이크로인치(약 0.051㎛) 이하의 표면 마무리를 달성하도록 폴리싱된 프레임이다. 폴리싱된 마무리는 프로세스 반응물들이 방해없이 또는 거의 방해없이 전달되도록 스무스한 표면을 제공할 뿐만아니라 막의 성장을 바람직하지 않게 촉진하는 라이너들상의 핵생성 사이트들을 최소로한다. 또한, 프레임 폴리싱은 열적 응력-유도 균열들의 핵생성을 최소화하기 위하여 표면 결함들(예컨대, 피치들 및 균열들)을 제거한다.
정화 라인(purge line)(829)은 챔버 바디(803)의 하부로부터 챔버 리드(832) 및 퍼넬 라이너(funnel liner)(820)에 배치된 챔버 후방 정화 라인이다. 정화 라인(829)은 벽 표면(830)과 상부/하부 프로세스 라이너(822, 824) 사이 및 프로세스 영역(815) 속으로 정화 가스의 흐름을 허용하도록 장착된다. 정화 가스 소스는 입 구(inlet)(804)를 통해 정화 라인(829)에 접속될 수 있다. 정화 라인(826)을 통해 흐르는 정화 가스는 오염물들 및 프로세스 영역(815)을 벗어날 수 있는 과도한 열로부터 벽 표면(830)을 보호한다(buffer). 오염물들은 벽 표면(830) 상에 증착되도록 상부/하부 프로세스 라이너(822, 824)를 우회할 수 있는 전구체 또는 반응 부산물을 포함한다. 또한, 프로세스 영역(815)으로부터 야기되는 열은 상부/하부 프로세스 라이너(822, 824)를 우회할 수 있고 프로세스 바디(803)에 흡수될 수 있다. 그러나 정화 라인(826)을 흐르는 정화 가스의 스트림은 오염물 및 열을 프로세스 영역(815) 속으로 다시 전송한다. 열적 초크 플레이트(thermal choke plate)(809)는 챔버 바디(803)의 외측에 배치되어 프로세스 영역(815)으로부터의 열 손실을 방지한다.
도 9B는 상부 프로세스 라이너(822), 하부 프로세스 라이너(824) 및 슬립 밸브 라이너(826)의 개략도를 나타낸다. 상부 프로세스 라이너(822) 및 하부 프로세스 라이너(824)는 기판(802)이 이동하는 동안 기판 리프트 핀(미도시)을 수용하도록 리프트 핀 홀(821, 823)을 포함할 수 있다. 상부 프로세스 라이너(822) 및 하부 프로세스 라이너(824)는 핀 홀(823)과 함께 리프트 핀 홀(821)을 정렬하도록 프로세스 챔버내에 위치된다. 상부 프로세스 라이너(822)는 배기 어댑터(831)를 수용하는 진공 포트(835) 및 슬립 밸브 라이너(826)를 수용하는 슬릿 밸브 포트(825)를 더 포함한다. 배기 어댑터(831)는 챔버 바디(803) 및 진공 포트(835)를 통해 위치되어 프로세스 영역(815)은 진공 시스템(833)과 유체적으로 연통된다. 기판들은 프로세스 챔버(810)로의 진입 및 배출을 위해 슬립 밸브 라이너(826)를 통과한 다. 슬립 밸브 라이너(826)는 열적 초크 플레이트(809)를 통해 돌출될 수 있다.
펌핑 효율은 초크 갭(840)을 사용함으로써 제어될 수 있다. 초크 갭(840)은 퍼넬 라이너(820)의 하부 에지와 기판 지지 페데스탈(812) 사이에 형성된 공간이다. 초크 갭(840)은 프로세스 조건 및 요구되는 펌핑 효율에 따라 변할 수 있는 원주 갭이다. 초크 갭(840)은 기판 지지 페데스탈(812)을 하강시킴으로써 증가되거나 또는 기판 지지 페데스탈(812)을 상승시킴으로써 감소된다. 프로세스 챔버(810)의 하부 부분내의 펌핑 포트(미도시)로부터 팽창(expanding) 채널(834)의 중심부로의 펌핑 전도성(conductance)은 본 명세서에 개시된 증착 프로세스 동안 막의 균일성 및 두께를 제어하기 위해 초크 갭(840)의 간격을 변화시킴으로써 변경된다.
도 10은 본 명세서에 개시된 ALD 프로세스 챔버 상에서 이용될 수 있는 프로세스 챔버 리드 어셈블리(1050)의 개략도를 나타낸다. 일 실시예에서, 리드 어셈블리(1050)는 프로세스 챔버(810) 상의 리드(lid)(832) 및 가스 전달 시스템(811)과 대체될 수 있다. 또 다른 예에서, 리드 어셈블리(1050)는 프로세스 챔버(680) 상의 리드(732) 및 가스 전달 장치(730)과 대체될 수 있다. 리드 어셈블리(1050)는 리드(1032) 상에 배치된 밸브 매니폴드 지지체(1030)를 포함한다. 열적 절연기(isolator)(1002a, 1002b)는 리드(1032) 및 방산되는 임의의 열로부터 밸브 매니폴드 지지체(1030)를 분리시킨다. 도관들(1020, 1022)은 리드(1032)를 통해 이동되어 외부 소스들 또는 장치들로부터 프로세스 챔버속으로의 유체 연통을 제공한다. 밸브 매니폴드 지지체(1030)는 어댑터(1074), 밸브(1043a, 1043b, 1043c, 1043d) 및 밸브(1045a, 1045b, 1045c, 1045d)를 포함한다. 어댑터(1074)는 PE-ALD 프로세스, 예비-세정 프로세스 또는 질소화(nitridation) 프로세스와 같은, 플라즈마 프로세스 동안 사용되는 마이크로파 장치 또는 원격 플라즈마 장치를 지지한다. 밸브(1043a, 1043b, 1043c, 1043d) 및 밸브(1045a, 1045b, 1045c, 1045d)는 밸브 매니폴드 지지체(1030) 내의 도관 시스템(미도시)에 의해 접속된다. 전구체 소스, 정화 가스 소스 및/또는 캐리어 가스 소스는 증착 프로세스 동안 리드 어셈블리(1050)를 통해 프로세스 챔버와 유체적으로 연통된다. 일 실시예에서, 리드 어셈블리(1050)는 가스 전달 시스템(811) 내의 도관 시스템(841)과 유사한 도관 시스템과 수직이다(plumbed).
본 명세서에서 사용되는 "기판 표면"은 막 프로세싱이 수행되는 기판 상에 형성되는 임의의 기판 또는 재료 표면으로 간주된다. 예를 들어, 프로세싱이 수행될 수 있는 기판 표면은 적용분야에 따라, 실리콘, 실리콘 산화물, 변형된(strained) 실리콘, 실리콘 온 인슐레이터(SOI), 탄소 도핑 실리콘 산화물, 실리콘 질화물, 도핑된 실리콘, 게르마늄, 갈륨 비소, 글래스, 사파이어와 같은 재료들, 및 금속, 금속 질화물, 금속 합금과 같은 임의의 다른 재료, 및 다른 도전성 재료들을 포함한다. 기판 표면 상의 배리어층들, 금속들 또는 금속 질화물들은 티타늄, 티타늄 질화물, 텅스텐 질화물, 탄탈 및 탄탈 질화물을 포함한다. 기판은 직사각형 또는 사각형 페인(pane) 및 200mm 또는 300mm 직경의 웨이퍼와 같이, 다양한 치수를 가질 수 있다. 본 명세서에 개시된 실시예의 프로세스들은 다수의 기판 및 표면 상에 하프늄-함유 재료를 증착한다. 본 발명의 실시예들에 이용될 수 있 는 기판들은 결정성 실리콘(예를 들어, Si<100> 또는 Si<111>)과 같은 반도체 웨이퍼로 제한되지 않지만, 실리콘 산화물, 변형된 실리콘, 실리콘 게르마늄, 도핑 또는 비도핑 폴리실리콘, 도핑 또는 비도핑 실리콘 웨이퍼 및 패터닝된 또는 패터닝되지 않은 웨이퍼와 같은 반도체 웨이퍼를 포함할 수 있다. 기판은 폴리싱, 에칭, 환원, 산화, 수산화, 어닐링 및/또는 기판 표면 베이킹을 위해 예비처리 프로세스에 노출될 수 있다.
본 명세서에서 사용되는 "원자층 증착" 또는 "주기적 증착"은 기판 표면 상에 재료층을 증착하기 위해 2 이상의 반응성 화합물의 순차적 주입으로 간주된다. 2, 3 이상의 반응성 화합물이 프로세스 챔버의 반응 영역으로 교대로 주입될 수 있다. 통상적으로, 에칭 반응성 화합물은 각각의 화합물이 기판 표면 상에 부착 및/또는 반응을 허용하는 시간 지연에 의해 구별된다. 일 면에서, 제 1 전구체 또는 화합물(A)은 제 1 시간 지연에 따라 반응 영역으로 펄싱된다. 다음 제 2 전구체 또는 화합물(B)이 제 2 지연에 따라 반응 영역으로 펄싱된다. 각각의 시간 지연 동안, 질소와 같은 정화 가스가 프로세스 챔버에 주입되어 반응 영역을 정화시키거나 또는 반응 영역으로부터의 임의의 잔류하는 반응성 화합물 또는 부산물을 제거한다. 선택적으로, 정화 가스는 증착 프로세스 전반에 걸쳐 연속적으로 흘러, 반응성 화합물의 펄싱 사이의 시간 지연 동안 정화 가스만이 흐르게 된다. 반응성 화합물은 원하는 막 또는 막 두께가 기판 표면상에 형성될 때까지 교대로 펄싱된다. 이러한 방안에서, 화합물(A), 정화 가스의 펄싱, 화합물(B) 및 정화 가스의 펄싱의 ALD 프로세스가 한 주기이다. 주기는 화합물(A) 또는 화합물(B) 중 하나를 이용하여 개시될 수 있으며 원하는 두께를 갖는 막이 달성될 때까지 상기 주기의 각각의 순서를 지속한다. 또 다른 실시예에서, 제 1 전구체 함유 화합물(A), 제 2 전구체 화합물(B) 및 제 3 전구체 함유 화합물(C)은 각각 개별적으로 프로세스 챔버 속으로 펄싱된다. 선택적으로, 제 1 전구체의 펄스는 제 2 전구체의 펄스와 시간이 중첩되는 반면 제 3 전구체의 펄스는 제 1 전구체 및 제 2 전구체의 펄스중 하나와 시간이 중첩되지 않는다.
예들
예 1-10 동안, ALD 프로세스는 약 70℃ 내지 약 1,000℃, 바람직하게는 약 100℃ 내지 약 650℃, 예를 들어 약 350℃ 범위의 온도에서 유지된다. ALD 프로세스는 약 0.1 Torr 내지 약 100 Torr, 바람직하게 약 1 Torr 내지 약 10 Torr 범위의 압력의 프로세스 챔버를 이용하여 수행된다. 캐리어 가스(예를 들어, N2)는 약 2 slm 내지 약 22 slm, 바람직하게 약 10slm 범위의 유속을 가질 수 있다. 산화 가스 함유 수증기는 캘리포니아 산타클라라에 위치한 어메리카 잉크.의 푸지킨(Fujikin)으로부터 입수가능한 금속 촉매를 포함하는 수증기 발생기(WVG) 시스템에 의해 생성된다. WVG 시스템은 수소 소스 가스 및 산소 소스 가스로부터 산화 가스를 형성한다. 기판은 대략 예비-처리 프로세스 동안 WVG 시스템으로부터 수증기 함유 산화 가스에 노출된다. 예비-처리 프로세스는 약 5초 내지 약 30초 범위의 주기에 걸쳐 이루어진다. 증착된 재료들은 약 2Å 내지 약 1,000Å, 바람직하게 약 5Å 내지 약 100Å, 보다 바람직하게 약 10Å 내지 약 500Å 범위의 두께로 형 성된다.
예 1 - 하프늄 산화물막은 WVG 시스템에 의해 생성된 산화 가스와 함께 하프늄 전구체를 연속적으로 펄싱함으로써 ALD 프로세스 동안 형성된다. 기판 표면은 그위에 수산기 그룹들을 형성하도록 예비처리 프로세스에 노출된다. HfCl4의 하프늄 전구체는 약 150℃ 내지 약 200℃ 범위의 온도로 전구체 앰폴(ampoule) 내에서 가열된다. 질소 캐리어 가스는 약 400sccm의 유속으로 하프늄 전구체를 함유하는 전구체 앰폴로 향한다. 하프늄 전구체는 캐리어 가스를 포화시키며 약 3초 동안 챔버에 제공된다. 질소 정화 가스는 약 2.5초 동안 챔버에 제공되어 임의의 결합되지 않은 하프늄 전구체를 제거한다. 각각 약 100sccm 및 약 120sccm의 유속을 갖는 수소 가스 및 산소 가스가 WVG 시스템에 공급된다. WVG 시스템으로부터 진입되는 산화 가스는 약 100sccm의 유속을 갖는 물과 약 70sccm의 유속을 갖는 산소를 함유한다. 산화 가스는 약 1.7초 동안 챔버에 제공된다. 질소 정화 가스가 약 2.5초 동안 챔버에 제공되어 부산물, 하프늄 전구체, 산소 및/또는 물과 같이 임의의 결합되지 않은 또는 반응되지 않은 반응제(reagent),또는 HCl과 같은 임의의 부산물을 제거한다. 각각의 ALD 주기는 약 1Å의 하프늄 산화물막을 형성한다.
예 2 - 하프늄 산화물막은 산화 가스와 함께 하프늄 전구체를 순차적으로 펄싱함으로써 ALD 프로세스 동안 형성된다. 기판 표면은 그위에 수산기 그룹들을 형성하도록 예비처리 프로세스에 노출된다. HfCl4의 하프늄 전구체는 약 150℃ 내지 약 200℃ 범위의 온도로 전구체 앰폴(ampoule) 내에서 가열된다. 질소 캐리어 가 스는 약 400sccm의 유속으로 하프늄 전구체를 함유하는 전구체 앰폴로 향한다. 하프늄 전구체는 캐리어 가스를 포화시키며 약 0.5초 동안 챔버에 제공된다. 질소 정화 가스는 약 0.5초 동안 챔버에 제공되어 임의의 결합되지 않은 하프늄 전구체를 제거한다. 각각 약 50sccm 및 약 60sccm의 유속을 갖는 수소 가스 및 산소 가스가 WVG 시스템에 공급된다. WVG 시스템으로부터 진입되는 산화 가스는 약 50sccm의 유속을 갖는 물과 약 35sccm의 유속을 갖는 산소를 함유한다. 산화 가스는 약 0.5초 동안 챔버에 제공된다. 질소 정화 가스가 약 0.5초 동안 챔버에 제공되어 하프늄 전구체, 산소 및/또는 물과 같이 임의의 결합되지 않은 또는 반응되지 않은 반응제(reagent), 또는 HCl과 같은 임의의 부산물을 제거한다. 각각의 ALD 주기는 약 2.5Å의 하프늄 산화물막을 형성한다.
예 3 - 하프늄 실리케이트막은 순차적으로 산화 가스와 함께 하프늄 전구체의 펄싱에 이어 산화 가스와 함께 실리콘 전구체를 펄싱함으로써 ALD 프로세스 동안 형성된다. 기판 표면은 그위에 수산기 그룹들을 형성하도록 예비처리 프로세스에 노출된다. TDEAH와 같은 하프늄 전구체 및 TDMAS와 같은 실리콘 전구체가 실온(약 23℃)에서 개별 전구체 앰폴(ampoule) 내에서 가열된다. 이들 전구체들은 약 110℃ 내지 약 130℃의 개별적인 기화기내에서 기화되며 불활성 캐리어 가스와 개별적으로 혼합된다. 하프늄 전구체는 캐리어 가스를 포화시키며 약 1초 동안 챔버에 제공된다. 질소 정화 가스는 약 1초 동안 챔버에 제공되어 임의의 결합되지 않은 하프늄 전구체를 제거한다. 각각 약 100sccm 및 약 120sccm의 유속을 갖는 수소 가스 및 산소 가스가 WVG 시스템에 공급된다. WVG 시스템으로부터 진입되는 산 화 가스는 약 100sccm의 유속을 갖는 물과 약 70sccm의 유속을 갖는 산소를 함유한다. 산화 가스는 약 1.7초 동안 챔버에 제공된다. 질소 정화 가스가 약 5초 동안 챔버에 제공되어 하프늄 전구체, 산소 및/또는 물과 같이 임의의 결합되지 않은 또는 반응되지 않은 반응제(reagent), 또는 부산물을 제거한다. 실리콘 전구체가 약 1 초 동안 챔버에 제공된다. 질소 정화 가스가 약 1 초 동안 챔버에 제공되어 임의의 결합되지 않은 전구체 또는 오염물을 제거한다. 산화 가스는 약 1.7초 동안 챔버에 제공된다. 질소 정화 가스가 약 5 초 동안 챔버에 제공된다. 각각의 ALD 주기는 약 1Å의 하프늄 실리케이트막을 형성한다.
예 4 - 하프늄 실리케이트막은 순차적으로 산화 가스와 함께 하프늄 전구체의 펄싱에 이어 산화 가스와 함께 실리콘 전구체를 펄싱함으로써 ALD 프로세스 동안 형성된다. 기판 표면은 그위에 수산기 그룹들을 형성하도록 예비처리 프로세스에 노출된다. HfCl4와 같은 하프늄 전구체 및 Tris-DMAS와 같은 실리콘 전구체가 실온(약 23℃)에서 개별 전구체 앰폴(ampoule) 내에서 가열된다. 이들 전구체들은 약 110℃ 내지 약 130℃의 개별적인 기화기내에서 기화되며 불활성 캐리어 가스와 개별적으로 혼합된다. 하프늄 전구체는 캐리어 가스를 포화시키며 약 1초 동안 챔버에 제공된다. 질소 정화 가스는 약 1초 동안 챔버에 제공된다. 각각 약 100sccm 및 약 120sccm의 유속을 갖는 수소 가스 및 산소 가스가 WVG 시스템에 공급된다. WVG 시스템으로부터 진입되는 산화 가스는 약 100sccm의 유속을 갖는 물과 약 70sccm의 유속을 갖는 산소를 함유한다. 산화 가스는 약 1.7초 동안 챔버에 제공된다. 질소 정화 가스가 약 1 동안 챔버에 제공되어 하프늄 전구체, 산소 및/또는 물과 같이 임의의 결합되지 않은 또는 반응되지 않은 반응제(reagent)를 제거한다. 실리콘 전구체가 약 1 초 동안 챔버에 제공된다. 질소 정화 가스가 약 1 초 동안 챔버에 제공되어 임의의 결합되지 않은 전구체 또는 오염물을 제거한다. 산화 가스는 약 1.7초 동안 챔버에 제공된다. 질소 정화 가스는 약 5초 동안 챔버에 제공된다. 각각의 ALD 주기는 약 1Å의 하프늄 실리케이트막을 형성한다.
예 5 - 하프늄 실리케이트막은 산화 가스와 함께 순차적으로 하프늄 전구체 및 실리콘 전구체를 동시적으로 펄싱함으로써 ALD 프로세스 동안 형성된다. 기판 표면은 그위에 수산기 그룹들을 형성하도록 예비처리 프로세스에 노출된다. TDEAH와 같은 하프늄 전구체 및 TDMAS와 같은 실리콘 전구체가 실온(약 23℃)에서 개별 전구체 앰폴(ampoule) 내에서 가열된다. 이들 전구체들은 약 110℃ 내지 약 130℃의 개별적인 기화기내에서 기화되며 불활성 캐리어 가스와 개별적으로 혼합된다. 하프늄 전구체 및 실리콘 전구체는 약 1초 동안 챔버에 각각 동시적으로 제공된다. 질소 정화 가스는 약 1초 동안 챔버에 제공되어 임의의 결합되지 않은 하프늄 전구체 또는 실리콘 전구체를 제거한다. 각각 약 100sccm 및 약 120sccm의 유속을 갖는 수소 가스 및 산소 가스가 WVG 시스템에 공급된다. WVG 시스템으로부터 진입되는 산화 가스는 약 100sccm의 유속을 갖는 물과 약 70sccm의 유속을 갖는 산소를 함유한다. 산화 가스는 약 1.7초 동안 챔버에 제공된다. 질소 정화 가스가 약 5초 동안 챔버에 제공되어 부산물, 하프늄 전구체, 실리콘 전구체, 산소 및/또는 물과 같이 임의의 결합되지 않은 또는 반응되지 않은 반응제(reagent)를 제거한다. 각각의 ALD 주기는 약 1Å의 하프늄 실리케이트막을 형성한다.
예 6 - 하프늄 실리케이트막은 산화 가스와 함께 순차적으로 하프늄 전구체 및 실리콘 전구체를 동시적으로 펄싱함으로써 ALD 프로세스 동안 형성된다. 기판 표면은 그위에 수산기 그룹들을 형성하도록 예비처리 프로세스에 노출된다. HfCl4와 같은 하프늄 전구체 및 DMAS와 같은 실리콘 전구체가 실온(약 23℃)에서 개별 전구체 앰폴(ampoule) 내에서 가열된다. 이들 전구체들은 약 110℃ 내지 약 130℃의 개별적인 기화기내에서 기화되며 불활성 캐리어 가스와 개별적으로 혼합된다. 하프늄 전구체 및 실리콘 전구체는 약 1초 동안 챔버에 각각 동시적으로 제공된다. 질소 정화 가스는 약 1초 동안 챔버에 제공되어 임의의 결합되지 않은 하프늄 전구체 또는 실리콘 전구체를 제거한다. 각각 약 100sccm 및 약 120sccm의 유속을 갖는 수소 가스 및 산소 가스가 WVG 시스템에 공급된다. WVG 시스템으로부터 진입되는 산화 가스는 약 100sccm의 유속을 갖는 물과 약 70sccm의 유속을 갖는 산소를 함유한다. 산화 가스는 약 1.7초 동안 챔버에 제공된다. 질소 정화 가스가 약 5초 동안 챔버에 제공되어 부산물, 하프늄 전구체, 실리콘 전구체, 산소 및/또는 물과 같이 임의의 결합되지 않은 또는 반응되지 않은 반응제(reagent)를 제거한다. 각각의 ALD 주기는 약 1Å의 하프늄 실리케이트막을 형성한다.
예 7 - 하프늄 산화물막은 WVG 시스템으로부터 형성된 인-시튜 스팀과 함께 순차적으로 하프늄 전구체를 펄싱함으로써 ALD 프로세스 동안 형성된다. 기판 표면은 그위에 수산기 그룹들을 형성하도록 예비처리 프로세스에 노출된다. HfCl4와 같은 하프늄 전구체가 약 150℃ 내지 약 200℃의 온도에서 개별 전구체 앰폴(ampoule) 내에서 가열된다. 질소 캐리어 가스는 약 400sccm의 유속을 갖는 하프늄 전구체를 함유하는 전구체 앰폴로 향한다. 하프늄 전구체는 캐리어 가스를 포화시키며 약 1.5초 동안 챔버에 제공되어 임의의 결합되지 않은 하프늄 전구체를 제거한다. 질소 정화 가스가 약 2.5초 동안 챔버에 제공되어 결합되지 않은 임의의 하프늄 전구체를 제거한다. 각각 100sccm의 유속을 갖는 형성 가스(N2에서 5vol% H2와 균형) 및 산소 가스가 WVG 시스템에 공급된다. WVG 시스템으로부터 진입되는 산화 가스는 약 2.5sccm의 유속을 갖는 물과 약 98sccm의 유속을 갖는 산소를 함유한다. 산화 가스는 약 1.7초 동안 챔버에 제공된다. 질소 정화 가스가 약 2.5초 동안 챔버에 제공되어 부산물, 하프늄 전구체, 산소 및/또는 물과 같이 임의의 결합되지 않은 또는 반응되지 않은 반응제(reagent)를 제거한다.
예 8 - 하프늄 실리케이트막은 순차적으로 산화 가스와 함께 하프늄 전구체의 펄싱에 이어 산화 가스와 함께 실리콘 전구체를 펄싱함으로써 ALD 프로세스 동안 형성된다. 기판 표면은 그위에 수산기 그룹들을 형성하도록 예비처리 프로세스에 노출된다. TDEAH와 같은 하프늄 전구체 및 TDMAS와 같은 실리콘 전구체가 실온(약 23℃)에서 개별 전구체 앰폴(ampoule) 내에서 가열된다. 이들 전구체들은 약 110℃ 내지 약 130℃의 개별적인 기화기내에서 기화되며 불활성 캐리어 가스와 개별적으로 혼합된다. 하프늄 전구체는 캐리어 가스를 포화시키며 약 1초 동안 챔버에 제공된다. 질소 정화 가스는 약 1초 동안 챔버에 제공되어 임의의 결합되지 않 은 하프늄 전구체를 제거한다. 각각 100sccm의 유속을 갖는 형성 가스(N2에서 5vol% H2와 균형) 및 산소 가스가 WVG 시스템에 공급된다. WVG 시스템으로부터 진입되는 산화 가스는 약 2.5sccm의 유속을 갖는 물과 약 98sccm의 유속을 갖는 산소를 함유한다. 산화 가스는 약 1.7초 동안 챔버에 제공된다. 질소 정화 가스가 약 5초 동안 챔버에 제공되어 하프늄 전구체, 산소 및/또는 물과 같이 임의의 결합되지 않은 또는 반응되지 않은 반응제(reagent) 또는 부산물을 제거한다. 실리콘 전구체는 약 1 초 동안 챔버에 제공된다. 질소 정화 가스는 약 1 초 동안 챔버에 제공되어 임의의 결합되지 않은 전구체 또는 오염물을 제거한다. 산화 가스는 약 1.7초 동안 챔버에 제공된다. 질소 정화 가스는 약 5 초 동안 챔버에 제공된다. 각각의 ALD 주기는 약 1Å의 하프늄 실리케이트막을 형성한다.
예 9 - 하프늄 실리케이트막은 산화 가스와 함께 순차적으로 하프늄 전구체 및 실리콘 전구체를 동시적으로 펄싱함으로써 ALD 프로세스 동안 형성된다. 기판 표면은 그위에 수산기 그룹들을 형성하도록 예비처리 프로세스에 노출된다. TDEAH와 같은 하프늄 전구체 및 TDMAS와 같은 실리콘 전구체가 실온(약 23℃)에서 개별 전구체 앰폴(ampoule) 내에서 가열된다. 이들 전구체들은 약 110℃ 내지 약 130℃의 개별적인 기화기내에서 기화되며 불활성 캐리어 가스와 개별적으로 혼합된다. 하프늄 전구체 및 실리콘 전구체는 약 1초 동안 챔버에 각각 동시적으로 펄싱된다. 질소 정화 가스는 약 1초 동안 챔버에 제공되어 임의의 결합되지 않은 하프늄 전구체 또는 실리콘 전구체를 제거한다. 각각 100sccm의 유속을 갖는 형성 가스(N2에서 5vol% H2와 균형) 및 산소 가스가 WVG 시스템에 공급된다. WVG 시스템으로부터 진입되는 산화 가스는 약 0.25sccm의 유속을 갖는 물과 약 100sccm의 유속을 갖는 산소를 함유한다. 산화 가스는 약 1.7초 동안 챔버에 제공된다. 질소 정화 가스가 약 5초 동안 챔버에 제공되어 부산물, 하프늄 전구체, 실리콘 전구체, 산소 및/또는 물과 같이 임의의 결합되지 않은 또는 반응되지 않은 반응제(reagent)를 제거한다. 각각의 ALD 주기는 약 1Å의 하프늄 실리케이트막을 형성한다.
예 10 - 하프늄 산화물막은 WVG 시스템으로부터 형성된 산화 가스와 함께 순차적으로 하프늄 전구체를 펄싱함으로써 ALD 프로세스 동안 형성된다. 기판 표면은 그위에 수산기 그룹들을 형성하도록 예비처리 프로세스에 노출된다. TDEAH와 같은 하프늄 전구체가 약 23℃의 온도에서 전구체 앰폴(ampoule) 내에서 가열된다. 질소 캐리어 가스는 약 400sccm의 유속을 갖는 하프늄 전구체를 함유하는 전구체 앰폴로 향한다. 하프늄 전구체는 캐리어 가스를 포화시키며 약 2초 동안 챔버에 제공된다. 질소 전구체가 약 1.5초 동안 챔버에 제공되어 임의의 결합되지 않은 하프늄 전구체를 제거한다. 각각 약 100sccm 및 약 120sccm의 유속을 갖는 수소 가스 및 산소 가스가 WVG 시스템에 공급된다. WVG 시스템으로부터 진입되는 산화 가스는 약 100sccm의 유속을 갖는 물과 약 70sccm의 유속을 갖는 산소를 함유한다. 산화 가스는 약 1.7초 동안 챔버에 제공된다. 질소 정화 가스가 약 1.5초 동안 챔버에 제공되어 부산물, 하프늄 전구체, 산소 및/또는 물과 같이 임의의 결합되지 않은 또는 반응되지 않은 반응제(reagent)를 제거한다. 각각의 ALD 주기는 약 1.1 Å의 하프늄 산화물막을 형성한다.
재료들은 선택된 절반의 반응과 함께 원하는 막 조성 또는 특성을 달성하도록 교번하는 형태로 개별적으로 화학물들을 도징(dosing)함으로써 증착된다. 그러나 상기 절반 반응은 형성되는 막의 정확한 결합 접속력 또는 화학량론(stoichiometry)을 나타내지 않는다. 대부분의 제품 조성의 화학량론은 화학반응 동안 열역학적으로 제어되는 반면, 제품 조성 화학량론은 원하는 조성을 얻기 위해 운동학적으로 제어될 수 있다. 따라서, 도징 시퀀스는 막의 전체 조성 및 품질에 영향을 미치도록 변경될 수 있다.
지금까지 본 발명의 실시예들에 관해 개시하였지만, 하기의 청구항들에 의해 한정되는 본 발명의 기본 범주 및 개념을 이탈하지 않는 한 다른 추가의 실시예들이 고안될 수 있다.

Claims (33)

  1. 기판을 처리하기 위한 장치로서,
    프로세스 챔버내에서 기판 수용 표면을 가진 기판 지지체; 및
    챔버 리드 ― 상기 챔버 리드는 상기 챔버 리드의 중앙 부분에 있는 열적 절연 재료내에 형성된 확장형 채널, 및 상기 확장 채널로부터 상기 챔버 리드의 주변 부분으로 확장하는 테이퍼진 하부 표면을 포함하며, 상기 테이퍼진 하부 표면은 상기 기판 수용 표면을 실질적으로 커버하도록 하는 형상 및 크기를 가짐 ―;
    상기 확장형 채널내의 제 1가스 인입구에 결합된 제 1도관; 및
    상기 확장형 채널내의 제 2가스 인입구에 결합된 제 2도관을 포함하며, 상기 제 1도관 및 상기 제 2도관은 상기 확장형 채널을 통해 순환 방향으로 가스 흐름을 제공하도록 배치되는, 기판 처리 장치.
  2. 제 1항에 있어서, 상기 확장형 채널은 상기 챔버 리드내에 형성되거나 또는 그 위에 부착된 퍼넬 라이너와 함께 형성되는, 기판 처리 장치.
  3. 제 2항에 있어서, 상기 열적 절연 재료는 용융 석영, 세라믹, 사파이어, 열분해 붕소 아열산염, 이의 파생물 및 이의 결합물들로 구성된 그룹으로부터 선택된 재료를 포함하는, 기판 처리 장치.
  4. 제 3항에 있어서, 상기 열적 절연 재료는 적어도 약 0.051㎛의 표면 마무리를 가지도록 폴리싱되는, 기판 처리 장치.
  5. 제 3항에 있어서, 상기 챔버는 상부 프로세스 라이너, 하부 프로세스 라이너, 슬립 밸브 라이너, 레테이닝 링 및 이들의 결합물들로 구성된 그룹으로부터 선택된 적어도 하나의 열적 절연 라이너를 더 포함하는, 기판 처리 장치.
  6. 제 5항에 있어서, 퍼넬 라이너는 상기 챔버 리드에 부착되고, 열적 프로세스동안 상기 기판과 정렬되어 중심에 놓이도록 열적으로 확장하는, 기판 처리 장치.
  7. 제 3항에 있어서, 제 1밸브는 상기 제 1도관에 결합되며, 제 2밸브는 상기 제 2도관에 결합되는, 기판 처리 장치.
  8. 제 7항에 있어서, 제 1가스 소스는 상기 제 1밸브와 유체 연통하며, 제 2가스 소스는 상기 제 2밸브와 유체 연통하는, 기판 처리 장치.
  9. 제 8항에 있어서, 상기 제 1 및 제 2 밸브들은 약 2초 이하의 펄스 시간에 원자 층 증착 프로세스를 수행하는, 기판 처리 장치.
  10. 제 8항에 있어서, 상기 제 1도관 및 상기 제 2도관은 상기 확장 채널의 내부 표면으로 상기 가스 흐름을 유도하도록 배치되는, 기판 처리 장치.
  11. 제 10항에 있어서, 상기 가스 흐름은 소용돌이, 나선형, 선회형 및 이의 파생물로 구성된 그룹으로부터 선택되는 순환 방향을 가지는, 기판 처리 장치.
  12. 제 8항에 있어서, 약 3,000 cm3 이하의 체적을 포함하는 반응영역은 상기 챔버 리드 및 상기 기판 수용 표면사이에서 한정되는, 기판 처리 장치.
  13. 제 12항에 있어서, 상기 체적은 상기 기판 지지체를 측면으로 폴리싱함으로서 조절되는, 기판 처리 장치.
  14. 제 12항에 있어서, 플라즈마 장치는 상기 반응 영역과 유체 연통하는, 기판 처리 장치.
  15. 제 1항에 있어서, 촉매를 포함하는 수증기 생성기는 상기 제 1도관에 결합되는, 기판 처리 장치.
  16. 제 15항에 있어서, 산소 소스 및 수소 소스는 상기 수증기 생성기에 결합되는, 기판 처리 장치.
  17. 제 16항에 있어서, 상기 촉매는 팔라듐, 플라티늄, 니켈, 철, 크롬, 루테늄, 로듐, 이의 합금 및 이의 결합물로 구성된 그룹으로부터 선택된 엘리먼트를 포함하는, 기판 처리 장치.
  18. 기판을 처리하기 위한 장치로서,
    프로세스 챔버내에서 기판 수용 표면을 가진 기판 지지체; 및
    챔버 리드 ― 상기 챔버 리드는 상기 챔버 리드의 중앙 부분에 있는 열적 절연 재료내에 형성된 확장형 채널, 및 상기 확장 채널로부터 상기 챔버 리드의 주변 부분으로 확장하는 테이퍼진 하부 표면을 포함하며, 상기 테이퍼진 하부 표면은 상기 기판 수용 표면을 실질적으로 커버하도록 하는 형상 및 크기를 가짐 ―;
    상기 확장형 채널내의 제 1가스 인입구에 결합된 도관;
    상기 도관에 결합된 ALD 밸브 어셈블리; 및
    상기 ALD 밸브 어셈블리에 결합된 수증기 생성기를 포함하며, 상기 수증기 생성기는 촉매를 포함하고 상기 확장형 채널과 유체 연통하는, 기판 처리 장치.
  19. 제 18항에 있어서, 상기 열적 절연 재료는 용융 석영, 세라믹, 사파이어, 열분해 붕소 아열산염, 이의 파생물 및 이의 결합물들로 구성된 그룹으로부터 선택된 재료를 포함하는, 기판 처리 장치.
  20. ALD 프로세스에 의하여 산소 함유 재료를 증착하기 위한 방법으로서,
    프로세스 영역, 제 1ALD 밸브 어셈블리 및 제 2 ALD 밸브 어셈블리에 노출된 기판 지지체를 포함하는 ALD 프로세스 챔버;
    상기 프로세스 영역과 유체 연통하도록 상기 제 1 ALD 밸브 어셈블리에 결합된 제 1선구물질 소스; 및
    촉매를 포함하는 수증기 생성기와 유체 연통하는 산소 소스 및 수소 소스를 포함하며, 상기 수증기 생성기는 상기 프로세스 영역과 유체 연통하도록 상기 제 2 ALD 밸브 어셈블리에 결합되는, 방법.
  21. 제 20항에 있어서, 상기 수증기 생성기는 약 0.1sccm 내지 약 100sccm의 범위내의 흐름속도로 수증기를 생성하는, 방법.
  22. 제 21항에 있어서, 상기 흐름속도는 약 10sccm 이하인, 방법.
  23. 제 22항에 있어서, 상기 흐름속도는 약 1sccm 이하인, 방법.
  24. 제 20항에 있어서, 상기 수소 소스는 소스 및 캐리어 가스를 포함하는 수소 소스 가스를 제공하는, 방법.
  25. 제 24항에 있어서, 상기 수소 소스 가스는 수소의 약 30vol% 이하를 포함하 는, 방법.
  26. 제 20항에 있어서, 상기 촉매는 팔라듐, 플라티늄, 니켈, 철, 크롬, 루테늄, 로듐, 이의 합금 및 이의 결합물로 구성된 그룹으로부터 선택된 엘리먼트를 포함하는, 방법.
  27. 제 26항에 있어서, 상기 제 1 및 제 제 2 ALD 밸브 어셈블리들은 약 2초 이하의 가스 펄스 속도로 ALD 프로세스를 수행하는, 방법.
  28. 제 27항에 있어서, 상기 프로세스 영역와 유체 연통하도록 제 3 ALD 밸브 어셈블리에 결합된 추가 선구물질 소스를 더 포함하는, 방법.
  29. 제 20항에 있어서, 상기 ALD 프로세스 챔버는 상기 리드 어셈블리의 중앙 부분에 있는 확장형 채널을 포함하는 리드 어셈블리를 포함하는, 방법.
  30. 챔버 바디 및 챔버 리드를 포함하는 프로세스 챔버내에서 기판 지지체상에 기판을 배치하는 단계 ― 상기 챔버 리드는 상기 챔버 리드의 중앙 부분에 있는 열적 절연 재료내에 형성된 확장형 채널, 상기 확장 채널로부터 상기 챔버 리드의 주변 부분으로 확장하고 상기 기판을 실질적으로 커버하도록 하는 형상 및 크기를 가진 테이퍼진 하부 표면, 상기 확장형 채널내의 제 1가스 인입구에 결합된 제 1도 관, 및 상기 확장형 채널내의 제 2가스 인입구에 결합된 제 2도관을 포함하며, 상기 제 1도관 및 상기 제 2도관은 순환 방향으로 가스를 제공하도록 배치됨 ―;
    상기 순환 흐름을 형성하기 위하여 상기 제 1 및 제 2 도관을 통해 적어도 하나의 캐리어 가스를 흐르게 하는 단계;
    상기 순환 흐름으로 상기 적어도 하나의 캐리어 가스에 상기 기판을 노출시키는 단계;
    상기 적어도 하나의 캐리어 가스에 적어도 하나의 선구물질을 펄싱하는 단계; 및
    상기 적어도 하나의 선구물질로부터 적어도 하나의 엘리먼트를 포함하는 재료를 상기 기판상에 증착하는 단계를 포함하는, 방법.
  31. 제 30항에 있어서, 상기 순환 흐름은 소용돌이, 나선형, 선회형 및 이의 파생물로 구성된 그룹으로부터 선택된 흐름 패턴을 포함하는, 방법.
  32. 기판상에 재료를 증착하기 위한 방법으로서,
    순환 흐름으로 가스를 형성할 수 있는 가스 전달 시스템을 포함하는 프로세스 챔버내에서 기판 지지체상에 기판을 배치하는 단계;
    상기 순환 흐름을 형성하기 위하여 상기 프로세스 챔버내에 적어도 하나의 캐리어 가스를 흐르게 하는 단계;
    상기 순환 흐름으로 상기 적어도 하나의 캐리어 가스에 상기 기판을 노출시 키는 단계;
    수증기를 포함하는 산화 가스를 형성하기 위하여 수증기 생성기내에 수소 소스 가스 및 산소 소스 가스를 흐르게 하는 단계;
    상기 적어도 하나의 캐리어 가스에 적어도 하나의 선구물질 및 수증기를 순차적으로 펄싱하는 단계; 및
    상기 적어도 하나의 선구물질로부터 적어도 하나의 엘리먼트 및 산소를 포함하는 재료를 상기 기판상에 증착하는 단계를 포함하는, 방법.
  33. 제 32항에 있어서, 상기 순환 흐름은 소용돌이, 나선형, 선회형 및 이의 파생물로 구성된 그룹으로부터 선택된 흐름 패턴을 포함하는, 방법.
KR1020067026140A 2004-05-12 2005-05-12 하프늄―함유 하이-k 유전체 재료들의 원자층 증착을 위한 장치 및 방법 KR101316056B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US57017304P 2004-05-12 2004-05-12
US60/570,173 2004-05-12
PCT/US2005/016694 WO2005113852A2 (en) 2004-05-12 2005-05-12 Apparatuses and methods for atomic layer deposition

Publications (2)

Publication Number Publication Date
KR20070015959A true KR20070015959A (ko) 2007-02-06
KR101316056B1 KR101316056B1 (ko) 2013-10-10

Family

ID=34969846

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020067026140A KR101316056B1 (ko) 2004-05-12 2005-05-12 하프늄―함유 하이-k 유전체 재료들의 원자층 증착을 위한 장치 및 방법

Country Status (6)

Country Link
US (5) US20050252449A1 (ko)
EP (2) EP1745160A1 (ko)
JP (2) JP5063344B2 (ko)
KR (1) KR101316056B1 (ko)
CN (2) CN101052745B (ko)
WO (2) WO2005113855A1 (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011112617A3 (en) * 2010-03-12 2011-11-24 Applied Materials, Inc. Atomic layer deposition chamber with multi inject
KR101232904B1 (ko) * 2010-09-06 2013-02-13 엘아이지에이디피 주식회사 화학기상 증착장치 및 화학기상 증착장치의 세정방법
KR20180080340A (ko) * 2008-04-22 2018-07-11 피코순 오와이 전구체 소스 및 이를 포함하는 증착 반응기
KR20180128982A (ko) * 2011-04-07 2018-12-04 피코순 오와이 플라즈마 소오스를 갖는 원자층 퇴적

Families Citing this family (687)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI119941B (fi) * 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
US20060219157A1 (en) * 2001-06-28 2006-10-05 Antti Rahtu Oxide films containing titanium
FI118804B (fi) * 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US7419903B2 (en) * 2000-03-07 2008-09-02 Asm International N.V. Thin films
FI117979B (fi) * 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US7491634B2 (en) 2006-04-28 2009-02-17 Asm International N.V. Methods for forming roughened surfaces and applications thereof
US9139906B2 (en) 2001-03-06 2015-09-22 Asm America, Inc. Doping with ALD technology
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US20080102203A1 (en) * 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
US7780789B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Vortex chamber lids for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US20070212850A1 (en) * 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7141483B2 (en) 2002-09-19 2006-11-28 Applied Materials, Inc. Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
TW200508413A (en) * 2003-08-06 2005-03-01 Ulvac Inc Device and method for manufacturing thin films
US20050183824A1 (en) * 2004-02-25 2005-08-25 Advanced Display Process Engineering Co., Ltd. Apparatus for manufacturing flat-panel display
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US9257302B1 (en) * 2004-03-25 2016-02-09 Novellus Systems, Inc. CVD flowable gap fill
DE102004019575A1 (de) * 2004-04-20 2005-11-24 Innovent E.V. Technologieentwicklung Verfahren zur Herstellung von transmissionsverbessernden und/oder reflexionsmindernden optischen Schichten
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8119210B2 (en) * 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US20050276922A1 (en) * 2004-06-10 2005-12-15 Henry Bernhardt Method of forming thin dielectric layers
US7297608B1 (en) 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
US7202185B1 (en) * 2004-06-22 2007-04-10 Novellus Systems, Inc. Silica thin films produced by rapid surface catalyzed vapor deposition (RVD) using a nucleation layer
US20050287806A1 (en) * 2004-06-24 2005-12-29 Hiroyuki Matsuura Vertical CVD apparatus and CVD method using the same
US20060045968A1 (en) * 2004-08-25 2006-03-02 Metz Matthew V Atomic layer deposition of high quality high-k transition metal and rare earth oxides
JP2006128547A (ja) * 2004-11-01 2006-05-18 Toshiba Corp 半導体装置及びその製造方法
US7294583B1 (en) 2004-12-23 2007-11-13 Novellus Systems, Inc. Methods for the use of alkoxysilanol precursors for vapor deposition of SiO2 films
US7482247B1 (en) 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7438949B2 (en) * 2005-01-27 2008-10-21 Applied Materials, Inc. Ruthenium containing layer deposition method
JP2006279019A (ja) * 2005-03-03 2006-10-12 Sony Corp 薄膜の形成方法および半導体装置の製造方法
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
JP4711733B2 (ja) * 2005-05-12 2011-06-29 株式会社Adeka 酸化珪素系薄膜の製造方法
US20070037412A1 (en) * 2005-08-05 2007-02-15 Tokyo Electron Limited In-situ atomic layer deposition
US20070042130A1 (en) * 2005-08-17 2007-02-22 Applied Materials, Inc. Method of treating films using UV-generated active species
US7402534B2 (en) * 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US20070054048A1 (en) * 2005-09-07 2007-03-08 Suvi Haukka Extended deposition range by hot spots
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7589028B1 (en) 2005-11-15 2009-09-15 Novellus Systems, Inc. Hydroxyl bond removal and film densification method for oxide films using microwave post treatment
US7491653B1 (en) 2005-12-23 2009-02-17 Novellus Systems, Inc. Metal-free catalysts for pulsed deposition layer process for conformal silica laminates
US7972974B2 (en) 2006-01-10 2011-07-05 Micron Technology, Inc. Gallium lanthanide oxide films
WO2007083651A1 (ja) * 2006-01-17 2007-07-26 Hitachi Kokusai Electric Inc. 半導体装置の製造方法
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7645710B2 (en) 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7678710B2 (en) 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7837838B2 (en) 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US20070237697A1 (en) * 2006-03-31 2007-10-11 Tokyo Electron Limited Method of forming mixed rare earth oxide and aluminate films by atomic layer deposition
US7288463B1 (en) 2006-04-28 2007-10-30 Novellus Systems, Inc. Pulsed deposition layer gap fill with expansion material
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
DE102006023046B4 (de) * 2006-05-17 2009-02-05 Qimonda Ag Verfahren und Ausgangsmaterial zum Bereitstellen eines gasförmigen Precursors
US7863198B2 (en) * 2006-05-18 2011-01-04 Micron Technology, Inc. Method and device to vary growth rate of thin films over semiconductor structures
US7582574B2 (en) * 2006-05-30 2009-09-01 Air Products And Chemicals, Inc. Diethylsilane as a silicon source in the deposition of metal silicate films
EP2029790A1 (en) 2006-06-02 2009-03-04 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
US7625820B1 (en) 2006-06-21 2009-12-01 Novellus Systems, Inc. Method of selective coverage of high aspect ratio structures with a conformal film
US7795160B2 (en) * 2006-07-21 2010-09-14 Asm America Inc. ALD of metal silicate films
US7727908B2 (en) 2006-08-03 2010-06-01 Micron Technology, Inc. Deposition of ZrA1ON films
US20080063798A1 (en) * 2006-08-30 2008-03-13 Kher Shreyas S Precursors and hardware for cvd and ald
US7544604B2 (en) 2006-08-31 2009-06-09 Micron Technology, Inc. Tantalum lanthanide oxynitride films
US7605030B2 (en) 2006-08-31 2009-10-20 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
US7776765B2 (en) 2006-08-31 2010-08-17 Micron Technology, Inc. Tantalum silicon oxynitride high-k dielectrics and metal gates
US7563730B2 (en) 2006-08-31 2009-07-21 Micron Technology, Inc. Hafnium lanthanide oxynitride films
US7759747B2 (en) 2006-08-31 2010-07-20 Micron Technology, Inc. Tantalum aluminum oxynitride high-κ dielectric
TWI435376B (zh) 2006-09-26 2014-04-21 Applied Materials Inc 用於缺陷鈍化之高k閘極堆疊的氟電漿處理
WO2008042981A2 (en) 2006-10-05 2008-04-10 Asm America, Inc. Ald of metal silicate films
US7521379B2 (en) 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US20080087890A1 (en) * 2006-10-16 2008-04-17 Micron Technology, Inc. Methods to form dielectric structures in semiconductor devices and resulting devices
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7749574B2 (en) 2006-11-14 2010-07-06 Applied Materials, Inc. Low temperature ALD SiO2
US7776395B2 (en) * 2006-11-14 2010-08-17 Applied Materials, Inc. Method of depositing catalyst assisted silicates of high-k materials
US8821637B2 (en) 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
JP4845782B2 (ja) * 2007-03-16 2011-12-28 東京エレクトロン株式会社 成膜原料
JP5176358B2 (ja) * 2007-03-27 2013-04-03 東京エレクトロン株式会社 成膜装置及び成膜方法
KR100877100B1 (ko) * 2007-04-16 2009-01-09 주식회사 하이닉스반도체 비휘발성 메모리 소자 제조 방법
US20080272421A1 (en) * 2007-05-02 2008-11-06 Micron Technology, Inc. Methods, constructions, and devices including tantalum oxide layers
US20080274615A1 (en) * 2007-05-02 2008-11-06 Vaartstra Brian A Atomic Layer Deposition Methods, Methods of Forming Dielectric Materials, Methods of Forming Capacitors, And Methods of Forming DRAM Unit Cells
US8591991B2 (en) * 2007-05-22 2013-11-26 National University Corporation Nagaoka University Of Technology Fabrication method and fabrication apparatus for fabricating metal oxide thin film
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
KR101101785B1 (ko) * 2007-06-08 2012-01-05 도쿄엘렉트론가부시키가이샤 패터닝 방법
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support
US7790628B2 (en) 2007-08-16 2010-09-07 Tokyo Electron Limited Method of forming high dielectric constant films using a plurality of oxidation sources
DE102008026001B4 (de) * 2007-09-04 2012-02-16 Von Ardenne Anlagentechnik Gmbh Verfahren und Vorrichtung zur Erzeugung und Bearbeitung von Schichten auf Substraten unter definierter Prozessatmosphäre und Heizelement
KR101046520B1 (ko) * 2007-09-07 2011-07-04 어플라이드 머티어리얼스, 인코포레이티드 내부 챔버 상의 부산물 막 증착을 제어하기 위한 pecvd 시스템에서의 소스 가스 흐름 경로 제어
WO2009034898A1 (ja) * 2007-09-12 2009-03-19 Tokyo Electron Limited 成膜装置及び成膜方法
JP5347294B2 (ja) * 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US7678298B2 (en) 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US20090081360A1 (en) * 2007-09-26 2009-03-26 Fedorovskaya Elena A Oled display encapsulation with the optical property
US8182608B2 (en) * 2007-09-26 2012-05-22 Eastman Kodak Company Deposition system for thin film formation
US20090081356A1 (en) * 2007-09-26 2009-03-26 Fedorovskaya Elena A Process for forming thin film encapsulation layers
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
CN101802254B (zh) * 2007-10-11 2013-11-27 瓦伦斯处理设备公司 化学气相沉积反应器
KR101544198B1 (ko) * 2007-10-17 2015-08-12 한국에이에스엠지니텍 주식회사 루테늄 막 형성 방법
US20090130414A1 (en) * 2007-11-08 2009-05-21 Air Products And Chemicals, Inc. Preparation of A Metal-containing Film Via ALD or CVD Processes
US8993051B2 (en) * 2007-12-12 2015-03-31 Technische Universiteit Delft Method for covering particles, especially a battery electrode material particles, and particles obtained with such method and a battery comprising such particle
US8012532B2 (en) 2007-12-18 2011-09-06 Micron Technology, Inc. Methods of making crystalline tantalum pentoxide
CN101849042B (zh) * 2007-12-20 2014-06-18 硅绝缘体技术有限公司 向外延生长基片输送前体气体的装置
US8501637B2 (en) * 2007-12-21 2013-08-06 Asm International N.V. Silicon dioxide thin films by ALD
US8016945B2 (en) * 2007-12-21 2011-09-13 Applied Materials, Inc. Hafnium oxide ALD process
US7964515B2 (en) 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
JP5408819B2 (ja) * 2008-01-29 2014-02-05 国立大学法人長岡技術科学大学 堆積装置および堆積方法
US20090197424A1 (en) * 2008-01-31 2009-08-06 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
US7799674B2 (en) 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
JP5535945B2 (ja) 2008-02-27 2014-07-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 原子層蒸着(ald)法を用いる基板上にチタン含有層を形成する方法
KR101562180B1 (ko) * 2008-03-17 2015-10-21 어플라이드 머티어리얼스, 인코포레이티드 앰풀용 가열형 밸브 매니폴드
JP2009239082A (ja) * 2008-03-27 2009-10-15 Tokyo Electron Ltd ガス供給装置、処理装置及び処理方法
US7871942B2 (en) * 2008-03-27 2011-01-18 Applied Materials, Inc. Methods for manufacturing high dielectric constant film
US7816278B2 (en) 2008-03-28 2010-10-19 Tokyo Electron Limited In-situ hybrid deposition of high dielectric constant films using atomic layer deposition and chemical vapor deposition
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US20090255582A1 (en) * 2008-04-10 2009-10-15 Epv Solar, Inc. Methods of drying glass for photovoltaic applications
US20090315093A1 (en) 2008-04-16 2009-12-24 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
EP2860279A1 (en) 2008-04-25 2015-04-15 ASM International N.V. Synthesis of precursors for ALD of tellurium and selenium thin films
US8076237B2 (en) * 2008-05-09 2011-12-13 Asm America, Inc. Method and apparatus for 3D interconnect
TWI467045B (zh) * 2008-05-23 2015-01-01 Sigma Aldrich Co 高介電常數電介質薄膜與使用鈰基前驅物製造高介電常數電介質薄膜之方法
TW200949939A (en) * 2008-05-23 2009-12-01 Sigma Aldrich Co High-k dielectric films and methods of producing using titanium-based β -diketonate precursors
US8208241B2 (en) * 2008-06-04 2012-06-26 Micron Technology, Inc. Crystallographically orientated tantalum pentoxide and methods of making same
US10566169B1 (en) 2008-06-30 2020-02-18 Nexgen Semi Holding, Inc. Method and device for spatial charged particle bunching
US8291857B2 (en) 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
JP5209395B2 (ja) * 2008-07-25 2013-06-12 大陽日酸株式会社 気相成長装置
US8187381B2 (en) * 2008-08-22 2012-05-29 Applied Materials, Inc. Process gas delivery for semiconductor process chamber
US8084104B2 (en) 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
JP2010084157A (ja) * 2008-09-29 2010-04-15 Tokyo Electron Ltd ガス導入機構及び成膜装置
US8133555B2 (en) 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
US7820506B2 (en) * 2008-10-15 2010-10-26 Micron Technology, Inc. Capacitors, dielectric structures, and methods of forming dielectric structures
JP5797255B2 (ja) * 2008-10-29 2015-10-21 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP5665289B2 (ja) 2008-10-29 2015-02-04 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US9328417B2 (en) * 2008-11-01 2016-05-03 Ultratech, Inc. System and method for thin film deposition
US9175388B2 (en) * 2008-11-01 2015-11-03 Ultratech, Inc. Reaction chamber with removable liner
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
KR101258630B1 (ko) * 2008-11-21 2013-04-26 고쿠리츠다이가쿠호진 나가오카기쥬츠가가쿠다이가쿠 기판 처리 방법 및 기판 처리 장치
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
FI123539B (fi) * 2009-02-09 2013-06-28 Beneq Oy ALD-reaktori, menetelmä ALD-reaktorin lataamiseksi ja tuotantolinja
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5822823B2 (ja) * 2009-04-21 2015-11-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 膜厚不均一性および粒子性能を改善するcvd装置
US8071452B2 (en) * 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
WO2010144730A2 (en) * 2009-06-10 2010-12-16 Nexgen Semi Holding, Inc. Apparatus and method for manufacturing multi-component oxide heterostructures
US8507389B2 (en) 2009-07-17 2013-08-13 Applied Materials, Inc. Methods for forming dielectric layers
JP5359642B2 (ja) * 2009-07-22 2013-12-04 東京エレクトロン株式会社 成膜方法
US8329569B2 (en) 2009-07-31 2012-12-11 Asm America, Inc. Deposition of ruthenium or ruthenium dioxide
CN101994087B (zh) * 2009-08-14 2013-04-24 鸿富锦精密工业(深圳)有限公司 蒸镀装置
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US9117773B2 (en) * 2009-08-26 2015-08-25 Asm America, Inc. High concentration water pulses for atomic layer deposition
US20110065287A1 (en) * 2009-09-11 2011-03-17 Tokyo Electron Limited Pulsed chemical vapor deposition of metal-silicon-containing films
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
JP5797790B2 (ja) * 2009-09-30 2015-10-21 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP5467007B2 (ja) * 2009-09-30 2014-04-09 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
US20110256314A1 (en) * 2009-10-23 2011-10-20 Air Products And Chemicals, Inc. Methods for deposition of group 4 metal containing films
JP5731519B2 (ja) 2009-10-26 2015-06-10 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. Va族元素を含む薄膜のaldのための前駆体の合成及び使用
KR101584100B1 (ko) * 2009-10-29 2016-01-13 삼성전자주식회사 금속 실리케이트 막의 형성 방법 및 이를 이용한 반도체 소자의 형성 방법
EP2499274B1 (en) 2009-11-09 2016-04-20 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Deposition methods using hafnium-containing compounds
JP5813303B2 (ja) 2009-11-20 2015-11-17 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP5770892B2 (ja) * 2009-11-20 2015-08-26 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US8592294B2 (en) * 2010-02-22 2013-11-26 Asm International N.V. High temperature atomic layer deposition of dielectric oxides
US20110293830A1 (en) 2010-02-25 2011-12-01 Timo Hatanpaa Precursors and methods for atomic layer deposition of transition metal oxides
US8012859B1 (en) * 2010-03-31 2011-09-06 Tokyo Electron Limited Atomic layer deposition of silicon and silicon-containing films
US20110265883A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Methods and apparatus for reducing flow splitting errors using orifice ratio conductance control
US9348339B2 (en) 2010-09-29 2016-05-24 Mks Instruments, Inc. Method and apparatus for multiple-channel pulse gas delivery system
US8997686B2 (en) 2010-09-29 2015-04-07 Mks Instruments, Inc. System for and method of fast pulse gas delivery
CN102453883A (zh) * 2010-10-19 2012-05-16 英作纳米科技(北京)有限公司 兼具阻隔作用及良好生物相容性的内壁涂层的制备方法
JP5544343B2 (ja) * 2010-10-29 2014-07-09 東京エレクトロン株式会社 成膜装置
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US20120201959A1 (en) * 2011-02-04 2012-08-09 Applied Materials, Inc. In-Situ Hydroxylation System
US20130330936A1 (en) * 2011-02-07 2013-12-12 Technische Universiteit Eindhoven METHOD OF DEPOSITION OF Al2O3/SiO2 STACKS, FROM ALUMINIUM AND SILICON PRECURSORS
US10126760B2 (en) 2011-02-25 2018-11-13 Mks Instruments, Inc. System for and method of fast pulse gas delivery
US10031531B2 (en) 2011-02-25 2018-07-24 Mks Instruments, Inc. System for and method of multiple channel fast pulse gas delivery
US10353408B2 (en) 2011-02-25 2019-07-16 Mks Instruments, Inc. System for and method of fast pulse gas delivery
KR101923087B1 (ko) * 2011-04-07 2018-11-28 피코순 오와이 플라즈마 소오스를 갖는 퇴적 반응기
US9695510B2 (en) * 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
WO2012147680A1 (ja) * 2011-04-25 2012-11-01 東京エレクトロン株式会社 成膜方法
KR101895398B1 (ko) * 2011-04-28 2018-10-25 삼성전자 주식회사 산화물 층의 형성 방법 및 이를 포함하는 반도체 소자의 제조 방법
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US20130017317A1 (en) * 2011-07-13 2013-01-17 Ring Kenneth M Load lock control method and apparatus
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130025786A1 (en) 2011-07-28 2013-01-31 Vladislav Davidkovich Systems for and methods of controlling time-multiplexed deep reactive-ion etching processes
US9958302B2 (en) 2011-08-20 2018-05-01 Reno Technologies, Inc. Flow control system, method, and apparatus
US9448564B2 (en) 2013-02-15 2016-09-20 Reno Technologies, Inc. Gas delivery system for outputting fast square waves of process gas during semiconductor processing
US9690301B2 (en) 2012-09-10 2017-06-27 Reno Technologies, Inc. Pressure based mass flow controller
US9188989B1 (en) 2011-08-20 2015-11-17 Daniel T. Mudd Flow node to deliver process gas using a remote pressure measurement device
CN102312217B (zh) * 2011-09-06 2013-04-17 中国科学院长春光学精密机械与物理研究所 采用复合模式生长半导体薄膜的方法及装置
US9062390B2 (en) 2011-09-12 2015-06-23 Asm International N.V. Crystalline strontium titanate and methods of forming the same
US8759234B2 (en) 2011-10-17 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Deposited material and method of formation
US9109754B2 (en) * 2011-10-19 2015-08-18 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) * 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5829196B2 (ja) * 2011-10-28 2015-12-09 東京エレクトロン株式会社 シリコン酸化物膜の成膜方法
US9574268B1 (en) 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
JP2013110161A (ja) * 2011-11-17 2013-06-06 National Institute Of Advanced Industrial & Technology 素子形成用基板及びその製造方法
US8679988B2 (en) 2011-11-22 2014-03-25 Intermolecular, Inc. Plasma processing of metal oxide films for resistive memory device applications
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US8741698B2 (en) 2011-11-29 2014-06-03 Intermolecular, Inc. Atomic layer deposition of zirconium oxide for forming resistive-switching materials
US9388492B2 (en) 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
US8569104B2 (en) * 2012-02-07 2013-10-29 Intermolecular, Inc. Transition metal oxide bilayers
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8846536B2 (en) 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
WO2013146632A1 (ja) * 2012-03-28 2013-10-03 株式会社日立国際電気 半導体デバイスの製造方法、基板処理方法、基板処理装置およびプログラム
US8658490B2 (en) * 2012-04-04 2014-02-25 Globalfoundries Inc. Passivating point defects in high-K gate dielectric layers during gate stack formation
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9460912B2 (en) * 2012-04-12 2016-10-04 Air Products And Chemicals, Inc. High temperature atomic layer deposition of silicon oxide thin films
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8921176B2 (en) 2012-06-11 2014-12-30 Freescale Semiconductor, Inc. Modified high-K gate dielectric stack
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US10232324B2 (en) * 2012-07-12 2019-03-19 Applied Materials, Inc. Gas mixing apparatus
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
EP2695966B1 (en) 2012-08-06 2018-10-03 IMEC vzw ALD method
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR101469713B1 (ko) * 2012-12-06 2014-12-05 연세대학교 산학협력단 경사형 C/SiC 코팅막 형성 방법 및 장치
JP6087609B2 (ja) 2012-12-11 2017-03-01 東京エレクトロン株式会社 金属化合物膜の成膜方法、成膜装置、および電子製品の製造方法
US9040413B2 (en) 2012-12-13 2015-05-26 Intermolecular, Inc. Using saturated and unsaturated ALD processes to deposit oxides as ReRAM switching layer
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20140183051A1 (en) * 2013-01-02 2014-07-03 International Business Machines Corporation Deposition of pure metals in 3d structures
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
WO2014164742A1 (en) * 2013-03-11 2014-10-09 Intermolecular, Inc Atomic layer deposition of hfaic as a metal gate workfunction material in mos devices
US9607904B2 (en) 2013-03-11 2017-03-28 Intermolecular, Inc. Atomic layer deposition of HfAlC as a metal gate workfunction material in MOS devices
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
KR101451244B1 (ko) * 2013-03-22 2014-10-15 참엔지니어링(주) 라이너 어셈블리 및 이를 구비하는 기판 처리 장치
US9343749B2 (en) * 2013-05-29 2016-05-17 Ford Global Technologies, Llc Ultrathin platinum films
JP2015012179A (ja) * 2013-06-28 2015-01-19 住友電気工業株式会社 気相成長方法
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9275853B2 (en) * 2013-07-29 2016-03-01 Applied Materials, Inc. Method of adjusting a transistor gate flat band voltage with addition of AL203 on nitrided silicon channel
US20150041062A1 (en) * 2013-08-12 2015-02-12 Lam Research Corporation Plasma processing chamber with removable body
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US20150064361A1 (en) * 2013-09-04 2015-03-05 Intermolecular Inc. UV treatment for ALD film densification
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
JP5847783B2 (ja) 2013-10-21 2016-01-27 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US9224594B2 (en) * 2013-11-18 2015-12-29 Intermolecular, Inc. Surface preparation with remote plasma
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9657397B2 (en) * 2013-12-31 2017-05-23 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US9597701B2 (en) * 2013-12-31 2017-03-21 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
KR102195139B1 (ko) 2014-02-20 2020-12-24 삼성전자주식회사 반도체 장치의 제조 방법
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US20150345019A1 (en) * 2014-05-30 2015-12-03 Applied Materials, Inc. Method and apparatus for improving gas flow in a substrate processing chamber
CN105448674B (zh) * 2014-06-11 2018-12-21 清华大学 N型半导体层以及n型薄膜晶体管的制备方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
JP6359913B2 (ja) * 2014-08-12 2018-07-18 東京エレクトロン株式会社 処理装置
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US20160052651A1 (en) * 2014-08-22 2016-02-25 Lam Research Corporation Fill on demand ampoule
US10094018B2 (en) 2014-10-16 2018-10-09 Lam Research Corporation Dynamic precursor dosing for atomic layer deposition
US11072860B2 (en) 2014-08-22 2021-07-27 Lam Research Corporation Fill on demand ampoule refill
US11970772B2 (en) 2014-08-22 2024-04-30 Lam Research Corporation Dynamic precursor dosing for atomic layer deposition
US10407771B2 (en) * 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10002936B2 (en) 2014-10-23 2018-06-19 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10100407B2 (en) * 2014-12-19 2018-10-16 Lam Research Corporation Hardware and process for film uniformity improvement
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9663547B2 (en) 2014-12-23 2017-05-30 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Silicon- and Zirconium-containing compositions for vapor deposition of Zirconium-containing films
US9499571B2 (en) 2014-12-23 2016-11-22 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Germanium- and zirconium-containing compositions for vapor deposition of zirconium-containing films
TW201634738A (zh) * 2015-01-22 2016-10-01 應用材料股份有限公司 用於在空間上分離之原子層沉積腔室的經改良注射器
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11384432B2 (en) 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US9330902B1 (en) 2015-06-04 2016-05-03 United Microelectronics Corp. Method for forming HfOx film based on atomic layer deposition (ALD) process
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9613870B2 (en) 2015-06-30 2017-04-04 International Business Machines Corporation Gate stack formed with interrupted deposition processes and laser annealing
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
WO2017056188A1 (ja) 2015-09-29 2017-04-06 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び記録媒体
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
JP2016034043A (ja) * 2015-11-25 2016-03-10 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
CN108495950A (zh) * 2016-02-10 2018-09-04 倍耐克有限公司 用于原子层沉积的装置
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN109075021B (zh) 2016-03-03 2023-09-05 应用材料公司 利用间歇性空气-水暴露的改良自组装单层阻挡
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
WO2017165016A1 (en) * 2016-03-25 2017-09-28 Applied Materials, Inc. Chamber liner for high temperature processing
KR102137477B1 (ko) * 2016-03-29 2020-07-24 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US11066747B2 (en) 2016-04-25 2021-07-20 Applied Materials, Inc. Chemical delivery chamber for self-assembled monolayer processes
US10651080B2 (en) 2016-04-26 2020-05-12 Lam Research Corporation Oxidizing treatment of aluminum nitride films in semiconductor device manufacturing
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11837479B2 (en) * 2016-05-05 2023-12-05 Applied Materials, Inc. Advanced temperature control for wafer carrier in plasma processing chamber
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US10358715B2 (en) 2016-06-03 2019-07-23 Applied Materials, Inc. Integrated cluster tool for selective area deposition
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10838437B2 (en) 2018-02-22 2020-11-17 Ichor Systems, Inc. Apparatus for splitting flow of process gas and method of operating same
US10303189B2 (en) 2016-06-30 2019-05-28 Reno Technologies, Inc. Flow control system, method, and apparatus
US11144075B2 (en) 2016-06-30 2021-10-12 Ichor Systems, Inc. Flow control system, method, and apparatus
US10679880B2 (en) 2016-09-27 2020-06-09 Ichor Systems, Inc. Method of achieving improved transient response in apparatus for controlling flow and system for accomplishing same
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10403474B2 (en) 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US20180076026A1 (en) 2016-09-14 2018-03-15 Applied Materials, Inc. Steam oxidation initiation for high aspect ratio conformal radical oxidation
US10049869B2 (en) * 2016-09-30 2018-08-14 Lam Research Corporation Composite dielectric interface layers for interconnect structures
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10106568B2 (en) 2016-10-28 2018-10-23 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Hafnium-containing film forming compositions for vapor deposition of hafnium-containing films
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US9859153B1 (en) 2016-11-14 2018-01-02 Lam Research Corporation Deposition of aluminum oxide etch stop layers
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10663337B2 (en) 2016-12-30 2020-05-26 Ichor Systems, Inc. Apparatus for controlling flow and method of calibrating same
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
JP6640781B2 (ja) * 2017-03-23 2020-02-05 キオクシア株式会社 半導体製造装置
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
GB2561190A (en) 2017-04-04 2018-10-10 Edwards Ltd Purge gas feeding means, abatement systems and methods of modifying abatement systems
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
CN107022753B (zh) * 2017-04-19 2019-09-27 同济大学 一种原子层沉积反应装置及通孔材料表面薄膜沉积工艺
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
JP7249952B2 (ja) 2017-05-05 2023-03-31 エーエスエム アイピー ホールディング ビー.ブイ. 酸素含有薄膜の制御された形成のためのプラズマ増強堆積プロセス
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR102625430B1 (ko) * 2017-06-29 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 셀렉터 소자용 칼코지나이드 막
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
JP7250397B2 (ja) 2017-08-11 2023-04-03 東京エレクトロン株式会社 ハロゲン不活性化を使用した選択的膜堆積
US20190057860A1 (en) * 2017-08-18 2019-02-21 Lam Research Corporation Methods for improving performance in hafnium oxide-based ferroelectric material using plasma and/or thermal treatment
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TWI635539B (zh) * 2017-09-15 2018-09-11 金巨達國際股份有限公司 高介電常數介電層、其製造方法及執行該方法之多功能設備
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
WO2019058608A1 (ja) * 2017-09-25 2019-03-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置及びプログラム
EP3688205A4 (en) 2017-09-26 2021-11-03 Applied Materials, Inc. METHOD, MATERIALS AND PROCESS FOR REMOVING NATIVE OXIDE AND REGROWING DIELECTRIC OXIDES FOR BETTER BIOSENSOR PERFORMANCE
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
DE102018124675A1 (de) 2017-11-30 2019-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Glühen von Film bei unterschiedlichen Temperaturen und dadurch ausgebildete Strukturen
US10748760B2 (en) * 2017-11-30 2020-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Varying temperature anneal for film and structures formed thereby
TWI761636B (zh) 2017-12-04 2022-04-21 荷蘭商Asm Ip控股公司 電漿增強型原子層沉積製程及沉積碳氧化矽薄膜的方法
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
CN111936664A (zh) 2018-03-19 2020-11-13 应用材料公司 在航空航天部件上沉积涂层的方法
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102556277B1 (ko) 2018-04-23 2023-07-17 삼성디스플레이 주식회사 성막 장치 및 성막 방법
US11015252B2 (en) 2018-04-27 2021-05-25 Applied Materials, Inc. Protection of components from corrosion
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI815891B (zh) * 2018-06-21 2023-09-21 美商應用材料股份有限公司 薄膜及沉積薄膜的方法
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US20190390341A1 (en) 2018-06-26 2019-12-26 Lam Research Corporation Deposition tool and method for depositing metal oxide films on organic materials
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
CN110541159A (zh) * 2018-11-06 2019-12-06 北京北方华创微电子装备有限公司 原子层沉积设备及方法
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
KR20230170130A (ko) * 2018-12-20 2023-12-18 어플라이드 머티어리얼스, 인코포레이티드 개선된 가스 유동을 처리 챔버의 처리 용적에 공급하기 위한 방법 및 장치
US11721527B2 (en) * 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200100928A (ko) * 2019-02-19 2020-08-27 현대자동차주식회사 분말의 표면 처리 장치 및 이를 이용한 분말의 표면 처리 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
WO2020219332A1 (en) 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US10872763B2 (en) * 2019-05-03 2020-12-22 Applied Materials, Inc. Treatments to enhance material structures
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
JP6826173B2 (ja) * 2019-09-17 2021-02-03 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11542597B2 (en) 2020-04-08 2023-01-03 Applied Materials, Inc. Selective deposition of metal oxide by pulsed chemical vapor deposition
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11739429B2 (en) 2020-07-03 2023-08-29 Applied Materials, Inc. Methods for refurbishing aerospace components
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP2024512898A (ja) 2021-03-03 2024-03-21 アイコール・システムズ・インク マニホールドアセンブリを備える流体流れ制御システム
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US20230005765A1 (en) * 2021-07-02 2023-01-05 Applied Materials, Inc. Semiconductor processing chamber adapter
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (478)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) * 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
DE2811651C3 (de) * 1978-03-17 1980-09-04 Fa. J. Eberspaecher, 7300 Esslingen Nachbrennvorrichtung mit Katalysator
FI57975C (fi) 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4415275A (en) 1981-12-21 1983-11-15 Dietrich David E Swirl mixing device
JPS58115130A (ja) * 1981-12-25 1983-07-08 Toyoda Autom Loom Works Ltd 仮撚ノズル
FI64878C (fi) 1982-05-10 1984-01-10 Lohja Ab Oy Kombinationsfilm foer isynnerhet tunnfilmelektroluminensstrukturer
GB2162207B (en) 1984-07-26 1989-05-10 Japan Res Dev Corp Semiconductor crystal growth apparatus
US5294286A (en) * 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
JPS62104038A (ja) * 1985-07-15 1987-05-14 Dainippon Screen Mfg Co Ltd 水蒸気含有酸素ガス供給装置
EP0250603B1 (en) 1985-12-09 1994-07-06 Nippon Telegraph and Telephone Corporation Process for forming thin film of compound semiconductor
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
JPH0639357B2 (ja) 1986-09-08 1994-05-25 新技術開発事業団 元素半導体単結晶薄膜の成長方法
JPS63227011A (ja) 1987-03-17 1988-09-21 Fujitsu Ltd 化学気相成長装置
DE3721637A1 (de) 1987-06-30 1989-01-12 Aixtron Gmbh Gaseinlass fuer eine mehrzahl verschiedener reaktionsgase in reaktionsgefaesse
DE3743938C2 (de) * 1987-12-23 1995-08-31 Cs Halbleiter Solartech Verfahren zum Atomschicht-Epitaxie-Aufwachsen einer III/V-Verbindungshalbleiter-Dünnschicht
DE3801147A1 (de) 1988-01-16 1989-07-27 Philips Patentverwaltung Vorrichtung zum erzeugen eines mit dem dampf eines wenig fluechtigen stoffes angereicherten gasstroms
US5229081A (en) 1988-02-12 1993-07-20 Regal Joint Co., Ltd. Apparatus for semiconductor process including photo-excitation process
FR2628985B1 (fr) * 1988-03-22 1990-12-28 Labo Electronique Physique Reacteur d'epitaxie a paroi protegee contre les depots
US5261959A (en) 1988-05-26 1993-11-16 General Electric Company Diamond crystal growth apparatus
GB8815070D0 (en) * 1988-06-24 1988-08-03 Univ City Device for catalytic combination/recombination of hydrogen & oxygen
JPH0824191B2 (ja) 1989-03-17 1996-03-06 富士通株式会社 薄膜トランジスタ
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
JP2637265B2 (ja) 1990-06-28 1997-08-06 株式会社東芝 窒化珪素膜の形成方法
US5483919A (en) * 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
US5178681A (en) * 1991-01-29 1993-01-12 Applied Materials, Inc. Suspension system for semiconductor reactors
JP3140068B2 (ja) * 1991-01-31 2001-03-05 東京エレクトロン株式会社 クリーニング方法
JP2764472B2 (ja) * 1991-03-25 1998-06-11 東京エレクトロン株式会社 半導体の成膜方法
US5173327A (en) 1991-06-18 1992-12-22 Micron Technology, Inc. LPCVD process for depositing titanium films for semiconductor devices
DE4124018C1 (ko) 1991-07-19 1992-11-19 Leybold Ag, 6450 Hanau, De
US5216959A (en) 1991-09-10 1993-06-08 Amada Company, Ltd. Method and device for press overload protection
US5480818A (en) * 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5660744A (en) 1992-03-26 1997-08-26 Kabushiki Kaisha Toshiba Plasma generating apparatus and surface processing apparatus
US5306666A (en) * 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US5338362A (en) 1992-08-29 1994-08-16 Tokyo Electron Limited Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments
US5607009A (en) 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
JP3265042B2 (ja) * 1993-03-18 2002-03-11 東京エレクトロン株式会社 成膜方法
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
US5526244A (en) 1993-05-24 1996-06-11 Bishop; Vernon R. Overhead luminaire
US5408818A (en) * 1993-06-21 1995-04-25 Damron; Matthew S. Magnetic bar adapter for rakes
JPH0729897A (ja) 1993-06-25 1995-01-31 Nec Corp 半導体装置の製造方法
JP3181171B2 (ja) * 1994-05-20 2001-07-03 シャープ株式会社 気相成長装置および気相成長方法
US5580421A (en) 1994-06-14 1996-12-03 Fsi International Apparatus for surface conditioning
US5796116A (en) 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
FR2726684A1 (fr) * 1994-11-07 1996-05-10 Sediver Isolateur electrique et son procede de fabrication
FI100409B (fi) * 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
FI97730C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
TW283250B (en) 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
KR0167248B1 (ko) 1995-07-24 1999-02-01 문정환 반도체 기판의 전처리방법
US6084302A (en) 1995-12-26 2000-07-04 Micron Technologies, Inc. Barrier layer cladding around copper interconnect lines
US5906683A (en) 1996-04-16 1999-05-25 Applied Materials, Inc. Lid assembly for semiconductor processing chamber
US6313035B1 (en) * 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
JP3901252B2 (ja) 1996-08-13 2007-04-04 キヤノンアネルバ株式会社 化学蒸着装置
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US6001420A (en) 1996-09-23 1999-12-14 Applied Materials, Inc. Semi-selective chemical vapor deposition
US5835677A (en) 1996-10-03 1998-11-10 Emcore Corporation Liquid vaporizer system and method
US5923056A (en) 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
US6071572A (en) 1996-10-15 2000-06-06 Applied Materials, Inc. Forming tin thin films using remote activated specie generation
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US5807792A (en) 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
US6043177A (en) 1997-01-21 2000-03-28 University Technology Corporation Modification of zeolite or molecular sieve membranes using atomic layer controlled chemical vapor deposition
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
JPH10308283A (ja) 1997-03-04 1998-11-17 Denso Corp El素子およびその製造方法
TW200746302A (en) * 1997-03-05 2007-12-16 Hitachi Ltd Method of making semiconductor IC device
JP3644790B2 (ja) * 1997-04-28 2005-05-11 忠弘 大見 水分発生用反応炉
JPH10306377A (ja) 1997-05-02 1998-11-17 Tokyo Electron Ltd 微量ガス供給方法及びその装置
TW417249B (en) 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US5820678A (en) * 1997-05-30 1998-10-13 The Regents Of The University Of California Solid source MOCVD system
US6162715A (en) 1997-06-30 2000-12-19 Applied Materials, Inc. Method of forming gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride
US6309713B1 (en) 1997-06-30 2001-10-30 Applied Materials, Inc. Deposition of tungsten nitride by plasma enhanced chemical vapor deposition
FI972874A0 (fi) 1997-07-04 1997-07-04 Mikrokemia Oy Foerfarande och anordning foer framstaellning av tunnfilmer
US6073366A (en) 1997-07-11 2000-06-13 Asm America, Inc. Substrate cooling system and method
US6020243A (en) * 1997-07-24 2000-02-01 Texas Instruments Incorporated Zirconium and/or hafnium silicon-oxynitride gate dielectric
KR100385946B1 (ko) * 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US7056575B2 (en) * 1997-09-03 2006-06-06 Krona Industries Ltd. Low emissivity, high reflectivity insulation
US6348376B2 (en) * 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
US6197683B1 (en) 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
KR100252049B1 (ko) 1997-11-18 2000-04-15 윤종용 원자층 증착법에 의한 알루미늄층의 제조방법
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
US6079356A (en) 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
KR100269328B1 (ko) * 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
KR100275727B1 (ko) 1998-01-06 2001-01-15 윤종용 반도체 장치의 커패시터 형성방법
JPH11204517A (ja) * 1998-01-12 1999-07-30 Sony Corp シリコン酸化膜の形成方法、及びシリコン酸化膜形成装置
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6117244A (en) * 1998-03-24 2000-09-12 Applied Materials, Inc. Deposition resistant lining for CVD chamber
US6433314B1 (en) 1998-04-08 2002-08-13 Applied Materials, Inc. Direct temperature control for a component of a substrate processing chamber
US6296711B1 (en) 1998-04-14 2001-10-02 Cvd Systems, Inc. Film processing system
JP4214585B2 (ja) 1998-04-24 2009-01-28 富士ゼロックス株式会社 半導体デバイス、半導体デバイスの製造方法及び製造装置
KR100282853B1 (ko) 1998-05-18 2001-04-02 서성기 연속기체분사에의한반도체박막증착장치
KR100267885B1 (ko) * 1998-05-18 2000-11-01 서성기 반도체 박막증착장치
US6025627A (en) * 1998-05-29 2000-02-15 Micron Technology, Inc. Alternate method and structure for improved floating gate tunneling devices
NL1009327C2 (nl) 1998-06-05 1999-12-10 Asm Int Werkwijze en inrichting voor het overbrengen van wafers.
KR100319888B1 (ko) 1998-06-16 2002-01-10 윤종용 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법
JP2000031387A (ja) 1998-07-14 2000-01-28 Fuji Electric Co Ltd 誘電体薄膜コンデンサの製造方法
TW419732B (en) 1998-07-15 2001-01-21 Texas Instruments Inc A method for gate-stack formation including a high-k dielectric
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
KR20000013654A (ko) 1998-08-12 2000-03-06 윤종용 원자층 증착 방법으로 형성한 알루미나/알루미늄나이트라이드복합 유전체막을 갖는 캐패시터와 그제조 방법
US6520218B1 (en) * 1998-09-03 2003-02-18 Advanced Technology Materials, Inc. Container chemical guard
KR100287180B1 (ko) * 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
DE19843151C2 (de) * 1998-09-21 2001-03-08 Alfing Montagetechnik Gmbh Bearbeitungsvorrichtung mit mindestens einem Bearbeitungswerkzeug
US6143082A (en) 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
KR100327328B1 (ko) 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
KR100297719B1 (ko) 1998-10-16 2001-08-07 윤종용 박막제조방법
US6454860B2 (en) 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US6291283B1 (en) 1998-11-09 2001-09-18 Texas Instruments Incorporated Method to form silicates as high dielectric constant materials
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
KR100347379B1 (ko) * 1999-05-01 2002-08-07 주식회사 피케이엘 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치
US20030232554A1 (en) 1999-05-04 2003-12-18 Blum Ronald D. Multi-layer tacky and water-absorbing shoe-cleaning product
FI118342B (fi) 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
DE50016103D1 (de) 1999-05-12 2011-06-16 Qimonda Ag Kondensator für halbleiteranordnung und verfahren nselben
JP2000349081A (ja) 1999-06-07 2000-12-15 Sony Corp 酸化膜形成方法
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6613383B1 (en) 1999-06-21 2003-09-02 Regents Of The University Of Colorado Atomic layer controlled deposition on particle surfaces
US6524952B1 (en) * 1999-06-25 2003-02-25 Applied Materials, Inc. Method of forming a titanium silicide layer on a substrate
US6503561B1 (en) 1999-07-08 2003-01-07 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
US6238734B1 (en) 1999-07-08 2001-05-29 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
KR100319494B1 (ko) 1999-07-15 2002-01-09 김용일 원자층 에피택시 공정을 위한 반도체 박막 증착장치
US6060755A (en) 1999-07-19 2000-05-09 Sharp Laboratories Of America, Inc. Aluminum-doped zirconium dielectric film transistor structure and deposition method for same
US6297539B1 (en) 1999-07-19 2001-10-02 Sharp Laboratories Of America, Inc. Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same
US6299294B1 (en) 1999-07-29 2001-10-09 Hewlett-Packard Company High efficiency printhead containing a novel oxynitride-based resistor system
KR20010017820A (ko) 1999-08-14 2001-03-05 윤종용 반도체 소자 및 그 제조방법
US6984415B2 (en) * 1999-08-20 2006-01-10 International Business Machines Corporation Delivery systems for gases for gases via the sublimation of solid precursors
JP3909792B2 (ja) 1999-08-20 2007-04-25 パイオニア株式会社 化学気相成長法における原料供給装置及び原料供給方法
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
KR100682190B1 (ko) 1999-09-07 2007-02-12 동경 엘렉트론 주식회사 실리콘 산질화물을 포함하는 절연막의 형성 방법 및 장치
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6753556B2 (en) * 1999-10-06 2004-06-22 International Business Machines Corporation Silicate gate dielectric
TW515032B (en) 1999-10-06 2002-12-21 Samsung Electronics Co Ltd Method of forming thin film using atomic layer deposition method
US6399208B1 (en) 1999-10-07 2002-06-04 Advanced Technology Materials Inc. Source reagent composition and method for chemical vapor deposition formation or ZR/HF silicate gate dielectric thin films
US7094284B2 (en) * 1999-10-07 2006-08-22 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of high dielectric constant and ferroelectric metal oxide thin films and method of using same
FI117942B (fi) 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
KR100304714B1 (ko) 1999-10-20 2001-11-02 윤종용 금속 할로겐 가스를 사용한 반도체 소자의 금속 박막 형성방법
TW468212B (en) 1999-10-25 2001-12-11 Motorola Inc Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US6548112B1 (en) 1999-11-18 2003-04-15 Tokyo Electron Limited Apparatus and method for delivery of precursor vapor from low vapor pressure liquid sources to a CVD chamber
AU1924101A (en) * 1999-11-22 2001-06-04 Human Genome Sciences, Inc. Kunitz-type protease inhibitor polynucleotides, polypeptides, and antibodies
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
US6452338B1 (en) 1999-12-13 2002-09-17 Semequip, Inc. Electron beam ion source with integral low-temperature vaporizer
KR100330749B1 (ko) 1999-12-17 2002-04-03 서성기 반도체 박막증착장치
KR100624903B1 (ko) 1999-12-22 2006-09-19 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
KR100705926B1 (ko) * 1999-12-22 2007-04-11 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
KR100358056B1 (ko) 1999-12-27 2002-10-25 주식회사 하이닉스반도체 반도체 소자의 게이트 산화막 형성방법
FI118343B (fi) 1999-12-28 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
FI118474B (fi) 1999-12-28 2007-11-30 Asm Int Laite ohutkalvojen valmistamiseksi
JP4817210B2 (ja) 2000-01-06 2011-11-16 東京エレクトロン株式会社 成膜装置および成膜方法
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
JP4362919B2 (ja) 2000-02-04 2009-11-11 株式会社デンソー 原子層エピタキシャル成長法による成膜方法
KR100378871B1 (ko) 2000-02-16 2003-04-07 주식회사 아펙스 라디칼 증착을 위한 샤워헤드장치
US6492283B2 (en) * 2000-02-22 2002-12-10 Asm Microchemistry Oy Method of forming ultrathin oxide layer
WO2001066832A2 (en) 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
TW496907B (en) 2000-04-14 2002-08-01 Asm Microchemistry Oy Method and apparatus of growing a thin film onto a substrate
FI117980B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä ohutkalvon kasvattamiseksi alustalle
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US7060132B2 (en) 2000-04-14 2006-06-13 Asm International N.V. Method and apparatus of growing a thin film
KR20010096229A (ko) 2000-04-18 2001-11-07 황 철 주 반도체 소자의 극박막 형성장치 및 그 형성방법
US6984591B1 (en) 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6630413B2 (en) * 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
JP2001328900A (ja) 2000-05-15 2001-11-27 Denso Corp 薄膜の形成方法
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
FI118805B (fi) 2000-05-15 2008-03-31 Asm Int Menetelmä ja kokoonpano kaasufaasireaktantin syöttämiseksi reaktiokammioon
KR100427423B1 (ko) * 2000-05-25 2004-04-13 가부시키가이샤 고베 세이코쇼 Cvd용 인너튜브
KR100402665B1 (ko) * 2000-06-05 2003-10-22 가부시키가이샤 후지킨 수분발생용 반응로
KR100403611B1 (ko) 2000-06-07 2003-11-01 삼성전자주식회사 금속-절연체-금속 구조의 커패시터 및 그 제조방법
KR100647442B1 (ko) 2000-06-07 2006-11-17 주성엔지니어링(주) 원자층 증착법을 이용한 박막 형성방법
WO2001099166A1 (en) * 2000-06-08 2001-12-27 Genitech Inc. Thin film forming method
JP4868639B2 (ja) 2000-06-12 2012-02-01 株式会社Adeka 化学気相成長用原料及びこれを用いた薄膜の製造方法
US6713177B2 (en) 2000-06-21 2004-03-30 Regents Of The University Of Colorado Insulating and functionalizing fine metal-containing particles with conformal ultra-thin films
KR100332313B1 (ko) 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
KR100332314B1 (ko) * 2000-06-24 2002-04-12 서성기 박막증착용 반응용기
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
KR100545706B1 (ko) * 2000-06-28 2006-01-24 주식회사 하이닉스반도체 반도체 소자 제조방법
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6818250B2 (en) 2000-06-29 2004-11-16 The Regents Of The University Of Colorado Method for forming SIO2 by chemical vapor deposition at room temperature
DE10034003A1 (de) 2000-07-07 2002-01-24 Infineon Technologies Ag Grabenkondensator mit Isolationskragen und entsprechendes Herstellungsverfahren
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
WO2002009167A2 (en) 2000-07-20 2002-01-31 North Carolina State University High dielectric constant metal silicates formed by controlled metal-surface reactions
FI20001694A0 (fi) 2000-07-20 2000-07-20 Asm Microchemistry Oy Menetelmä ohutkalvon kasvattamiseksi substraatille
KR100444149B1 (ko) * 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
KR100396879B1 (ko) * 2000-08-11 2003-09-02 삼성전자주식회사 동일 물질로 이루어진 이중막을 포함하는 다중막으로캡슐화된 캐패시터를 구비한 반도체 메모리 소자 및 그의제조 방법
US6302965B1 (en) 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
US6461909B1 (en) 2000-08-30 2002-10-08 Micron Technology, Inc. Process for fabricating RuSixOy-containing adhesion layers
JP3409290B2 (ja) 2000-09-18 2003-05-26 株式会社トリケミカル研究所 ゲート酸化膜形成材料
JP2002172767A (ja) 2000-09-26 2002-06-18 Canon Inc インクジェット記録装置及びその制御方法と情報処理装置及び方法
EP1327010B1 (en) 2000-09-28 2013-12-04 President and Fellows of Harvard College Vapor deposition of silicates
US6660660B2 (en) 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
US6428847B1 (en) 2000-10-16 2002-08-06 Primaxx, Inc. Vortex based CVD reactor
KR100378186B1 (ko) 2000-10-19 2003-03-29 삼성전자주식회사 원자층 증착법으로 형성된 박막이 채용된 반도체 소자 및그 제조방법
US6395650B1 (en) 2000-10-23 2002-05-28 International Business Machines Corporation Methods for forming metal oxide layers with enhanced purity
TW548239B (en) 2000-10-23 2003-08-21 Asm Microchemistry Oy Process for producing aluminium oxide films at low temperatures
US6498091B1 (en) 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer
KR100436941B1 (ko) 2000-11-07 2004-06-23 주성엔지니어링(주) 박막 증착 장치 및 그 방법
JP3864696B2 (ja) * 2000-11-10 2007-01-10 株式会社デンソー 炭化珪素単結晶の製造方法及び製造装置
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
WO2002045167A2 (en) 2000-11-30 2002-06-06 Asm International N.V. Thin films for magnetic devices
JP4644359B2 (ja) 2000-11-30 2011-03-02 ルネサスエレクトロニクス株式会社 成膜方法
US6486080B2 (en) 2000-11-30 2002-11-26 Chartered Semiconductor Manufacturing Ltd. Method to form zirconium oxide and hafnium oxide for high dielectric constant materials
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6878402B2 (en) 2000-12-06 2005-04-12 Novellus Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition
KR100386034B1 (ko) 2000-12-06 2003-06-02 에이에스엠 마이크로케미스트리 리미티드 확산 방지막의 결정립계를 금속산화물로 충진한 구리 배선구조의 반도체 소자 제조 방법
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US20020197402A1 (en) 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US20020076481A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US20020073924A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
KR20020049875A (ko) 2000-12-20 2002-06-26 윤종용 반도체 메모리 소자의 강유전체 커패시터 및 그 제조방법
US6544906B2 (en) 2000-12-21 2003-04-08 Texas Instruments Incorporated Annealing of high-k dielectric materials
JP3963078B2 (ja) 2000-12-25 2007-08-22 株式会社高純度化学研究所 ターシャリーアミルイミドトリス(ジメチルアミド)タンタルとその製造方法及びそれを用いたmocvd用原料溶液並びにそれを用いた窒化タンタル膜の形成方法
KR20020056260A (ko) 2000-12-29 2002-07-10 박종섭 반도체 소자의 금속 게이트 형성방법
US20020086111A1 (en) 2001-01-03 2002-07-04 Byun Jeong Soo Method of forming refractory metal nitride layers using chemisorption techniques
KR100493206B1 (ko) 2001-01-16 2005-06-03 가부시키가이샤 히타치세이사쿠쇼 반도체장치 및 그 제조방법
KR100400031B1 (ko) 2001-01-17 2003-09-29 삼성전자주식회사 반도체 소자의 콘택 플러그 및 그 형성 방법
KR100434487B1 (ko) 2001-01-17 2004-06-05 삼성전자주식회사 샤워 헤드 및 이를 포함하는 박막 형성 장비
US6713846B1 (en) 2001-01-26 2004-03-30 Aviza Technology, Inc. Multilayer high κ dielectric films
JP2002222934A (ja) 2001-01-29 2002-08-09 Nec Corp 半導体装置およびその製造方法
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6844604B2 (en) 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
US7026219B2 (en) 2001-02-12 2006-04-11 Asm America, Inc. Integration of high k gate dielectric
WO2002080244A2 (en) 2001-02-12 2002-10-10 Asm America, Inc. Improved process for deposition of semiconductor films
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US20020117399A1 (en) 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20020121241A1 (en) 2001-03-02 2002-09-05 Nguyen Anh N. Processing chamber and method of distributing process fluids therein to facilitate sequential deposition of films
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
US7348042B2 (en) 2001-03-19 2008-03-25 Novellus Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US7005392B2 (en) 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US6812101B2 (en) 2001-04-02 2004-11-02 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for manufacture thereof
US20020144657A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. ALD reactor employing electrostatic chuck
US20020144655A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. Gas valve system for a reactor
US6561498B2 (en) 2001-04-09 2003-05-13 Lorex Industries, Inc. Bubbler for use in vapor generation systems
DE10117783A1 (de) * 2001-04-10 2002-10-24 Bat Cigarettenfab Gmbh Prozessgasaufbereitung für Tabaktrockner
JP2002313951A (ja) 2001-04-11 2002-10-25 Hitachi Ltd 半導体集積回路装置及びその製造方法
US6348386B1 (en) * 2001-04-16 2002-02-19 Motorola, Inc. Method for making a hafnium-based insulating film
JP2002314072A (ja) 2001-04-19 2002-10-25 Nec Corp 高誘電体薄膜を備えた半導体装置及びその製造方法並びに誘電体膜の成膜装置
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US6759081B2 (en) 2001-05-11 2004-07-06 Asm International, N.V. Method of depositing thin films for magnetic heads
JP2002343790A (ja) 2001-05-21 2002-11-29 Nec Corp 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法
KR100363332B1 (en) 2001-05-23 2002-12-05 Samsung Electronics Co Ltd Method for forming semiconductor device having gate all-around type transistor
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6849545B2 (en) * 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6632747B2 (en) 2001-06-20 2003-10-14 Texas Instruments Incorporated Method of ammonia annealing of ultra-thin silicon dioxide layers for uniform nitrogen profile
US6709989B2 (en) 2001-06-21 2004-03-23 Motorola, Inc. Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US6861334B2 (en) * 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
US6642131B2 (en) 2001-06-21 2003-11-04 Matsushita Electric Industrial Co., Ltd. Method of forming a silicon-containing metal-oxide gate dielectric by depositing a high dielectric constant film on a silicon substrate and diffusing silicon from the substrate into the high dielectric constant film
JP4680429B2 (ja) * 2001-06-26 2011-05-11 Okiセミコンダクタ株式会社 テキスト音声変換装置における高速読上げ制御方法
US6420279B1 (en) 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
TW539822B (en) 2001-07-03 2003-07-01 Asm Inc Source chemical container assembly
US20030198754A1 (en) 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
WO2003008110A1 (en) 2001-07-18 2003-01-30 The Regents Of The University Of Colorado A method of depositing an inorganic film on an organic polymer
US7105444B2 (en) * 2001-07-19 2006-09-12 Samsung Electronics Co., Ltd. Method for forming a wiring of a semiconductor device, method for forming a metal layer of a semiconductor device and apparatus for performing the same
US7098131B2 (en) 2001-07-19 2006-08-29 Samsung Electronics Co., Ltd. Methods for forming atomic layers and thin films including tantalum nitride and devices including the same
US20030017697A1 (en) 2001-07-19 2003-01-23 Kyung-In Choi Methods of forming metal layers using metallic precursors
WO2003030224A2 (en) 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US6820570B2 (en) 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US6548906B2 (en) * 2001-08-22 2003-04-15 Agere Systems Inc. Method for reducing a metal seam in an interconnect structure and a device manufactured thereby
US6806145B2 (en) * 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US20030042630A1 (en) * 2001-09-05 2003-03-06 Babcoke Jason E. Bubbler for gas delivery
US6718126B2 (en) * 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
WO2003025243A2 (en) 2001-09-14 2003-03-27 Asm International N.V. Metal nitride deposition by ald using gettering reactant
US20030049931A1 (en) 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
KR20030025494A (ko) 2001-09-21 2003-03-29 삼성전자주식회사 루테늄막과 금속층간의 콘택을 포함하는 반도체 장치 및그의 제조 방법
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US20030059535A1 (en) 2001-09-25 2003-03-27 Lee Luo Cycling deposition of low temperature films in a cold wall single wafer process chamber
US7049226B2 (en) 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US20030057526A1 (en) 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030059538A1 (en) 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6936906B2 (en) 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US6797108B2 (en) 2001-10-05 2004-09-28 Applied Materials, Inc. Apparatus and method for evenly flowing processing gas onto a semiconductor wafer
TW512504B (en) 2001-10-12 2002-12-01 Advanced Semiconductor Eng Package substrate having protruded and recessed side edge
US20030072884A1 (en) 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
US7780789B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Vortex chamber lids for atomic layer deposition
DE20221269U1 (de) * 2001-10-26 2005-12-08 Applied Materials, Inc., Santa Clara Gaszuführvorrichtung zur Abscheidung atomarer Schichten
US20080102208A1 (en) 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
US20080102203A1 (en) 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
AU2002343583A1 (en) 2001-10-29 2003-05-12 Genus, Inc. Chemical vapor deposition system
US6743681B2 (en) 2001-11-09 2004-06-01 Micron Technology, Inc. Methods of Fabricating Gate and Storage Dielectric Stacks having Silicon-Rich-Nitride
US20030104707A1 (en) 2001-11-16 2003-06-05 Yoshihide Senzaki System and method for improved thin dielectric films
US20030096473A1 (en) 2001-11-16 2003-05-22 Taiwan Semiconductor Manufacturing Company Method for making metal capacitors with low leakage currents for mixed-signal devices
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
JP4021653B2 (ja) * 2001-11-30 2007-12-12 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Cvd法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US6770521B2 (en) 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
JP2003179049A (ja) 2001-12-11 2003-06-27 Matsushita Electric Ind Co Ltd 絶縁膜形成方法、半導体装置及びその製造方法
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US20030111678A1 (en) 2001-12-14 2003-06-19 Luigi Colombo CVD deposition of M-SION gate dielectrics
US20030116087A1 (en) 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US6939801B2 (en) 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US6696332B2 (en) 2001-12-26 2004-02-24 Texas Instruments Incorporated Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing
US6790755B2 (en) 2001-12-27 2004-09-14 Advanced Micro Devices, Inc. Preparation of stack high-K gate dielectrics with nitrided layer
US6674138B1 (en) * 2001-12-31 2004-01-06 Advanced Micro Devices, Inc. Use of high-k dielectric materials in modified ONO structure for semiconductor devices
US6677247B2 (en) * 2002-01-07 2004-01-13 Applied Materials Inc. Method of increasing the etch selectivity of a contact sidewall to a preclean etchant
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US6770254B2 (en) 2002-01-17 2004-08-03 Air Products And Chemicals, Inc. Purification of group IVb metal halides
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
WO2003065424A2 (en) 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6866746B2 (en) 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6824816B2 (en) 2002-01-29 2004-11-30 Asm International N.V. Process for producing metal thin films by ALD
US7063981B2 (en) 2002-01-30 2006-06-20 Asm International N.V. Active pulse monitoring in a chemical reactor
US6777352B2 (en) 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
US6452229B1 (en) 2002-02-21 2002-09-17 Advanced Micro Devices, Inc. Ultra-thin fully depleted SOI device with T-shaped gate and method of fabrication
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7323422B2 (en) 2002-03-05 2008-01-29 Asm International N.V. Dielectric layers and methods of forming the same
US6753618B2 (en) 2002-03-11 2004-06-22 Micron Technology, Inc. MIM capacitor with metal nitride electrode materials and method of formation
US20030216981A1 (en) 2002-03-12 2003-11-20 Michael Tillman Method and system for hosting centralized online point-of-sale activities for a plurality of distributed customers and vendors
CN1306599C (zh) * 2002-03-26 2007-03-21 松下电器产业株式会社 半导体装置及其制造方法
US6825134B2 (en) 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
JP3937892B2 (ja) 2002-04-01 2007-06-27 日本電気株式会社 薄膜形成方法および半導体装置の製造方法
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6869838B2 (en) 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US20030194825A1 (en) 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US6875271B2 (en) 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
US6932871B2 (en) 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030235961A1 (en) 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US6778762B1 (en) 2002-04-17 2004-08-17 Novellus Systems, Inc. Sloped chamber top for substrate processing
US20030203616A1 (en) * 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
US20030215570A1 (en) 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US20030213560A1 (en) * 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
US7164165B2 (en) 2002-05-16 2007-01-16 Micron Technology, Inc. MIS capacitor
KR100505043B1 (ko) 2002-05-25 2005-07-29 삼성전자주식회사 커패시터 형성 방법
JP3627106B2 (ja) 2002-05-27 2005-03-09 株式会社高純度化学研究所 原子層吸着堆積法によるハフニウムシリケート薄膜の製造方法
US7910165B2 (en) 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US7264846B2 (en) 2002-06-04 2007-09-04 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7404985B2 (en) 2002-06-04 2008-07-29 Applied Materials, Inc. Noble metal layer formation for copper film deposition
US7135421B2 (en) 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
DE60321271D1 (de) * 2002-06-10 2008-07-10 Imec Inter Uni Micro Electr Transistoren und Speicherkondensatoren enthaltend eine HfO2-Zusammensetzung mit erhöhter Dielektrizitätskonstante
JP2005530341A (ja) 2002-06-12 2005-10-06 アプライド マテリアルズ インコーポレイテッド 基板を処理するためのプラズマ方法及び装置
US20080090425A9 (en) 2002-06-12 2008-04-17 Christopher Olsen Two-step post nitridation annealing for lower EOT plasma nitrided gate dielectrics
US6660659B1 (en) 2002-06-12 2003-12-09 Applied Materials, Inc. Plasma method and apparatus for processing a substrate
US20030232501A1 (en) 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US7067439B2 (en) 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US6924191B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
US6638802B1 (en) * 2002-06-20 2003-10-28 Intel Corporation Forming strained source drain junction field effect transistors
KR100476926B1 (ko) * 2002-07-02 2005-03-17 삼성전자주식회사 반도체 소자의 듀얼 게이트 형성방법
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040009336A1 (en) * 2002-07-11 2004-01-15 Applied Materials, Inc. Titanium silicon nitride (TISIN) barrier layer for copper diffusion
US20040015377A1 (en) * 2002-07-12 2004-01-22 Nokia Corporation Method for assessing software development maturity
US6723658B2 (en) * 2002-07-15 2004-04-20 Texas Instruments Incorporated Gate structure and method
US7105891B2 (en) * 2002-07-15 2006-09-12 Texas Instruments Incorporated Gate structure and method
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US6955211B2 (en) * 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7081409B2 (en) 2002-07-17 2006-07-25 Samsung Electronics Co., Ltd. Methods of producing integrated circuit devices utilizing tantalum amine derivatives
US7524374B2 (en) 2002-07-17 2009-04-28 Applied Materials, Inc. Method and apparatus for generating a precursor for a semiconductor processing system
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7066194B2 (en) 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
KR100468852B1 (ko) * 2002-07-20 2005-01-29 삼성전자주식회사 캐패시터 구조체 형성 방법
US6772072B2 (en) * 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US20040018738A1 (en) * 2002-07-22 2004-01-29 Wei Liu Method for fabricating a notch gate structure of a field effect transistor
US7300038B2 (en) * 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US6921062B2 (en) * 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US7449385B2 (en) * 2002-07-26 2008-11-11 Texas Instruments Incorporated Gate dielectric and method
US6915592B2 (en) 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6921702B2 (en) * 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US6919251B2 (en) * 2002-07-31 2005-07-19 Texas Instruments Incorporated Gate dielectric and method
US20040024506A1 (en) * 2002-08-01 2004-02-05 Augustine Michael J. Vehicle steering system with visual feedback display
JP2004071757A (ja) * 2002-08-05 2004-03-04 Hitachi Ltd 高誘電率膜の製造方法及び製造装置
US20040029321A1 (en) * 2002-08-07 2004-02-12 Chartered Semiconductor Manufacturing Ltd. Method for forming gate insulating layer having multiple dielectric constants and multiple equivalent oxide thicknesses
US6897106B2 (en) 2002-08-16 2005-05-24 Samsung Electronics Co., Ltd. Capacitor of semiconductor memory device that has composite Al2O3/HfO2 dielectric layer and method of manufacturing the same
KR100542736B1 (ko) * 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
WO2004018909A2 (en) 2002-08-20 2004-03-04 Applied Materials, Inc. Electronically actuated valve
US6960538B2 (en) * 2002-08-21 2005-11-01 Micron Technology, Inc. Composite dielectric forming methods and composite dielectrics
US6958300B2 (en) 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US7199023B2 (en) 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US6790773B1 (en) 2002-08-28 2004-09-14 Novellus Systems, Inc. Process for forming barrier/seed structures for integrated circuits
US7112485B2 (en) 2002-08-28 2006-09-26 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
US6730164B2 (en) * 2002-08-28 2004-05-04 Micron Technology, Inc. Systems and methods for forming strontium- and/or barium-containing layers
US6875678B2 (en) 2002-09-10 2005-04-05 Samsung Electronics Co., Ltd. Post thermal treatment methods of forming high dielectric layers in integrated circuit devices
US6784096B2 (en) 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
JP2004111447A (ja) * 2002-09-13 2004-04-08 Handotai Rikougaku Kenkyu Center:Kk 半導体装置及びその製造方法
US6946033B2 (en) 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
US6759286B2 (en) * 2002-09-16 2004-07-06 Ajay Kumar Method of fabricating a gate structure of a field effect transistor using a hard mask
US6607973B1 (en) 2002-09-16 2003-08-19 Advanced Micro Devices, Inc. Preparation of high-k nitride silicate layers by cyclic molecular layer deposition
US20040065255A1 (en) 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040069227A1 (en) 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US6905737B2 (en) 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US7020802B2 (en) 2002-10-17 2006-03-28 Sun Microsystems, Inc. Method and apparatus for monitoring and recording computer system performance parameters
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US6716287B1 (en) 2002-10-18 2004-04-06 Applied Materials Inc. Processing chamber with flow-restricting ring
KR100460841B1 (ko) 2002-10-22 2004-12-09 한국전자통신연구원 플라즈마 인가 원자층 증착법을 통한 질소첨가 산화물박막의 형성방법
EP1420080A3 (en) * 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
US7553686B2 (en) * 2002-12-17 2009-06-30 The Regents Of The University Of Colorado, A Body Corporate Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices
US7262133B2 (en) 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US7244683B2 (en) 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
US6818094B2 (en) 2003-01-29 2004-11-16 Applied Materials, Inc. Reciprocating gas valve for pulsing a gas
US6868859B2 (en) 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
US6994319B2 (en) * 2003-01-29 2006-02-07 Applied Materials, Inc. Membrane gas valve for pulsing a gas
US7429540B2 (en) 2003-03-07 2008-09-30 Applied Materials, Inc. Silicon oxynitride gate dielectric formation using multiple annealing steps
US20040198069A1 (en) 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US7442415B2 (en) 2003-04-11 2008-10-28 Sharp Laboratories Of America, Inc. Modulated temperature method of atomic layer deposition (ALD) of high dielectric constant films
US6737313B1 (en) 2003-04-16 2004-05-18 Micron Technology, Inc. Surface treatment of an oxide layer to enhance adhesion of a ruthenium metal layer
TW200506093A (en) 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
US20050070126A1 (en) 2003-04-21 2005-03-31 Yoshihide Senzaki System and method for forming multi-component dielectric films
DE10319540A1 (de) 2003-04-30 2004-11-25 Infineon Technologies Ag Verfahren zur ALD-Beschichtung von Substraten sowie eine zur Durchführung des Verfahrens geeignete Vorrichtung
JP5342110B2 (ja) 2003-05-27 2013-11-13 アプライド マテリアルズ インコーポレイテッド 前駆物質を含むソースキャニスタ及びこれを用いて特徴部を充填する方法
US6911093B2 (en) 2003-06-02 2005-06-28 Lsi Logic Corporation Lid liner for chemical vapor deposition chamber
CN100593235C (zh) * 2003-06-13 2010-03-03 应用材料公司 用于铜金属化的ald氮化钽的集成
US6881437B2 (en) 2003-06-16 2005-04-19 Blue29 Llc Methods and system for processing a microelectronic topography
KR20060079144A (ko) * 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 배리어 물질의 원자층 증착
US6930060B2 (en) 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
JP3965167B2 (ja) * 2003-07-04 2007-08-29 東京エレクトロン株式会社 熱処理方法及び熱処理装置
US20050022735A1 (en) * 2003-07-31 2005-02-03 General Electric Company Delivery system for PECVD powered electrode
US7408225B2 (en) 2003-10-09 2008-08-05 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
US8536492B2 (en) 2003-10-27 2013-09-17 Applied Materials, Inc. Processing multilayer semiconductors with multiple heat sources
US20050095859A1 (en) 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US20050104142A1 (en) 2003-11-13 2005-05-19 Vijav Narayanan CVD tantalum compounds for FET get electrodes
US20050153571A1 (en) 2003-11-17 2005-07-14 Yoshihide Senzaki Nitridation of high-k dielectric films
US20050130438A1 (en) 2003-12-15 2005-06-16 Texas Instruments Incorporated Method of fabricating a dielectric layer for a semiconductor structure
US6983892B2 (en) * 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US20060062917A1 (en) 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US20060153995A1 (en) 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US20060019032A1 (en) 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US20060156979A1 (en) 2004-11-22 2006-07-20 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7265048B2 (en) 2005-03-01 2007-09-04 Applied Materials, Inc. Reduction of copper dewetting by transition metal deposition
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US7601652B2 (en) 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286819A1 (en) 2005-06-21 2006-12-21 Applied Materials, Inc. Method for silicon based dielectric deposition and clean with photoexcitation
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20070020890A1 (en) 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US7317229B2 (en) 2005-07-20 2008-01-08 Applied Materials, Inc. Gate electrode structures and methods of manufacture
US20070049043A1 (en) 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US20070065578A1 (en) 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US7464917B2 (en) 2005-10-07 2008-12-16 Appiled Materials, Inc. Ampoule splash guard apparatus
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7562672B2 (en) 2006-03-30 2009-07-21 Applied Materials, Inc. Chemical delivery apparatus for CVD or ALD
US7978964B2 (en) 2006-04-27 2011-07-12 Applied Materials, Inc. Substrate processing chamber with dielectric barrier discharge lamp assembly

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180080340A (ko) * 2008-04-22 2018-07-11 피코순 오와이 전구체 소스 및 이를 포함하는 증착 반응기
WO2011112617A3 (en) * 2010-03-12 2011-11-24 Applied Materials, Inc. Atomic layer deposition chamber with multi inject
US9175394B2 (en) 2010-03-12 2015-11-03 Applied Materials, Inc. Atomic layer deposition chamber with multi inject
KR101232904B1 (ko) * 2010-09-06 2013-02-13 엘아이지에이디피 주식회사 화학기상 증착장치 및 화학기상 증착장치의 세정방법
KR20180128982A (ko) * 2011-04-07 2018-12-04 피코순 오와이 플라즈마 소오스를 갖는 원자층 퇴적

Also Published As

Publication number Publication date
US8343279B2 (en) 2013-01-01
EP1745160A1 (en) 2007-01-24
JP2007537605A (ja) 2007-12-20
CN101052745B (zh) 2011-02-23
US7794544B2 (en) 2010-09-14
US20080041307A1 (en) 2008-02-21
US20050252449A1 (en) 2005-11-17
CN101052745A (zh) 2007-10-10
WO2005113852A3 (en) 2006-05-18
EP1745159A2 (en) 2007-01-24
JP5053079B2 (ja) 2012-10-17
JP5063344B2 (ja) 2012-10-31
CN1934287B (zh) 2012-06-20
US20080044569A1 (en) 2008-02-21
US20050271812A1 (en) 2005-12-08
US8282992B2 (en) 2012-10-09
WO2005113852A2 (en) 2005-12-01
JP2007537360A (ja) 2007-12-20
WO2005113855A1 (en) 2005-12-01
KR101316056B1 (ko) 2013-10-10
US20050271813A1 (en) 2005-12-08
CN1934287A (zh) 2007-03-21

Similar Documents

Publication Publication Date Title
KR101316056B1 (ko) 하프늄―함유 하이-k 유전체 재료들의 원자층 증착을 위한 장치 및 방법
US8187381B2 (en) Process gas delivery for semiconductor process chamber
US20080063798A1 (en) Precursors and hardware for cvd and ald
US8507389B2 (en) Methods for forming dielectric layers
CN1926668B (zh) 在高介电常数的介电材料上的硅的氮氧化物层的形成
JP5562434B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
CN102915910B (zh) 半导体装置的制造方法及衬底处理装置
KR101509453B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법 및 기판 처리 장치
KR101304395B1 (ko) 하프늄-함유 높은-k 유전체 물질의 원자 층 증착을 위한 장치 및 방법
JP2012221978A (ja) 半導体装置の製造方法、基板処理方法および基板処理装置
TW200822191A (en) Precursors and hardware for CVD and ALD

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
AMND Amendment
AMND Amendment
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee