JP5053079B2 - ハフニウム含有高誘電率誘電材料の原子層堆積装置および方法 - Google Patents
ハフニウム含有高誘電率誘電材料の原子層堆積装置および方法 Download PDFInfo
- Publication number
- JP5053079B2 JP5053079B2 JP2007513372A JP2007513372A JP5053079B2 JP 5053079 B2 JP5053079 B2 JP 5053079B2 JP 2007513372 A JP2007513372 A JP 2007513372A JP 2007513372 A JP2007513372 A JP 2007513372A JP 5053079 B2 JP5053079 B2 JP 5053079B2
- Authority
- JP
- Japan
- Prior art keywords
- gas
- precursor
- substrate
- hafnium
- chamber
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 238000000034 method Methods 0.000 title claims description 376
- 238000000231 atomic layer deposition Methods 0.000 title claims description 117
- 239000003989 dielectric material Substances 0.000 title claims description 30
- 229910052735 hafnium Inorganic materials 0.000 title description 219
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical group [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 title description 218
- 239000007789 gas Substances 0.000 claims description 443
- 230000008569 process Effects 0.000 claims description 359
- 239000002243 precursor Substances 0.000 claims description 222
- 239000000758 substrate Substances 0.000 claims description 182
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Chemical compound O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 claims description 107
- 230000001590 oxidative effect Effects 0.000 claims description 101
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 96
- 229910052739 hydrogen Inorganic materials 0.000 claims description 96
- 229910052760 oxygen Inorganic materials 0.000 claims description 95
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 93
- 239000001301 oxygen Substances 0.000 claims description 93
- 239000001257 hydrogen Substances 0.000 claims description 92
- 239000012159 carrier gas Substances 0.000 claims description 78
- 239000000463 material Substances 0.000 claims description 77
- 238000000151 deposition Methods 0.000 claims description 52
- 238000006243 chemical reaction Methods 0.000 claims description 32
- 230000008021 deposition Effects 0.000 claims description 28
- 230000006854 communication Effects 0.000 claims description 26
- 238000004891 communication Methods 0.000 claims description 26
- 239000012530 fluid Substances 0.000 claims description 26
- 239000003054 catalyst Substances 0.000 claims description 13
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 12
- 150000002431 hydrogen Chemical class 0.000 claims description 11
- 239000011810 insulating material Substances 0.000 claims description 11
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 claims description 10
- XEEYBQQBJWHFJM-UHFFFAOYSA-N Iron Chemical compound [Fe] XEEYBQQBJWHFJM-UHFFFAOYSA-N 0.000 claims description 8
- KDLHZDBZIXYQEI-UHFFFAOYSA-N Palladium Chemical compound [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 claims description 8
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 claims description 8
- 239000000126 substance Substances 0.000 claims description 8
- 239000010980 sapphire Substances 0.000 claims description 7
- 229910052594 sapphire Inorganic materials 0.000 claims description 7
- 229910045601 alloy Inorganic materials 0.000 claims description 6
- 239000000956 alloy Substances 0.000 claims description 6
- 239000000919 ceramic Substances 0.000 claims description 6
- 239000012774 insulation material Substances 0.000 claims description 5
- 229910052759 nickel Inorganic materials 0.000 claims description 5
- VYZAMTAEIAYCRO-UHFFFAOYSA-N Chromium Chemical compound [Cr] VYZAMTAEIAYCRO-UHFFFAOYSA-N 0.000 claims description 4
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 claims description 4
- 229910052804 chromium Inorganic materials 0.000 claims description 4
- 239000011651 chromium Substances 0.000 claims description 4
- 229910052742 iron Inorganic materials 0.000 claims description 4
- 229910052763 palladium Inorganic materials 0.000 claims description 4
- 229910052697 platinum Inorganic materials 0.000 claims description 4
- 229910052707 ruthenium Inorganic materials 0.000 claims description 4
- 229910052703 rhodium Inorganic materials 0.000 claims description 3
- 239000010948 rhodium Substances 0.000 claims description 3
- MHOVAHRLVXNVSD-UHFFFAOYSA-N rhodium atom Chemical compound [Rh] MHOVAHRLVXNVSD-UHFFFAOYSA-N 0.000 claims description 3
- PZNSFCLAULLKQX-UHFFFAOYSA-N Boron nitride Chemical compound N#B PZNSFCLAULLKQX-UHFFFAOYSA-N 0.000 claims 4
- 230000002093 peripheral effect Effects 0.000 claims 1
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 140
- 238000010926 purge Methods 0.000 description 129
- 239000012686 silicon precursor Substances 0.000 description 87
- 229910052757 nitrogen Inorganic materials 0.000 description 73
- 239000010408 film Substances 0.000 description 48
- 239000003708 ampul Substances 0.000 description 36
- IJKVHSBPTUYDLN-UHFFFAOYSA-N dihydroxy(oxo)silane Chemical compound O[Si](O)=O IJKVHSBPTUYDLN-UHFFFAOYSA-N 0.000 description 32
- 229910001868 water Inorganic materials 0.000 description 28
- 150000001875 compounds Chemical class 0.000 description 23
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 22
- MYMOFIZGZYHOMD-UHFFFAOYSA-N Dioxygen Chemical compound O=O MYMOFIZGZYHOMD-UHFFFAOYSA-N 0.000 description 22
- 229910001882 dioxygen Inorganic materials 0.000 description 22
- 239000006227 byproduct Substances 0.000 description 21
- 239000000203 mixture Substances 0.000 description 21
- 238000012545 processing Methods 0.000 description 21
- 238000005137 deposition process Methods 0.000 description 20
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 18
- 229910052710 silicon Inorganic materials 0.000 description 18
- 239000010703 silicon Substances 0.000 description 18
- 229910052751 metal Inorganic materials 0.000 description 17
- 229910000449 hafnium oxide Inorganic materials 0.000 description 16
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 16
- 239000002184 metal Substances 0.000 description 16
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 16
- 239000000376 reactant Substances 0.000 description 14
- GQPLMRYTRLFLPF-UHFFFAOYSA-N Nitrous Oxide Chemical compound [O-][N+]#N GQPLMRYTRLFLPF-UHFFFAOYSA-N 0.000 description 13
- 229910052786 argon Inorganic materials 0.000 description 13
- -1 oxygen radicals Chemical class 0.000 description 13
- GIRKRMUMWJFNRI-UHFFFAOYSA-N tris(dimethylamino)silicon Chemical compound CN(C)[Si](N(C)C)N(C)C GIRKRMUMWJFNRI-UHFFFAOYSA-N 0.000 description 13
- 238000000429 assembly Methods 0.000 description 12
- 230000000712 assembly Effects 0.000 description 12
- 239000012705 liquid precursor Substances 0.000 description 12
- 125000002887 hydroxy group Chemical group [H]O* 0.000 description 11
- 239000012495 reaction gas Substances 0.000 description 11
- 239000003153 chemical reaction reagent Substances 0.000 description 10
- MWUXSHHQAYIFBG-UHFFFAOYSA-N Nitric oxide Chemical compound O=[N] MWUXSHHQAYIFBG-UHFFFAOYSA-N 0.000 description 9
- 239000007983 Tris buffer Substances 0.000 description 9
- XREKLQOUFWBSFH-UHFFFAOYSA-N dimethyl 2-acetylbutanedioate Chemical compound COC(=O)CC(C(C)=O)C(=O)OC XREKLQOUFWBSFH-UHFFFAOYSA-N 0.000 description 9
- LENZDBCJOHFCAS-UHFFFAOYSA-N tris Chemical compound OCC(N)(CO)CO LENZDBCJOHFCAS-UHFFFAOYSA-N 0.000 description 9
- 235000012431 wafers Nutrition 0.000 description 9
- 230000003197 catalytic effect Effects 0.000 description 8
- 239000000356 contaminant Substances 0.000 description 8
- 238000010438 heat treatment Methods 0.000 description 8
- 229910052734 helium Inorganic materials 0.000 description 8
- 230000003647 oxidation Effects 0.000 description 8
- 238000007254 oxidation reaction Methods 0.000 description 8
- 238000010586 diagram Methods 0.000 description 7
- 239000001307 helium Substances 0.000 description 7
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 7
- 239000007800 oxidant agent Substances 0.000 description 7
- CEPICIBPGDWCRU-UHFFFAOYSA-N [Si].[Hf] Chemical compound [Si].[Hf] CEPICIBPGDWCRU-UHFFFAOYSA-N 0.000 description 6
- 238000005229 chemical vapour deposition Methods 0.000 description 6
- 239000000460 chlorine Substances 0.000 description 6
- 238000011109 contamination Methods 0.000 description 6
- ZYLGGWPMIDHSEZ-UHFFFAOYSA-N dimethylazanide;hafnium(4+) Chemical compound [Hf+4].C[N-]C.C[N-]C.C[N-]C.C[N-]C ZYLGGWPMIDHSEZ-UHFFFAOYSA-N 0.000 description 6
- 229910052814 silicon oxide Inorganic materials 0.000 description 6
- 239000006200 vaporizer Substances 0.000 description 6
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 description 5
- 125000000524 functional group Chemical group 0.000 description 5
- IXCSERBJSXMMFS-UHFFFAOYSA-N hydrogen chloride Substances Cl.Cl IXCSERBJSXMMFS-UHFFFAOYSA-N 0.000 description 5
- 229910000041 hydrogen chloride Inorganic materials 0.000 description 5
- 238000004519 manufacturing process Methods 0.000 description 5
- 239000001272 nitrous oxide Substances 0.000 description 5
- 238000001208 nuclear magnetic resonance pulse sequence Methods 0.000 description 5
- 230000000737 periodic effect Effects 0.000 description 5
- 239000000047 product Substances 0.000 description 5
- 229940126062 Compound A Drugs 0.000 description 4
- NLDMNSXOCDLTTB-UHFFFAOYSA-N Heterophylliin A Natural products O1C2COC(=O)C3=CC(O)=C(O)C(O)=C3C3=C(O)C(O)=C(O)C=C3C(=O)OC2C(OC(=O)C=2C=C(O)C(O)=C(O)C=2)C(O)C1OC(=O)C1=CC(O)=C(O)C(O)=C1 NLDMNSXOCDLTTB-UHFFFAOYSA-N 0.000 description 4
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 4
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical group [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 4
- 230000015572 biosynthetic process Effects 0.000 description 4
- 229910052801 chlorine Inorganic materials 0.000 description 4
- ZWWCURLKEXEFQT-UHFFFAOYSA-N dinitrogen pentaoxide Chemical compound [O-][N+](=O)O[N+]([O-])=O ZWWCURLKEXEFQT-UHFFFAOYSA-N 0.000 description 4
- 229910000077 silane Inorganic materials 0.000 description 4
- 239000010936 titanium Substances 0.000 description 4
- 238000012546 transfer Methods 0.000 description 4
- 229910004129 HfSiO Inorganic materials 0.000 description 3
- 229910052782 aluminium Inorganic materials 0.000 description 3
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 3
- 229910052794 bromium Inorganic materials 0.000 description 3
- 125000000484 butyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 3
- 239000012707 chemical precursor Substances 0.000 description 3
- VBCSQFQVDXIOJL-UHFFFAOYSA-N diethylazanide;hafnium(4+) Chemical group [Hf+4].CC[N-]CC.CC[N-]CC.CC[N-]CC.CC[N-]CC VBCSQFQVDXIOJL-UHFFFAOYSA-N 0.000 description 3
- 238000005516 engineering process Methods 0.000 description 3
- 125000001495 ethyl group Chemical group [H]C([H])([H])C([H])([H])* 0.000 description 3
- 229910052731 fluorine Inorganic materials 0.000 description 3
- PDPJQWYGJJBYLF-UHFFFAOYSA-J hafnium tetrachloride Chemical group Cl[Hf](Cl)(Cl)Cl PDPJQWYGJJBYLF-UHFFFAOYSA-J 0.000 description 3
- 229910052736 halogen Inorganic materials 0.000 description 3
- 150000002367 halogens Chemical class 0.000 description 3
- 239000012528 membrane Substances 0.000 description 3
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 3
- 150000004767 nitrides Chemical class 0.000 description 3
- 239000002245 particle Substances 0.000 description 3
- 125000001436 propyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])[H] 0.000 description 3
- 150000003254 radicals Chemical class 0.000 description 3
- 230000009257 reactivity Effects 0.000 description 3
- 239000004065 semiconductor Substances 0.000 description 3
- 239000010935 stainless steel Substances 0.000 description 3
- 229910001220 stainless steel Inorganic materials 0.000 description 3
- MGWGWNFMUOTEHG-UHFFFAOYSA-N 4-(3,5-dimethylphenyl)-1,3-thiazol-2-amine Chemical compound CC1=CC(C)=CC(C=2N=C(N)SC=2)=C1 MGWGWNFMUOTEHG-UHFFFAOYSA-N 0.000 description 2
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 2
- PAYRUJLWNCNPSJ-UHFFFAOYSA-N Aniline Chemical compound NC1=CC=CC=C1 PAYRUJLWNCNPSJ-UHFFFAOYSA-N 0.000 description 2
- OAKJQQAXSVQMHS-UHFFFAOYSA-N Hydrazine Chemical compound NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 description 2
- MHAJPDPJQMAIIY-UHFFFAOYSA-N Hydrogen peroxide Chemical compound OO MHAJPDPJQMAIIY-UHFFFAOYSA-N 0.000 description 2
- QGQFOJGMPGJJGG-UHFFFAOYSA-K [B+3].[O-]N=O.[O-]N=O.[O-]N=O Chemical compound [B+3].[O-]N=O.[O-]N=O.[O-]N=O QGQFOJGMPGJJGG-UHFFFAOYSA-K 0.000 description 2
- GNKTZDSRQHMHLZ-UHFFFAOYSA-N [Si].[Si].[Si].[Ti].[Ti].[Ti].[Ti].[Ti] Chemical compound [Si].[Si].[Si].[Ti].[Ti].[Ti].[Ti].[Ti] GNKTZDSRQHMHLZ-UHFFFAOYSA-N 0.000 description 2
- 230000009471 action Effects 0.000 description 2
- 125000003545 alkoxy group Chemical group 0.000 description 2
- 125000003282 alkyl amino group Chemical group 0.000 description 2
- 125000000217 alkyl group Chemical group 0.000 description 2
- VYBYZVVRYQDCGQ-UHFFFAOYSA-N alumane;hafnium Chemical compound [AlH3].[Hf] VYBYZVVRYQDCGQ-UHFFFAOYSA-N 0.000 description 2
- 150000001412 amines Chemical class 0.000 description 2
- 150000001540 azides Chemical class 0.000 description 2
- 230000004888 barrier function Effects 0.000 description 2
- 239000003990 capacitor Substances 0.000 description 2
- 238000006555 catalytic reaction Methods 0.000 description 2
- 239000002131 composite material Substances 0.000 description 2
- 238000009833 condensation Methods 0.000 description 2
- 230000005494 condensation Effects 0.000 description 2
- 230000007423 decrease Effects 0.000 description 2
- 238000009792 diffusion process Methods 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 230000006870 function Effects 0.000 description 2
- 239000011521 glass Substances 0.000 description 2
- 150000002363 hafnium compounds Chemical class 0.000 description 2
- 238000007654 immersion Methods 0.000 description 2
- 229910052746 lanthanum Inorganic materials 0.000 description 2
- MRELNEQAGSRDBK-UHFFFAOYSA-N lanthanum(3+);oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[La+3].[La+3] MRELNEQAGSRDBK-UHFFFAOYSA-N 0.000 description 2
- 230000002045 lasting effect Effects 0.000 description 2
- 239000003446 ligand Substances 0.000 description 2
- 239000007788 liquid Substances 0.000 description 2
- 239000007769 metal material Substances 0.000 description 2
- 229910044991 metal oxide Inorganic materials 0.000 description 2
- 150000004706 metal oxides Chemical class 0.000 description 2
- 229910017464 nitrogen compound Inorganic materials 0.000 description 2
- JCXJVPUVTGWSNB-UHFFFAOYSA-N nitrogen dioxide Inorganic materials O=[N]=O JCXJVPUVTGWSNB-UHFFFAOYSA-N 0.000 description 2
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 2
- 150000004756 silanes Chemical class 0.000 description 2
- 238000003860 storage Methods 0.000 description 2
- 229910052715 tantalum Inorganic materials 0.000 description 2
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 2
- 239000010409 thin film Substances 0.000 description 2
- 229910052721 tungsten Inorganic materials 0.000 description 2
- 239000010937 tungsten Substances 0.000 description 2
- 239000012498 ultrapure water Substances 0.000 description 2
- 238000005019 vapor deposition process Methods 0.000 description 2
- 239000004215 Carbon black (E152) Substances 0.000 description 1
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 1
- 229910000599 Cr alloy Inorganic materials 0.000 description 1
- LFQSCWFLJHTTHZ-UHFFFAOYSA-N Ethanol Chemical compound CCO LFQSCWFLJHTTHZ-UHFFFAOYSA-N 0.000 description 1
- GYHNNYVSQQEPJS-UHFFFAOYSA-N Gallium Chemical compound [Ga] GYHNNYVSQQEPJS-UHFFFAOYSA-N 0.000 description 1
- YZCKVEUIGOORGS-UHFFFAOYSA-N Hydrogen atom Chemical compound [H] YZCKVEUIGOORGS-UHFFFAOYSA-N 0.000 description 1
- ODUCDPQEXGNKDN-UHFFFAOYSA-N Nitrogen oxide(NO) Natural products O=N ODUCDPQEXGNKDN-UHFFFAOYSA-N 0.000 description 1
- 241001618237 Peltophorum africanum Species 0.000 description 1
- 229910000629 Rh alloy Inorganic materials 0.000 description 1
- 229910052581 Si3N4 Inorganic materials 0.000 description 1
- 229910003902 SiCl 4 Inorganic materials 0.000 description 1
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 1
- 229910004529 TaF 5 Inorganic materials 0.000 description 1
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- 229910007926 ZrCl Inorganic materials 0.000 description 1
- YKTSYUJCYHOUJP-UHFFFAOYSA-N [O--].[Al+3].[Al+3].[O-][Si]([O-])([O-])[O-] Chemical compound [O--].[Al+3].[Al+3].[O-][Si]([O-])([O-])[O-] YKTSYUJCYHOUJP-UHFFFAOYSA-N 0.000 description 1
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 1
- CUJRVFIICFDLGR-UHFFFAOYSA-N acetylacetonate Chemical compound CC(=O)[CH-]C(C)=O CUJRVFIICFDLGR-UHFFFAOYSA-N 0.000 description 1
- 230000004913 activation Effects 0.000 description 1
- 150000001298 alcohols Chemical class 0.000 description 1
- 150000004703 alkoxides Chemical class 0.000 description 1
- 125000003368 amide group Chemical group 0.000 description 1
- 229910021529 ammonia Inorganic materials 0.000 description 1
- 150000003931 anilides Chemical group 0.000 description 1
- 238000000137 annealing Methods 0.000 description 1
- 125000004429 atom Chemical group 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- 230000007175 bidirectional communication Effects 0.000 description 1
- 238000009835 boiling Methods 0.000 description 1
- 239000000872 buffer Substances 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 239000007795 chemical reaction product Substances 0.000 description 1
- 239000003638 chemical reducing agent Substances 0.000 description 1
- SLLGVCUQYRMELA-UHFFFAOYSA-N chlorosilicon Chemical compound Cl[Si] SLLGVCUQYRMELA-UHFFFAOYSA-N 0.000 description 1
- 239000000788 chromium alloy Substances 0.000 description 1
- UPHIPHFJVNKLMR-UHFFFAOYSA-N chromium iron Chemical compound [Cr].[Fe] UPHIPHFJVNKLMR-UHFFFAOYSA-N 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 229910021419 crystalline silicon Inorganic materials 0.000 description 1
- 125000000058 cyclopentadienyl group Chemical group C1(=CC=CC1)* 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- 238000006073 displacement reaction Methods 0.000 description 1
- 239000002019 doping agent Substances 0.000 description 1
- 238000002474 experimental method Methods 0.000 description 1
- 238000007524 flame polishing Methods 0.000 description 1
- 229910052733 gallium Inorganic materials 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 150000004820 halides Chemical class 0.000 description 1
- 150000002366 halogen compounds Chemical class 0.000 description 1
- 125000005843 halogen group Chemical group 0.000 description 1
- 239000008240 homogeneous mixture Substances 0.000 description 1
- 229930195733 hydrocarbon Natural products 0.000 description 1
- 150000002430 hydrocarbons Chemical class 0.000 description 1
- 229910000039 hydrogen halide Inorganic materials 0.000 description 1
- 239000012433 hydrogen halide Substances 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 229910052740 iodine Inorganic materials 0.000 description 1
- FZLIPJUXYLNCLC-UHFFFAOYSA-N lanthanum atom Chemical compound [La] FZLIPJUXYLNCLC-UHFFFAOYSA-N 0.000 description 1
- 239000010410 layer Substances 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 229910052914 metal silicate Inorganic materials 0.000 description 1
- 238000002488 metal-organic chemical vapour deposition Methods 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 238000004377 microelectronic Methods 0.000 description 1
- 238000002156 mixing Methods 0.000 description 1
- SSCVMVQLICADPI-UHFFFAOYSA-N n-methyl-n-[tris(dimethylamino)silyl]methanamine Chemical compound CN(C)[Si](N(C)C)(N(C)C)N(C)C SSCVMVQLICADPI-UHFFFAOYSA-N 0.000 description 1
- 238000005121 nitriding Methods 0.000 description 1
- 230000006911 nucleation Effects 0.000 description 1
- 238000010899 nucleation Methods 0.000 description 1
- 150000002902 organometallic compounds Chemical class 0.000 description 1
- 125000002524 organometallic group Chemical group 0.000 description 1
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 1
- BPUBBGLMJRNUCC-UHFFFAOYSA-N oxygen(2-);tantalum(5+) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Ta+5].[Ta+5] BPUBBGLMJRNUCC-UHFFFAOYSA-N 0.000 description 1
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 description 1
- 239000004033 plastic Substances 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 239000004810 polytetrafluoroethylene Substances 0.000 description 1
- 229920001343 polytetrafluoroethylene Polymers 0.000 description 1
- 238000002203 pretreatment Methods 0.000 description 1
- 125000002924 primary amino group Chemical group [H]N([H])* 0.000 description 1
- LVTJOONKWUXEFR-FZRMHRINSA-N protoneodioscin Natural products O(C[C@@H](CC[C@]1(O)[C@H](C)[C@@H]2[C@]3(C)[C@H]([C@H]4[C@@H]([C@]5(C)C(=CC4)C[C@@H](O[C@@H]4[C@H](O[C@H]6[C@@H](O)[C@@H](O)[C@@H](O)[C@H](C)O6)[C@@H](O)[C@H](O[C@H]6[C@@H](O)[C@@H](O)[C@@H](O)[C@H](C)O6)[C@H](CO)O4)CC5)CC3)C[C@@H]2O1)C)[C@H]1[C@H](O)[C@H](O)[C@H](O)[C@@H](CO)O1 LVTJOONKWUXEFR-FZRMHRINSA-N 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 230000035945 sensitivity Effects 0.000 description 1
- 238000007086 side reaction Methods 0.000 description 1
- FZHAPNGMFPVSLP-UHFFFAOYSA-N silanamine Chemical compound [SiH3]N FZHAPNGMFPVSLP-UHFFFAOYSA-N 0.000 description 1
- 150000004819 silanols Chemical class 0.000 description 1
- 150000003377 silicon compounds Chemical class 0.000 description 1
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 1
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 1
- 239000002210 silicon-based material Substances 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 1
- 229910001936 tantalum oxide Inorganic materials 0.000 description 1
- 238000005382 thermal cycling Methods 0.000 description 1
- 230000008646 thermal stress Effects 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 238000007740 vapor deposition Methods 0.000 description 1
- 229910052726 zirconium Inorganic materials 0.000 description 1
- 229910001928 zirconium oxide Inorganic materials 0.000 description 1
- GFQYVLUOOAAOGM-UHFFFAOYSA-N zirconium(iv) silicate Chemical compound [Zr+4].[O-][Si]([O-])([O-])[O-] GFQYVLUOOAAOGM-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/448—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
- C23C16/4488—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by in situ generation of reactive gas by chemical or electrochemical reaction
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/02—Pretreatment of the material to be coated
- C23C16/0272—Deposition of sub-layers, e.g. to promote the adhesion of the main coating
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/40—Oxides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/40—Oxides
- C23C16/401—Oxides containing silicon
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/40—Oxides
- C23C16/405—Oxides of refractory metals or yttrium
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4412—Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45529—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45531—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45544—Atomic layer deposition [ALD] characterized by the apparatus
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45582—Expansion of gas before it reaches the substrate
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/56—After-treatment
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y02—TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
- Y02T—CLIMATE CHANGE MITIGATION TECHNOLOGIES RELATED TO TRANSPORTATION
- Y02T50/00—Aeronautics or air transport
- Y02T50/60—Efficient propulsion technologies, e.g. for aircraft
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T137/00—Fluid handling
- Y10T137/0318—Processes
- Y10T137/0324—With control of flow by a condition or characteristic of a fluid
- Y10T137/0357—For producing uniform flow
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T137/00—Fluid handling
- Y10T137/0318—Processes
- Y10T137/0396—Involving pressure control
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T137/00—Fluid handling
- Y10T137/206—Flow affected by fluid contact, energy field or coanda effect [e.g., pure fluid device or system]
- Y10T137/2087—Means to cause rotational flow of fluid [e.g., vortex generator]
Landscapes
- Chemical & Material Sciences (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Engineering & Computer Science (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Inorganic Chemistry (AREA)
- Electrochemistry (AREA)
- Chemical Vapour Deposition (AREA)
- Formation Of Insulating Films (AREA)
Description
[0001]本発明の実施形態は、一般に基板上に材料を堆積する方法および装置に関し、より詳細には、気相堆積プロセスによって高誘電率誘電材料を堆積する方法および装置に関する。
[0002]半導体処理の分野では、フラットパネルディスプレイ処理または他の電子デバイス処理、気相堆積プロセスが、基板上に材料を堆積するのに重要な役割を果たしてきた。電子デバイスのジオメトリが縮小し続け、デバイス密度が増大し続けるにつれて、フィーチャのサイズおよびアスペクト比がより挑戦的になっており、例えば0.07μmのフィーチャサイズおよび10以上のアスペクト比が検討されている。そこで、これらのデバイスを形成するために材料の共形堆積がますます重要になっている。
[0025]図1は、本発明の一実施形態による、酸化ハフニウム等のハフニウム含有材料を形成するための例示的なプロセスシーケンス100を示す。基板が、周期的な堆積を行うことが可能なプロセスチャンバ内に装着され、プロセス条件が調整される(ステップ110)。プロセス条件は、基板またはプロセスチャンバの温度、チャンバ圧およびガス流速を含んでもよい。任意の前浸漬プロセスおよびALDサイクル開始前のパージに基板を暴露することもできる(ステップ115)。基板は、約0.1秒〜約5秒の範囲の時間、プロセスチャンバに導入されたハフニウム前駆体のパルスのみかまたはキャリアガスとの組み合わせに暴露される(ステップ120)。そして、パージガスのパルスが処理チャンバ内に導入され(ステップ130)、あらゆる残留ハフニウム前駆体または副生成物をパージするか別の方法で除去する。次に、酸化ガスのパルスが処理チャンバ内に導入される(ステップ140)。酸化ガスは、水蒸気および酸素等の複数の酸化剤を含んでもよい。パージガスのパルスがプロセスチャンバ内に再び導入され(ステップ150)、あらゆる残留酸化ガスまたは副生成物をパージするか別の方法で除去する。適切なキャリアガスまたはパージガスは、ヘリウム、アルゴン、窒素、水素、フォーミングガス、酸素またはこれらの組み合わせを含むことができる。
[0081]図6は、本明細書で説明した実施形態にしたがって集積回路作製を行うのに使用可能なプロセスチャンバ610の概略的な断面図を表している。プロセスチャンバ610は、一般に、基板支持ペデスタル648を内蔵しており、これが基板(図示せず)を支持するために用いられる。基板支持ペデスタル648は、変位機構648Aを用いてプロセスチャンバ610内で垂直方向に移動可能である。
Claims (32)
- 原子層堆積プロセスによって基板上に誘電体材料を堆積させる装置であって、
プロセスチャンバ内に基板受容表面を有する基板支持部と、
チャンバ蓋であって、
前記チャンバ蓋の中央部の断熱材料内に形成された膨張チャネルと、
膨張チャネルからチャンバ蓋の周辺部へと延び、基板受容表面をほぼ覆うような形状およびサイズとされたテーパー付き底表面と、
を備えるチャンバ蓋と、
前記膨張チャネル内で第1のガス入口に結合された第1の導管と、
前記膨張チャネル内で第2のガス入口に結合された第2の導管と、
を備え、
前記第1の導管と前記第2の導管は、前記膨張チャネルを通して円形流のガスが提供されるように、位置決めされている、装置。 - 前記膨張チャネルが、チャンバ蓋内に形成されるか、またはその上に取り付けた漏斗状ライナーとともに形成される、請求項1に記載の装置。
- 前記断熱材料が、石英ガラス、セラミック、サファイア、熱分解窒化ホウ素(PBN)、これらの誘導体、およびこれらの組み合わせからなる群から選択される材料を含有する、請求項2に記載の装置。
- 少なくとも0.051μmの表面仕上げを有するように前記断熱材料が研磨される、請求項3に記載の装置。
- 前記プロセスチャンバが、上プロセスライナー、下プロセスライナー、スリップ弁ライナー、保持リングおよびそれらの組み合わせからなる群から選択される少なくとも1個の断熱ライナーをさらに備える、請求項3に記載の装置。
- 熱プロセス中に、漏斗状ライナーが前記チャンバ蓋に取り付けられて熱膨張し、前記基板と位置合わせされセンタリングされる、請求項5に記載の装置。
- 第1の弁が第1の導管に結合され、第2の弁が第2の導管に結合される、請求項3に記載の装置。
- 第1のガス源が前記第1の弁と流体連通し、第2のガス源が前記第2の弁と流体連通する、請求項7に記載の装置。
- 前記第1の弁および前記第2の弁は、各々、パルス時間が2秒以下となるように制御される原子層堆積プロセスバルブである、請求項8に記載の装置。
- 前記第1の導管および前記第2の導管が、膨張チャネルの内表面にガス流を方向付けるように位置決めされている、請求項8に記載の装置。
- 前記ガスの前記円形流の形状は、渦、螺旋、渦巻のなかから選択されたいずれか一つである、請求項10に記載の装置。
- 3000cm3以下の体積を含有する反応域が前記チャンバ蓋および基板受容表面間に画成される、請求項8に記載の装置。
- 前記反応域の体積が、基板支持部を横方向に位置決めすることにより調整される、請求項12に記載の装置。
- プラズマ装置が前記反応域と流体連通している、請求項12に記載の装置。
- 触媒を含有する水蒸気発生器が前記第1の導管に結合されている、請求項1に記載の装置。
- 酸素源および水素源が前記水蒸気発生器に結合されている、請求項15に記載の装置。
- 前記触媒が、パラジウム、白金、ニッケル、鉄、クロム、ルテニウム、ロジウム、それらの合金およびそれらの組み合わせからなる群から選択される元素を含む、請求項16に記載の装置。
- 原子層堆積プロセスによって、基板上に誘電体材料を堆積させる装置であって、
プロセスチャンバ内に基板受容表面を有する基板支持部と、
チャンバ蓋であって、
前記チャンバ蓋の中央部の断熱材料内に形成された膨張チャネルと、
前記膨張チャネルからチャンバ蓋の周辺部へと延び、基板受容表面をほぼ覆うような形状およびサイズとされたテーパー付き底表面と、
を備えるチャンバ蓋と、
前記膨張チャネル内でガス入口に結合された導管と、
前記導管に結合されたALD弁アセンブリと、
前記ALD弁アセンブリに結合された水蒸気発生器であって、触媒を含有し、前記膨張チャネルと流体連通している水蒸気発生器と、
を備える、装置。 - 断熱材料が、石英ガラス、セラミック、サファイア、熱分解窒化ホウ素(PBN)、これらの誘導体、およびこれらの組み合わせからなる群から選択される材料を含有する、請求項18に記載の装置。
- 原子層堆積プロセスによって、酸素を含有する誘電体材料を基板上に堆積させる装置であって、
チャンバ蓋の中央部の断熱材料内に形成された膨張チャネルと、プロセス領域に暴露された基板受容表面を有する基板支持部と、前記膨張チャネルからチャンバ蓋の周辺部へと延び、基板受容表面を実質的に覆うような形状およびサイズであるテーパー付き底表面と、第1のALD弁アセンブリと、第2のALD弁アセンブリとを収容するALDプロセスチャンバと、
前記プロセス領域と流体連通する前記第1のALD弁アセンブリに結合された第1の前駆体源と、
触媒を含有する水蒸気発生器と流体連通する酸素源および水素源と、
を備え、
前記水蒸気発生器が前記プロセス領域と流体連通する第2のALD弁アセンブリに結合される、装置。 - 前記水蒸気発生器が0.1sccm〜100sccmの範囲の流速の水蒸気を作り出す、請求項20に記載の装置。
- 前記流速が10sccm以下である、請求項21に記載の装置。
- 前記流速が1sccm以下である、請求項22に記載の装置。
- 前記水素源が水素およびキャリアガスを含有する水素源ガスを提供する、請求項20に記載の装置。
- 前記水素源ガスが30容積%以下の水素を含有する、請求項24に記載の装置。
- 前記触媒が、パラジウム、白金、ニッケル、鉄、クロム、ルテニウム、ロジウム、それらの合金およびそれらの組み合わせからなる群から選択される元素を含む、請求項20に記載の装置。
- 前記第1のALD弁アセンブリおよび前記第2のALD弁アセンブリは、各々、ガスのパルス時間が2秒以下となるように制御される、請求項26に記載の装置。
- 前記プロセス領域と流体連通する第3のALD弁に結合された追加の前駆体源をさらに備える、請求項27に記載の装置。
- 原子層堆積プロセスによって基板上に誘電体材料を堆積させる方法であって、
チャンバ本体とチャンバ蓋とを含むプロセスチャンバ内の基板支持部上に基板を位置決めするステップであって、
前記チャンバ蓋は、
チャンバ蓋の中央部の断熱材料内に形成された膨張チャネルと、
前記膨張チャネルから前記チャンバ蓋の周辺部へと延び、前記基板をほぼ覆うような形状およびサイズとされたテーパー付き底表面と、
前記膨張チャネル内で第1のガス入口に結合された第1の導管と、
前記膨張チャネル内で第2のガス入口に結合された第2の導管とを備え、前記第1の導管および前記第2の導管が円形流のガスを提供するように位置決めされるものであり、
少なくとも1種のキャリアガスを前記第1および前記第2の導管を通して流し、円形流を形成するステップと、
前記円形流を形成している少なくとも1種のキャリアガスに前記基板を暴露するステップと、
少なくとも1つの前駆体を前記少なくとも1種のキャリアガス内にパルスするステップと、
前記少なくとも1つの前駆体から選択された少なくとも1つの元素を含有する誘電体材料を、基板上に堆積させるステップと、
を備える、方法。 - 前記ガスの前記円形流のパターンは、渦、螺旋、渦巻から選択されたうちのいずれか一つである、請求項29に記載の方法。
- 原子層堆積プロセスによって基板上に誘電体材料を堆積させる方法であって、
円形流のガスを形成可能なガス送達システムを収容するプロセスチャンバ内の基板支持部上に前記基板を位置決めするステップと、
少なくとも1種のキャリアガスを前記プロセスチャンバ内に流して、円形流を形成するステップと、
前記円形流を形成している少なくとも1種のキャリアガスに前記基板を暴露するステップと、
水素源ガスおよび酸素源ガスを水蒸気発生器内に流して水蒸気を含有する酸化ガスを形成するステップと、
少なくとも1つの前駆体と前記水蒸気とを、前記少なくとも1種のキャリアガス内に順次パルスするステップと、
酸素と、前記少なくとも一つの前駆体から選択された少なくとも一つの物質とを含有する誘電体材料を堆積させるステップと、
を備える、方法。 - 前記ガスの前記円形流のパターンは、渦、螺旋、渦巻から選択されたいずれか一つである、請求項31に記載の方法。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US57017304P | 2004-05-12 | 2004-05-12 | |
US60/570,173 | 2004-05-12 | ||
PCT/US2005/016694 WO2005113852A2 (en) | 2004-05-12 | 2005-05-12 | Apparatuses and methods for atomic layer deposition |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2007537360A JP2007537360A (ja) | 2007-12-20 |
JP5053079B2 true JP5053079B2 (ja) | 2012-10-17 |
Family
ID=34969846
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2007513353A Active JP5063344B2 (ja) | 2004-05-12 | 2005-05-12 | ハフニウム含有高誘電率誘電材料の原子層堆積方法 |
JP2007513372A Active JP5053079B2 (ja) | 2004-05-12 | 2005-05-12 | ハフニウム含有高誘電率誘電材料の原子層堆積装置および方法 |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2007513353A Active JP5063344B2 (ja) | 2004-05-12 | 2005-05-12 | ハフニウム含有高誘電率誘電材料の原子層堆積方法 |
Country Status (6)
Country | Link |
---|---|
US (5) | US20050252449A1 (ja) |
EP (2) | EP1745159A2 (ja) |
JP (2) | JP5063344B2 (ja) |
KR (1) | KR101316056B1 (ja) |
CN (2) | CN1934287B (ja) |
WO (2) | WO2005113855A1 (ja) |
Families Citing this family (714)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
FI119941B (fi) * | 1999-10-15 | 2009-05-15 | Asm Int | Menetelmä nanolaminaattien valmistamiseksi |
US20060219157A1 (en) * | 2001-06-28 | 2006-10-05 | Antti Rahtu | Oxide films containing titanium |
FI118804B (fi) * | 1999-12-03 | 2008-03-31 | Asm Int | Menetelmä oksidikalvojen kasvattamiseksi |
US7419903B2 (en) * | 2000-03-07 | 2008-09-02 | Asm International N.V. | Thin films |
FI117979B (fi) * | 2000-04-14 | 2007-05-15 | Asm Int | Menetelmä oksidiohutkalvojen valmistamiseksi |
US7494927B2 (en) | 2000-05-15 | 2009-02-24 | Asm International N.V. | Method of growing electrical conductors |
US7405158B2 (en) | 2000-06-28 | 2008-07-29 | Applied Materials, Inc. | Methods for depositing tungsten layers employing atomic layer deposition techniques |
US7101795B1 (en) | 2000-06-28 | 2006-09-05 | Applied Materials, Inc. | Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer |
US6551929B1 (en) | 2000-06-28 | 2003-04-22 | Applied Materials, Inc. | Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques |
US6951804B2 (en) | 2001-02-02 | 2005-10-04 | Applied Materials, Inc. | Formation of a tantalum-nitride layer |
US6878206B2 (en) * | 2001-07-16 | 2005-04-12 | Applied Materials, Inc. | Lid assembly for a processing system to facilitate sequential deposition techniques |
US7491634B2 (en) | 2006-04-28 | 2009-02-17 | Asm International N.V. | Methods for forming roughened surfaces and applications thereof |
US9139906B2 (en) * | 2001-03-06 | 2015-09-22 | Asm America, Inc. | Doping with ALD technology |
US7780785B2 (en) | 2001-10-26 | 2010-08-24 | Applied Materials, Inc. | Gas delivery apparatus for atomic layer deposition |
US7780789B2 (en) * | 2001-10-26 | 2010-08-24 | Applied Materials, Inc. | Vortex chamber lids for atomic layer deposition |
US20080102203A1 (en) * | 2001-10-26 | 2008-05-01 | Dien-Yeh Wu | Vortex chamber lids for atomic layer deposition |
US6916398B2 (en) * | 2001-10-26 | 2005-07-12 | Applied Materials, Inc. | Gas delivery apparatus and method for atomic layer deposition |
US7081271B2 (en) | 2001-12-07 | 2006-07-25 | Applied Materials, Inc. | Cyclical deposition of refractory metal silicon nitride |
US6972267B2 (en) | 2002-03-04 | 2005-12-06 | Applied Materials, Inc. | Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor |
US7067439B2 (en) * | 2002-06-14 | 2006-06-27 | Applied Materials, Inc. | ALD metal oxide deposition process using direct oxidation |
US20070212850A1 (en) * | 2002-09-19 | 2007-09-13 | Applied Materials, Inc. | Gap-fill depositions in the formation of silicon containing dielectric materials |
US7141483B2 (en) | 2002-09-19 | 2006-11-28 | Applied Materials, Inc. | Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill |
TW200508413A (en) * | 2003-08-06 | 2005-03-01 | Ulvac Inc | Device and method for manufacturing thin films |
TWI274978B (en) * | 2004-02-25 | 2007-03-01 | Advanced Display Proc Eng Co | Apparatus for manufacturing flat-panel display |
US9257302B1 (en) * | 2004-03-25 | 2016-02-09 | Novellus Systems, Inc. | CVD flowable gap fill |
US7524735B1 (en) | 2004-03-25 | 2009-04-28 | Novellus Systems, Inc | Flowable film dielectric gap fill process |
DE102004019575A1 (de) * | 2004-04-20 | 2005-11-24 | Innovent E.V. Technologieentwicklung | Verfahren zur Herstellung von transmissionsverbessernden und/oder reflexionsmindernden optischen Schichten |
US20050252449A1 (en) | 2004-05-12 | 2005-11-17 | Nguyen Son T | Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system |
US8119210B2 (en) * | 2004-05-21 | 2012-02-21 | Applied Materials, Inc. | Formation of a silicon oxynitride layer on a high-k dielectric material |
US20050276922A1 (en) * | 2004-06-10 | 2005-12-15 | Henry Bernhardt | Method of forming thin dielectric layers |
US7202185B1 (en) * | 2004-06-22 | 2007-04-10 | Novellus Systems, Inc. | Silica thin films produced by rapid surface catalyzed vapor deposition (RVD) using a nucleation layer |
US7297608B1 (en) | 2004-06-22 | 2007-11-20 | Novellus Systems, Inc. | Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition |
US20050287806A1 (en) * | 2004-06-24 | 2005-12-29 | Hiroyuki Matsuura | Vertical CVD apparatus and CVD method using the same |
US20060045968A1 (en) * | 2004-08-25 | 2006-03-02 | Metz Matthew V | Atomic layer deposition of high quality high-k transition metal and rare earth oxides |
JP2006128547A (ja) * | 2004-11-01 | 2006-05-18 | Toshiba Corp | 半導体装置及びその製造方法 |
US7294583B1 (en) | 2004-12-23 | 2007-11-13 | Novellus Systems, Inc. | Methods for the use of alkoxysilanol precursors for vapor deposition of SiO2 films |
US7482247B1 (en) | 2004-12-30 | 2009-01-27 | Novellus Systems, Inc. | Conformal nanolaminate dielectric deposition and etch bag gap fill process |
US7438949B2 (en) * | 2005-01-27 | 2008-10-21 | Applied Materials, Inc. | Ruthenium containing layer deposition method |
JP2006279019A (ja) * | 2005-03-03 | 2006-10-12 | Sony Corp | 薄膜の形成方法および半導体装置の製造方法 |
US7666773B2 (en) | 2005-03-15 | 2010-02-23 | Asm International N.V. | Selective deposition of noble metal thin films |
US8025922B2 (en) * | 2005-03-15 | 2011-09-27 | Asm International N.V. | Enhanced deposition of noble metals |
US7662729B2 (en) | 2005-04-28 | 2010-02-16 | Micron Technology, Inc. | Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer |
JP4711733B2 (ja) * | 2005-05-12 | 2011-06-29 | 株式会社Adeka | 酸化珪素系薄膜の製造方法 |
US20070037412A1 (en) * | 2005-08-05 | 2007-02-15 | Tokyo Electron Limited | In-situ atomic layer deposition |
US20070042130A1 (en) * | 2005-08-17 | 2007-02-22 | Applied Materials, Inc. | Method of treating films using UV-generated active species |
US7402534B2 (en) * | 2005-08-26 | 2008-07-22 | Applied Materials, Inc. | Pretreatment processes within a batch ALD reactor |
US20070054048A1 (en) * | 2005-09-07 | 2007-03-08 | Suvi Haukka | Extended deposition range by hot spots |
US8993055B2 (en) | 2005-10-27 | 2015-03-31 | Asm International N.V. | Enhanced thin film deposition |
US7850779B2 (en) | 2005-11-04 | 2010-12-14 | Applied Materisals, Inc. | Apparatus and process for plasma-enhanced atomic layer deposition |
US7589028B1 (en) | 2005-11-15 | 2009-09-15 | Novellus Systems, Inc. | Hydroxyl bond removal and film densification method for oxide films using microwave post treatment |
US7491653B1 (en) | 2005-12-23 | 2009-02-17 | Novellus Systems, Inc. | Metal-free catalysts for pulsed deposition layer process for conformal silica laminates |
US7972974B2 (en) | 2006-01-10 | 2011-07-05 | Micron Technology, Inc. | Gallium lanthanide oxide films |
US7767594B2 (en) * | 2006-01-17 | 2010-08-03 | Hitachi Kokusai Electric Inc. | Semiconductor device producing method |
US7709402B2 (en) | 2006-02-16 | 2010-05-04 | Micron Technology, Inc. | Conductive layers for hafnium silicon oxynitride films |
US7645710B2 (en) | 2006-03-09 | 2010-01-12 | Applied Materials, Inc. | Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system |
US7678710B2 (en) | 2006-03-09 | 2010-03-16 | Applied Materials, Inc. | Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system |
US7837838B2 (en) | 2006-03-09 | 2010-11-23 | Applied Materials, Inc. | Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus |
US20070237697A1 (en) * | 2006-03-31 | 2007-10-11 | Tokyo Electron Limited | Method of forming mixed rare earth oxide and aluminate films by atomic layer deposition |
US7288463B1 (en) | 2006-04-28 | 2007-10-30 | Novellus Systems, Inc. | Pulsed deposition layer gap fill with expansion material |
US7798096B2 (en) | 2006-05-05 | 2010-09-21 | Applied Materials, Inc. | Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool |
DE102006023046B4 (de) * | 2006-05-17 | 2009-02-05 | Qimonda Ag | Verfahren und Ausgangsmaterial zum Bereitstellen eines gasförmigen Precursors |
US7863198B2 (en) * | 2006-05-18 | 2011-01-04 | Micron Technology, Inc. | Method and device to vary growth rate of thin films over semiconductor structures |
US7582574B2 (en) * | 2006-05-30 | 2009-09-01 | Air Products And Chemicals, Inc. | Diethylsilane as a silicon source in the deposition of metal silicate films |
EP2029790A1 (en) | 2006-06-02 | 2009-03-04 | L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude | Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing |
US7625820B1 (en) | 2006-06-21 | 2009-12-01 | Novellus Systems, Inc. | Method of selective coverage of high aspect ratio structures with a conformal film |
US7795160B2 (en) * | 2006-07-21 | 2010-09-14 | Asm America Inc. | ALD of metal silicate films |
US7727908B2 (en) | 2006-08-03 | 2010-06-01 | Micron Technology, Inc. | Deposition of ZrA1ON films |
US20080063798A1 (en) * | 2006-08-30 | 2008-03-13 | Kher Shreyas S | Precursors and hardware for cvd and ald |
US7759747B2 (en) | 2006-08-31 | 2010-07-20 | Micron Technology, Inc. | Tantalum aluminum oxynitride high-κ dielectric |
US7776765B2 (en) | 2006-08-31 | 2010-08-17 | Micron Technology, Inc. | Tantalum silicon oxynitride high-k dielectrics and metal gates |
US7563730B2 (en) | 2006-08-31 | 2009-07-21 | Micron Technology, Inc. | Hafnium lanthanide oxynitride films |
US7605030B2 (en) | 2006-08-31 | 2009-10-20 | Micron Technology, Inc. | Hafnium tantalum oxynitride high-k dielectric and metal gates |
US7544604B2 (en) | 2006-08-31 | 2009-06-09 | Micron Technology, Inc. | Tantalum lanthanide oxynitride films |
JP5590886B2 (ja) | 2006-09-26 | 2014-09-17 | アプライド マテリアルズ インコーポレイテッド | 欠陥パシベーションのための高kゲート積層構造に対するフッ素プラズマ処理 |
JP2010506408A (ja) * | 2006-10-05 | 2010-02-25 | エーエスエム アメリカ インコーポレイテッド | 金属シリケート膜のald |
US7521379B2 (en) | 2006-10-09 | 2009-04-21 | Applied Materials, Inc. | Deposition and densification process for titanium nitride barrier layers |
US8986456B2 (en) | 2006-10-10 | 2015-03-24 | Asm America, Inc. | Precursor delivery system |
US20080087890A1 (en) * | 2006-10-16 | 2008-04-17 | Micron Technology, Inc. | Methods to form dielectric structures in semiconductor devices and resulting devices |
US9245739B2 (en) | 2006-11-01 | 2016-01-26 | Lam Research Corporation | Low-K oxide deposition by hydrolysis and condensation |
US7749574B2 (en) * | 2006-11-14 | 2010-07-06 | Applied Materials, Inc. | Low temperature ALD SiO2 |
US7776395B2 (en) * | 2006-11-14 | 2010-08-17 | Applied Materials, Inc. | Method of depositing catalyst assisted silicates of high-k materials |
US8821637B2 (en) | 2007-01-29 | 2014-09-02 | Applied Materials, Inc. | Temperature controlled lid assembly for tungsten nitride deposition |
JP4845782B2 (ja) * | 2007-03-16 | 2011-12-28 | 東京エレクトロン株式会社 | 成膜原料 |
JP5176358B2 (ja) * | 2007-03-27 | 2013-04-03 | 東京エレクトロン株式会社 | 成膜装置及び成膜方法 |
KR100877100B1 (ko) * | 2007-04-16 | 2009-01-09 | 주식회사 하이닉스반도체 | 비휘발성 메모리 소자 제조 방법 |
US20080272421A1 (en) * | 2007-05-02 | 2008-11-06 | Micron Technology, Inc. | Methods, constructions, and devices including tantalum oxide layers |
US20080274615A1 (en) * | 2007-05-02 | 2008-11-06 | Vaartstra Brian A | Atomic Layer Deposition Methods, Methods of Forming Dielectric Materials, Methods of Forming Capacitors, And Methods of Forming DRAM Unit Cells |
DE112008001372T5 (de) * | 2007-05-22 | 2010-04-15 | National University Corporation Nagaoka University of Technology, Nagaoka-shi | Verfahren und Vorrichtung zum Bilden eines Metalloxid-Dünnfilms |
US7942969B2 (en) | 2007-05-30 | 2011-05-17 | Applied Materials, Inc. | Substrate cleaning chamber and components |
KR101217778B1 (ko) * | 2007-06-08 | 2013-01-02 | 도쿄엘렉트론가부시키가이샤 | 패터닝 방법 |
US20080317973A1 (en) * | 2007-06-22 | 2008-12-25 | White John M | Diffuser support |
US7790628B2 (en) | 2007-08-16 | 2010-09-07 | Tokyo Electron Limited | Method of forming high dielectric constant films using a plurality of oxidation sources |
DE102008026001B4 (de) * | 2007-09-04 | 2012-02-16 | Von Ardenne Anlagentechnik Gmbh | Verfahren und Vorrichtung zur Erzeugung und Bearbeitung von Schichten auf Substraten unter definierter Prozessatmosphäre und Heizelement |
KR101046520B1 (ko) * | 2007-09-07 | 2011-07-04 | 어플라이드 머티어리얼스, 인코포레이티드 | 내부 챔버 상의 부산물 막 증착을 제어하기 위한 pecvd 시스템에서의 소스 가스 흐름 경로 제어 |
WO2009034898A1 (ja) * | 2007-09-12 | 2009-03-19 | Tokyo Electron Limited | 成膜装置及び成膜方法 |
JP5347294B2 (ja) | 2007-09-12 | 2013-11-20 | 東京エレクトロン株式会社 | 成膜装置、成膜方法及び記憶媒体 |
US7678298B2 (en) | 2007-09-25 | 2010-03-16 | Applied Materials, Inc. | Tantalum carbide nitride materials by vapor deposition processes |
US20090081360A1 (en) * | 2007-09-26 | 2009-03-26 | Fedorovskaya Elena A | Oled display encapsulation with the optical property |
US20090081356A1 (en) * | 2007-09-26 | 2009-03-26 | Fedorovskaya Elena A | Process for forming thin film encapsulation layers |
US8182608B2 (en) * | 2007-09-26 | 2012-05-22 | Eastman Kodak Company | Deposition system for thin film formation |
US7824743B2 (en) | 2007-09-28 | 2010-11-02 | Applied Materials, Inc. | Deposition processes for titanium nitride barrier and aluminum |
CN101802254B (zh) * | 2007-10-11 | 2013-11-27 | 瓦伦斯处理设备公司 | 化学气相沉积反应器 |
KR101544198B1 (ko) * | 2007-10-17 | 2015-08-12 | 한국에이에스엠지니텍 주식회사 | 루테늄 막 형성 방법 |
US20090130414A1 (en) * | 2007-11-08 | 2009-05-21 | Air Products And Chemicals, Inc. | Preparation of A Metal-containing Film Via ALD or CVD Processes |
US8993051B2 (en) | 2007-12-12 | 2015-03-31 | Technische Universiteit Delft | Method for covering particles, especially a battery electrode material particles, and particles obtained with such method and a battery comprising such particle |
US8012532B2 (en) | 2007-12-18 | 2011-09-06 | Micron Technology, Inc. | Methods of making crystalline tantalum pentoxide |
JP5587205B2 (ja) * | 2007-12-20 | 2014-09-10 | ソイテック | エピタキシャル成長基板に前駆体ガスを送出するための装置 |
US8016945B2 (en) * | 2007-12-21 | 2011-09-13 | Applied Materials, Inc. | Hafnium oxide ALD process |
US8501637B2 (en) * | 2007-12-21 | 2013-08-06 | Asm International N.V. | Silicon dioxide thin films by ALD |
US7964515B2 (en) | 2007-12-21 | 2011-06-21 | Tokyo Electron Limited | Method of forming high-dielectric constant films for semiconductor devices |
JP5408819B2 (ja) * | 2008-01-29 | 2014-02-05 | 国立大学法人長岡技術科学大学 | 堆積装置および堆積方法 |
US20090197424A1 (en) * | 2008-01-31 | 2009-08-06 | Hitachi Kokusai Electric Inc. | Substrate processing apparatus and method for manufacturing semiconductor device |
US7799674B2 (en) | 2008-02-19 | 2010-09-21 | Asm Japan K.K. | Ruthenium alloy film for copper interconnects |
EP2257561B1 (en) | 2008-02-27 | 2017-11-08 | L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude | Method for forming a titanium-containing layer on a substrate using an atomic layer deposition (ald) process |
CN101960564B (zh) * | 2008-03-17 | 2012-11-21 | 应用材料公司 | 用于安瓿的加热阀歧管 |
JP2009239082A (ja) * | 2008-03-27 | 2009-10-15 | Tokyo Electron Ltd | ガス供給装置、処理装置及び処理方法 |
US7871942B2 (en) * | 2008-03-27 | 2011-01-18 | Applied Materials, Inc. | Methods for manufacturing high dielectric constant film |
US7816278B2 (en) | 2008-03-28 | 2010-10-19 | Tokyo Electron Limited | In-situ hybrid deposition of high dielectric constant films using atomic layer deposition and chemical vapor deposition |
US7659158B2 (en) | 2008-03-31 | 2010-02-09 | Applied Materials, Inc. | Atomic layer deposition processes for non-volatile memory devices |
US20090255582A1 (en) * | 2008-04-10 | 2009-10-15 | Epv Solar, Inc. | Methods of drying glass for photovoltaic applications |
KR101540077B1 (ko) | 2008-04-16 | 2015-07-28 | 에이에스엠 아메리카, 인코포레이티드 | 알루미늄 탄화수소 화합물들을 이용한 금속 카바이드 막들의 원자층 증착법 |
US8741062B2 (en) * | 2008-04-22 | 2014-06-03 | Picosun Oy | Apparatus and methods for deposition reactors |
JP5718808B2 (ja) | 2008-04-25 | 2015-05-13 | エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. | テルルおよびセレン薄膜のaldのための前駆体の合成および使用 |
US8076237B2 (en) * | 2008-05-09 | 2011-12-13 | Asm America, Inc. | Method and apparatus for 3D interconnect |
TWI467045B (zh) * | 2008-05-23 | 2015-01-01 | Sigma Aldrich Co | 高介電常數電介質薄膜與使用鈰基前驅物製造高介電常數電介質薄膜之方法 |
TW200949939A (en) * | 2008-05-23 | 2009-12-01 | Sigma Aldrich Co | High-k dielectric films and methods of producing using titanium-based β -diketonate precursors |
US8208241B2 (en) | 2008-06-04 | 2012-06-26 | Micron Technology, Inc. | Crystallographically orientated tantalum pentoxide and methods of making same |
US10566169B1 (en) | 2008-06-30 | 2020-02-18 | Nexgen Semi Holding, Inc. | Method and device for spatial charged particle bunching |
US8291857B2 (en) * | 2008-07-03 | 2012-10-23 | Applied Materials, Inc. | Apparatuses and methods for atomic layer deposition |
JP5209395B2 (ja) * | 2008-07-25 | 2013-06-12 | 大陽日酸株式会社 | 気相成長装置 |
US8187381B2 (en) * | 2008-08-22 | 2012-05-29 | Applied Materials, Inc. | Process gas delivery for semiconductor process chamber |
US8084104B2 (en) | 2008-08-29 | 2011-12-27 | Asm Japan K.K. | Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition |
US20100062149A1 (en) | 2008-09-08 | 2010-03-11 | Applied Materials, Inc. | Method for tuning a deposition rate during an atomic layer deposition process |
US8491967B2 (en) | 2008-09-08 | 2013-07-23 | Applied Materials, Inc. | In-situ chamber treatment and deposition process |
JP2010084157A (ja) * | 2008-09-29 | 2010-04-15 | Tokyo Electron Ltd | ガス導入機構及び成膜装置 |
US8133555B2 (en) | 2008-10-14 | 2012-03-13 | Asm Japan K.K. | Method for forming metal film by ALD using beta-diketone metal complex |
US7820506B2 (en) * | 2008-10-15 | 2010-10-26 | Micron Technology, Inc. | Capacitors, dielectric structures, and methods of forming dielectric structures |
JP5665289B2 (ja) | 2008-10-29 | 2015-02-04 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理方法および基板処理装置 |
JP5797255B2 (ja) * | 2008-10-29 | 2015-10-21 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理方法および基板処理装置 |
US9175388B2 (en) * | 2008-11-01 | 2015-11-03 | Ultratech, Inc. | Reaction chamber with removable liner |
US9328417B2 (en) * | 2008-11-01 | 2016-05-03 | Ultratech, Inc. | System and method for thin film deposition |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
JP5400795B2 (ja) * | 2008-11-21 | 2014-01-29 | 国立大学法人長岡技術科学大学 | 基板処理方法及び基板処理装置 |
US9379011B2 (en) | 2008-12-19 | 2016-06-28 | Asm International N.V. | Methods for depositing nickel films and for making nickel silicide and nickel germanide |
FI123539B (fi) * | 2009-02-09 | 2013-06-28 | Beneq Oy | ALD-reaktori, menetelmä ALD-reaktorin lataamiseksi ja tuotantolinja |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
SG10201401671SA (en) * | 2009-04-21 | 2014-07-30 | Applied Materials Inc | Cvd apparatus for improved film thickness non-uniformity and particle performance |
US8071452B2 (en) * | 2009-04-27 | 2011-12-06 | Asm America, Inc. | Atomic layer deposition of hafnium lanthanum oxides |
WO2010144730A2 (en) * | 2009-06-10 | 2010-12-16 | Nexgen Semi Holding, Inc. | Apparatus and method for manufacturing multi-component oxide heterostructures |
US8507389B2 (en) | 2009-07-17 | 2013-08-13 | Applied Materials, Inc. | Methods for forming dielectric layers |
JP5359642B2 (ja) * | 2009-07-22 | 2013-12-04 | 東京エレクトロン株式会社 | 成膜方法 |
US8329569B2 (en) | 2009-07-31 | 2012-12-11 | Asm America, Inc. | Deposition of ruthenium or ruthenium dioxide |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US8877655B2 (en) | 2010-05-07 | 2014-11-04 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US8883270B2 (en) | 2009-08-14 | 2014-11-11 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species |
CN101994087B (zh) * | 2009-08-14 | 2013-04-24 | 鸿富锦精密工业(深圳)有限公司 | 蒸镀装置 |
US9117773B2 (en) * | 2009-08-26 | 2015-08-25 | Asm America, Inc. | High concentration water pulses for atomic layer deposition |
US20110065287A1 (en) * | 2009-09-11 | 2011-03-17 | Tokyo Electron Limited | Pulsed chemical vapor deposition of metal-silicon-containing films |
US8278224B1 (en) | 2009-09-24 | 2012-10-02 | Novellus Systems, Inc. | Flowable oxide deposition using rapid delivery of process gases |
JP5797790B2 (ja) * | 2009-09-30 | 2015-10-21 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理方法および基板処理装置 |
JP5467007B2 (ja) * | 2009-09-30 | 2014-04-09 | 株式会社日立国際電気 | 半導体装置の製造方法および基板処理装置 |
US20110256314A1 (en) * | 2009-10-23 | 2011-10-20 | Air Products And Chemicals, Inc. | Methods for deposition of group 4 metal containing films |
CN102687243B (zh) | 2009-10-26 | 2016-05-11 | Asm国际公司 | 用于含va族元素的薄膜ald的前体的合成和使用 |
KR101584100B1 (ko) * | 2009-10-29 | 2016-01-13 | 삼성전자주식회사 | 금속 실리케이트 막의 형성 방법 및 이를 이용한 반도체 소자의 형성 방법 |
EP2499274B1 (en) | 2009-11-09 | 2016-04-20 | L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude | Deposition methods using hafnium-containing compounds |
JP5813303B2 (ja) | 2009-11-20 | 2015-11-17 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理方法および基板処理装置 |
JP5770892B2 (ja) * | 2009-11-20 | 2015-08-26 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理方法および基板処理装置 |
US8592294B2 (en) * | 2010-02-22 | 2013-11-26 | Asm International N.V. | High temperature atomic layer deposition of dielectric oxides |
US20110293830A1 (en) | 2010-02-25 | 2011-12-01 | Timo Hatanpaa | Precursors and methods for atomic layer deposition of transition metal oxides |
SG10201501824XA (en) * | 2010-03-12 | 2015-05-28 | Applied Materials Inc | Atomic layer deposition chamber with multi inject |
US8012859B1 (en) * | 2010-03-31 | 2011-09-06 | Tokyo Electron Limited | Atomic layer deposition of silicon and silicon-containing films |
US20110265883A1 (en) * | 2010-04-30 | 2011-11-03 | Applied Materials, Inc. | Methods and apparatus for reducing flow splitting errors using orifice ratio conductance control |
KR101232904B1 (ko) * | 2010-09-06 | 2013-02-13 | 엘아이지에이디피 주식회사 | 화학기상 증착장치 및 화학기상 증착장치의 세정방법 |
US9348339B2 (en) | 2010-09-29 | 2016-05-24 | Mks Instruments, Inc. | Method and apparatus for multiple-channel pulse gas delivery system |
US8997686B2 (en) | 2010-09-29 | 2015-04-07 | Mks Instruments, Inc. | System for and method of fast pulse gas delivery |
CN102453883A (zh) * | 2010-10-19 | 2012-05-16 | 英作纳米科技(北京)有限公司 | 兼具阻隔作用及良好生物相容性的内壁涂层的制备方法 |
JP5544343B2 (ja) * | 2010-10-29 | 2014-07-09 | 東京エレクトロン株式会社 | 成膜装置 |
US9719169B2 (en) | 2010-12-20 | 2017-08-01 | Novellus Systems, Inc. | System and apparatus for flowable deposition in semiconductor fabrication |
US20120201959A1 (en) * | 2011-02-04 | 2012-08-09 | Applied Materials, Inc. | In-Situ Hydroxylation System |
CN103476965B (zh) * | 2011-02-07 | 2016-03-23 | 乔治洛德方法研究和开发液化空气有限公司 | 由铝和硅前体沉积Al2O3/SiO2叠层的方法 |
US10353408B2 (en) | 2011-02-25 | 2019-07-16 | Mks Instruments, Inc. | System for and method of fast pulse gas delivery |
US10031531B2 (en) | 2011-02-25 | 2018-07-24 | Mks Instruments, Inc. | System for and method of multiple channel fast pulse gas delivery |
US10126760B2 (en) | 2011-02-25 | 2018-11-13 | Mks Instruments, Inc. | System for and method of fast pulse gas delivery |
KR102111702B1 (ko) | 2011-04-07 | 2020-05-15 | 피코순 오와이 | 플라즈마 소오스를 갖는 원자층 퇴적 |
CN103459660B (zh) * | 2011-04-07 | 2016-01-06 | 皮考逊公司 | 具有等离子体源的沉积反应器 |
US9695510B2 (en) * | 2011-04-21 | 2017-07-04 | Kurt J. Lesker Company | Atomic layer deposition apparatus and process |
US8871617B2 (en) | 2011-04-22 | 2014-10-28 | Asm Ip Holding B.V. | Deposition and reduction of mixed metal oxide thin films |
JP5660205B2 (ja) * | 2011-04-25 | 2015-01-28 | 東京エレクトロン株式会社 | 成膜方法 |
KR101895398B1 (ko) * | 2011-04-28 | 2018-10-25 | 삼성전자 주식회사 | 산화물 층의 형성 방법 및 이를 포함하는 반도체 소자의 제조 방법 |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US20130017317A1 (en) * | 2011-07-13 | 2013-01-17 | Ring Kenneth M | Load lock control method and apparatus |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US20130025786A1 (en) | 2011-07-28 | 2013-01-31 | Vladislav Davidkovich | Systems for and methods of controlling time-multiplexed deep reactive-ion etching processes |
US9448564B2 (en) | 2013-02-15 | 2016-09-20 | Reno Technologies, Inc. | Gas delivery system for outputting fast square waves of process gas during semiconductor processing |
US9188989B1 (en) | 2011-08-20 | 2015-11-17 | Daniel T. Mudd | Flow node to deliver process gas using a remote pressure measurement device |
US9958302B2 (en) | 2011-08-20 | 2018-05-01 | Reno Technologies, Inc. | Flow control system, method, and apparatus |
US9690301B2 (en) | 2012-09-10 | 2017-06-27 | Reno Technologies, Inc. | Pressure based mass flow controller |
CN102312217B (zh) * | 2011-09-06 | 2013-04-17 | 中国科学院长春光学精密机械与物理研究所 | 采用复合模式生长半导体薄膜的方法及装置 |
US9062390B2 (en) | 2011-09-12 | 2015-06-23 | Asm International N.V. | Crystalline strontium titanate and methods of forming the same |
US8759234B2 (en) | 2011-10-17 | 2014-06-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Deposited material and method of formation |
US9109754B2 (en) * | 2011-10-19 | 2015-08-18 | Applied Materials, Inc. | Apparatus and method for providing uniform flow of gas |
US9096931B2 (en) | 2011-10-27 | 2015-08-04 | Asm America, Inc | Deposition valve assembly and method of heating the same |
US9341296B2 (en) | 2011-10-27 | 2016-05-17 | Asm America, Inc. | Heater jacket for a fluid line |
US9574268B1 (en) * | 2011-10-28 | 2017-02-21 | Asm America, Inc. | Pulsed valve manifold for atomic layer deposition |
US9017481B1 (en) * | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
JP5829196B2 (ja) * | 2011-10-28 | 2015-12-09 | 東京エレクトロン株式会社 | シリコン酸化物膜の成膜方法 |
JP2013110161A (ja) * | 2011-11-17 | 2013-06-06 | National Institute Of Advanced Industrial & Technology | 素子形成用基板及びその製造方法 |
US8679988B2 (en) | 2011-11-22 | 2014-03-25 | Intermolecular, Inc. | Plasma processing of metal oxide films for resistive memory device applications |
US9167625B2 (en) | 2011-11-23 | 2015-10-20 | Asm Ip Holding B.V. | Radiation shielding for a substrate holder |
US9005539B2 (en) | 2011-11-23 | 2015-04-14 | Asm Ip Holding B.V. | Chamber sealing member |
US8741698B2 (en) | 2011-11-29 | 2014-06-03 | Intermolecular, Inc. | Atomic layer deposition of zirconium oxide for forming resistive-switching materials |
US9388492B2 (en) | 2011-12-27 | 2016-07-12 | Asm America, Inc. | Vapor flow control apparatus for atomic layer deposition |
US8569104B2 (en) * | 2012-02-07 | 2013-10-29 | Intermolecular, Inc. | Transition metal oxide bilayers |
US9202727B2 (en) | 2012-03-02 | 2015-12-01 | ASM IP Holding | Susceptor heater shim |
US8846536B2 (en) | 2012-03-05 | 2014-09-30 | Novellus Systems, Inc. | Flowable oxide film with tunable wet etch rate |
US9514935B2 (en) | 2012-03-28 | 2016-12-06 | Hitachi Kokusai Electric Inc. | Method of manufacturing semiconductor device, method of processing substrate, substrate processing apparatus, and program |
US8658490B2 (en) * | 2012-04-04 | 2014-02-25 | Globalfoundries Inc. | Passivating point defects in high-K gate dielectric layers during gate stack formation |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
US9460912B2 (en) | 2012-04-12 | 2016-10-04 | Air Products And Chemicals, Inc. | High temperature atomic layer deposition of silicon oxide thin films |
TWI622664B (zh) | 2012-05-02 | 2018-05-01 | Asm智慧財產控股公司 | 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法 |
US8728832B2 (en) | 2012-05-07 | 2014-05-20 | Asm Ip Holdings B.V. | Semiconductor device dielectric interface layer |
US8921176B2 (en) | 2012-06-11 | 2014-12-30 | Freescale Semiconductor, Inc. | Modified high-K gate dielectric stack |
US8933375B2 (en) | 2012-06-27 | 2015-01-13 | Asm Ip Holding B.V. | Susceptor heater and method of heating a substrate |
US10232324B2 (en) * | 2012-07-12 | 2019-03-19 | Applied Materials, Inc. | Gas mixing apparatus |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9117866B2 (en) | 2012-07-31 | 2015-08-25 | Asm Ip Holding B.V. | Apparatus and method for calculating a wafer position in a processing chamber under process conditions |
EP2695966B1 (en) | 2012-08-06 | 2018-10-03 | IMEC vzw | ALD method |
US9169975B2 (en) | 2012-08-28 | 2015-10-27 | Asm Ip Holding B.V. | Systems and methods for mass flow controller verification |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US9324811B2 (en) | 2012-09-26 | 2016-04-26 | Asm Ip Holding B.V. | Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
KR101469713B1 (ko) * | 2012-12-06 | 2014-12-05 | 연세대학교 산학협력단 | 경사형 C/SiC 코팅막 형성 방법 및 장치 |
JP6087609B2 (ja) * | 2012-12-11 | 2017-03-01 | 東京エレクトロン株式会社 | 金属化合物膜の成膜方法、成膜装置、および電子製品の製造方法 |
US9040413B2 (en) | 2012-12-13 | 2015-05-26 | Intermolecular, Inc. | Using saturated and unsaturated ALD processes to deposit oxides as ReRAM switching layer |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
US20140183051A1 (en) * | 2013-01-02 | 2014-07-03 | International Business Machines Corporation | Deposition of pure metals in 3d structures |
US9018108B2 (en) | 2013-01-25 | 2015-04-28 | Applied Materials, Inc. | Low shrinkage dielectric films |
US8894870B2 (en) | 2013-02-01 | 2014-11-25 | Asm Ip Holding B.V. | Multi-step method and apparatus for etching compounds containing a metal |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
WO2014164742A1 (en) * | 2013-03-11 | 2014-10-09 | Intermolecular, Inc | Atomic layer deposition of hfaic as a metal gate workfunction material in mos devices |
US9607904B2 (en) | 2013-03-11 | 2017-03-28 | Intermolecular, Inc. | Atomic layer deposition of HfAlC as a metal gate workfunction material in MOS devices |
US9412602B2 (en) | 2013-03-13 | 2016-08-09 | Asm Ip Holding B.V. | Deposition of smooth metal nitride films |
US8841182B1 (en) | 2013-03-14 | 2014-09-23 | Asm Ip Holding B.V. | Silane and borane treatments for titanium carbide films |
US8846550B1 (en) | 2013-03-14 | 2014-09-30 | Asm Ip Holding B.V. | Silane or borane treatment of metal thin films |
KR101451244B1 (ko) * | 2013-03-22 | 2014-10-15 | 참엔지니어링(주) | 라이너 어셈블리 및 이를 구비하는 기판 처리 장치 |
US9343749B2 (en) * | 2013-05-29 | 2016-05-17 | Ford Global Technologies, Llc | Ultrathin platinum films |
JP2015012179A (ja) * | 2013-06-28 | 2015-01-19 | 住友電気工業株式会社 | 気相成長方法 |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9275853B2 (en) * | 2013-07-29 | 2016-03-01 | Applied Materials, Inc. | Method of adjusting a transistor gate flat band voltage with addition of AL203 on nitrided silicon channel |
US20150041062A1 (en) * | 2013-08-12 | 2015-02-12 | Lam Research Corporation | Plasma processing chamber with removable body |
US9396934B2 (en) | 2013-08-14 | 2016-07-19 | Asm Ip Holding B.V. | Methods of forming films including germanium tin and structures and devices including the films |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US20150064361A1 (en) * | 2013-09-04 | 2015-03-05 | Intermolecular Inc. | UV treatment for ALD film densification |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
JP5847783B2 (ja) | 2013-10-21 | 2016-01-27 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体 |
US9847222B2 (en) | 2013-10-25 | 2017-12-19 | Lam Research Corporation | Treatment for flowable dielectric deposition on substrate surfaces |
US9605343B2 (en) | 2013-11-13 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming conformal carbon films, structures conformal carbon film, and system of forming same |
US9224594B2 (en) * | 2013-11-18 | 2015-12-29 | Intermolecular, Inc. | Surface preparation with remote plasma |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
US9657397B2 (en) * | 2013-12-31 | 2017-05-23 | Lam Research Ag | Apparatus for treating surfaces of wafer-shaped articles |
US9597701B2 (en) * | 2013-12-31 | 2017-03-21 | Lam Research Ag | Apparatus for treating surfaces of wafer-shaped articles |
US9394609B2 (en) | 2014-02-13 | 2016-07-19 | Asm Ip Holding B.V. | Atomic layer deposition of aluminum fluoride thin films |
KR102195139B1 (ko) | 2014-02-20 | 2020-12-24 | 삼성전자주식회사 | 반도체 장치의 제조 방법 |
US10683571B2 (en) * | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US10643925B2 (en) | 2014-04-17 | 2020-05-05 | Asm Ip Holding B.V. | Fluorine-containing conductive films |
US9404587B2 (en) | 2014-04-24 | 2016-08-02 | ASM IP Holding B.V | Lockout tagout for semiconductor vacuum valve |
US20150345019A1 (en) * | 2014-05-30 | 2015-12-03 | Applied Materials, Inc. | Method and apparatus for improving gas flow in a substrate processing chamber |
CN105448674B (zh) * | 2014-06-11 | 2018-12-21 | 清华大学 | N型半导体层以及n型薄膜晶体管的制备方法 |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
JP6359913B2 (ja) * | 2014-08-12 | 2018-07-18 | 東京エレクトロン株式会社 | 処理装置 |
US10049921B2 (en) | 2014-08-20 | 2018-08-14 | Lam Research Corporation | Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US20160052651A1 (en) * | 2014-08-22 | 2016-02-25 | Lam Research Corporation | Fill on demand ampoule |
US10094018B2 (en) | 2014-10-16 | 2018-10-09 | Lam Research Corporation | Dynamic precursor dosing for atomic layer deposition |
US11970772B2 (en) | 2014-08-22 | 2024-04-30 | Lam Research Corporation | Dynamic precursor dosing for atomic layer deposition |
US11072860B2 (en) | 2014-08-22 | 2021-07-27 | Lam Research Corporation | Fill on demand ampoule refill |
US10407771B2 (en) * | 2014-10-06 | 2019-09-10 | Applied Materials, Inc. | Atomic layer deposition chamber with thermal lid |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US10002936B2 (en) | 2014-10-23 | 2018-06-19 | Asm Ip Holding B.V. | Titanium aluminum and tantalum aluminum thin films |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10100407B2 (en) * | 2014-12-19 | 2018-10-16 | Lam Research Corporation | Hardware and process for film uniformity improvement |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US9499571B2 (en) | 2014-12-23 | 2016-11-22 | L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude | Germanium- and zirconium-containing compositions for vapor deposition of zirconium-containing films |
US9663547B2 (en) | 2014-12-23 | 2017-05-30 | L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude | Silicon- and Zirconium-containing compositions for vapor deposition of Zirconium-containing films |
TW201634738A (zh) * | 2015-01-22 | 2016-10-01 | 應用材料股份有限公司 | 用於在空間上分離之原子層沉積腔室的經改良注射器 |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US11384432B2 (en) * | 2015-04-22 | 2022-07-12 | Applied Materials, Inc. | Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate |
US9330902B1 (en) | 2015-06-04 | 2016-05-03 | United Microelectronics Corp. | Method for forming HfOx film based on atomic layer deposition (ALD) process |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US9613870B2 (en) | 2015-06-30 | 2017-04-04 | International Business Machines Corporation | Gate stack formed with interrupted deposition processes and laser annealing |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
JP6457104B2 (ja) | 2015-09-29 | 2019-01-23 | 株式会社Kokusai Electric | 基板処理装置、半導体装置の製造方法及びプログラム |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US9607842B1 (en) | 2015-10-02 | 2017-03-28 | Asm Ip Holding B.V. | Methods of forming metal silicides |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US9941425B2 (en) | 2015-10-16 | 2018-04-10 | Asm Ip Holdings B.V. | Photoactive devices and materials |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9786491B2 (en) | 2015-11-12 | 2017-10-10 | Asm Ip Holding B.V. | Formation of SiOCN thin films |
US9786492B2 (en) | 2015-11-12 | 2017-10-10 | Asm Ip Holding B.V. | Formation of SiOCN thin films |
US10388546B2 (en) | 2015-11-16 | 2019-08-20 | Lam Research Corporation | Apparatus for UV flowable dielectric |
US9916977B2 (en) | 2015-11-16 | 2018-03-13 | Lam Research Corporation | Low k dielectric deposition via UV driven photopolymerization |
JP2016034043A (ja) * | 2015-11-25 | 2016-03-10 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体 |
US9905420B2 (en) | 2015-12-01 | 2018-02-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium tin films and structures and devices including the films |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US20190032212A1 (en) * | 2016-02-10 | 2019-01-31 | Beneq Oy | An apparatus for atomic layer deposition |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
WO2017151639A1 (en) | 2016-03-03 | 2017-09-08 | Applied Materials, Inc. | Improved self-assembled monolayer blocking with intermittent air-water exposure |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
KR102206515B1 (ko) * | 2016-03-25 | 2021-01-22 | 어플라이드 머티어리얼스, 인코포레이티드 | 고온 프로세싱을 위한 챔버 라이너 |
KR20220165790A (ko) * | 2016-03-29 | 2022-12-15 | 가부시키가이샤 코쿠사이 엘렉트릭 | 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램 |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
KR20180128515A (ko) | 2016-04-25 | 2018-12-03 | 어플라이드 머티어리얼스, 인코포레이티드 | 자기-조립 단분자층 프로세스들을 위한 화학물질 전달 챔버 |
US10651080B2 (en) | 2016-04-26 | 2020-05-12 | Lam Research Corporation | Oxidizing treatment of aluminum nitride films in semiconductor device manufacturing |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US11837479B2 (en) | 2016-05-05 | 2023-12-05 | Applied Materials, Inc. | Advanced temperature control for wafer carrier in plasma processing chamber |
KR102378021B1 (ko) | 2016-05-06 | 2022-03-23 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 박막의 형성 |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10662527B2 (en) | 2016-06-01 | 2020-05-26 | Asm Ip Holding B.V. | Manifolds for uniform vapor deposition |
US10358715B2 (en) | 2016-06-03 | 2019-07-23 | Applied Materials, Inc. | Integrated cluster tool for selective area deposition |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US11144075B2 (en) | 2016-06-30 | 2021-10-12 | Ichor Systems, Inc. | Flow control system, method, and apparatus |
US10679880B2 (en) | 2016-09-27 | 2020-06-09 | Ichor Systems, Inc. | Method of achieving improved transient response in apparatus for controlling flow and system for accomplishing same |
US10838437B2 (en) | 2018-02-22 | 2020-11-17 | Ichor Systems, Inc. | Apparatus for splitting flow of process gas and method of operating same |
US10303189B2 (en) | 2016-06-30 | 2019-05-28 | Reno Technologies, Inc. | Flow control system, method, and apparatus |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10403474B2 (en) | 2016-07-11 | 2019-09-03 | Lam Research Corporation | Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
WO2018052476A1 (en) | 2016-09-14 | 2018-03-22 | Applied Materials, Inc. | Steam oxidation initiation for high aspect ratio conformal radical oxidation |
US10049869B2 (en) * | 2016-09-30 | 2018-08-14 | Lam Research Corporation | Composite dielectric interface layers for interconnect structures |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10106568B2 (en) | 2016-10-28 | 2018-10-23 | L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude | Hafnium-containing film forming compositions for vapor deposition of hafnium-containing films |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
US9859153B1 (en) | 2016-11-14 | 2018-01-02 | Lam Research Corporation | Deposition of aluminum oxide etch stop layers |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
US10186420B2 (en) | 2016-11-29 | 2019-01-22 | Asm Ip Holding B.V. | Formation of silicon-containing thin films |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10663337B2 (en) | 2016-12-30 | 2020-05-26 | Ichor Systems, Inc. | Apparatus for controlling flow and method of calibrating same |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
JP6640781B2 (ja) * | 2017-03-23 | 2020-02-05 | キオクシア株式会社 | 半導体製造装置 |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD876504S1 (en) | 2017-04-03 | 2020-02-25 | Asm Ip Holding B.V. | Exhaust flow control ring for semiconductor deposition apparatus |
GB2561190A (en) * | 2017-04-04 | 2018-10-10 | Edwards Ltd | Purge gas feeding means, abatement systems and methods of modifying abatement systems |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
US10847529B2 (en) | 2017-04-13 | 2020-11-24 | Asm Ip Holding B.V. | Substrate processing method and device manufactured by the same |
CN107022753B (zh) * | 2017-04-19 | 2019-09-27 | 同济大学 | 一种原子层沉积反应装置及通孔材料表面薄膜沉积工艺 |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10504901B2 (en) | 2017-04-26 | 2019-12-10 | Asm Ip Holding B.V. | Substrate processing method and device manufactured using the same |
JP7249952B2 (ja) | 2017-05-05 | 2023-03-31 | エーエスエム アイピー ホールディング ビー.ブイ. | 酸素含有薄膜の制御された形成のためのプラズマ増強堆積プロセス |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US20190006586A1 (en) * | 2017-06-29 | 2019-01-03 | Asm Ip Holding B.V. | Chalcogenide films for selector devices |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
KR102655135B1 (ko) | 2017-08-11 | 2024-04-04 | 도쿄엘렉트론가부시키가이샤 | 할로겐 불활성화를 사용하는 선택적 막 증착 |
US20190057860A1 (en) * | 2017-08-18 | 2019-02-21 | Lam Research Corporation | Methods for improving performance in hafnium oxide-based ferroelectric material using plasma and/or thermal treatment |
US10276411B2 (en) | 2017-08-18 | 2019-04-30 | Applied Materials, Inc. | High pressure and high temperature anneal chamber |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TWI635539B (zh) * | 2017-09-15 | 2018-09-11 | 金巨達國際股份有限公司 | 高介電常數介電層、其製造方法及執行該方法之多功能設備 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
CN111066124A (zh) * | 2017-09-25 | 2020-04-24 | 株式会社国际电气 | 半导体装置的制造方法、基板处理装置及程序 |
KR102385386B1 (ko) | 2017-09-26 | 2022-04-11 | 어플라이드 머티어리얼스, 인코포레이티드 | 더 양호한 바이오센서 성능을 위한 자연 산화물 제거 및 유전체 산화물들의 재성장을 위한 방법, 물질들 및 프로세스 |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
CN111344522B (zh) | 2017-11-27 | 2022-04-12 | 阿斯莫Ip控股公司 | 包括洁净迷你环境的装置 |
KR102597978B1 (ko) | 2017-11-27 | 2023-11-06 | 에이에스엠 아이피 홀딩 비.브이. | 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치 |
DE102018124675A1 (de) | 2017-11-30 | 2019-06-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Glühen von Film bei unterschiedlichen Temperaturen und dadurch ausgebildete Strukturen |
US10748760B2 (en) * | 2017-11-30 | 2020-08-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Varying temperature anneal for film and structures formed thereby |
TWI761636B (zh) | 2017-12-04 | 2022-04-21 | 荷蘭商Asm Ip控股公司 | 電漿增強型原子層沉積製程及沉積碳氧化矽薄膜的方法 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US11685991B2 (en) | 2018-02-14 | 2023-06-27 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
SG11202008268RA (en) | 2018-03-19 | 2020-10-29 | Applied Materials Inc | Methods for depositing coatings on aerospace components |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
KR102556277B1 (ko) | 2018-04-23 | 2023-07-17 | 삼성디스플레이 주식회사 | 성막 장치 및 성막 방법 |
US11015252B2 (en) | 2018-04-27 | 2021-05-25 | Applied Materials, Inc. | Protection of components from corrosion |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
TWI811348B (zh) | 2018-05-08 | 2023-08-11 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
TWI838267B (zh) * | 2018-06-21 | 2024-04-01 | 美商應用材料股份有限公司 | 薄膜及沉積薄膜的方法 |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US20190390341A1 (en) * | 2018-06-26 | 2019-12-26 | Lam Research Corporation | Deposition tool and method for depositing metal oxide films on organic materials |
JP2021529254A (ja) | 2018-06-27 | 2021-10-28 | エーエスエム・アイピー・ホールディング・ベー・フェー | 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法 |
TWI815915B (zh) | 2018-06-27 | 2023-09-21 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法 |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11009339B2 (en) | 2018-08-23 | 2021-05-18 | Applied Materials, Inc. | Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
KR20200038184A (ko) | 2018-10-01 | 2020-04-10 | 에이에스엠 아이피 홀딩 비.브이. | 기판 유지 장치, 장치를 포함하는 시스템, 및 이를 이용하는 방법 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
CN110541159A (zh) * | 2018-11-06 | 2019-12-06 | 北京北方华创微电子装备有限公司 | 原子层沉积设备及方法 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
TW202037745A (zh) | 2018-12-14 | 2020-10-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成裝置結構之方法、其所形成之結構及施行其之系統 |
KR102610827B1 (ko) * | 2018-12-20 | 2023-12-07 | 어플라이드 머티어리얼스, 인코포레이티드 | 개선된 가스 유동을 처리 챔버의 처리 용적에 공급하기 위한 방법 및 장치 |
US11721527B2 (en) * | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
TW202405220A (zh) | 2019-01-17 | 2024-02-01 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
KR20200100928A (ko) * | 2019-02-19 | 2020-08-27 | 현대자동차주식회사 | 분말의 표면 처리 장치 및 이를 이용한 분말의 표면 처리 방법 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
TW202044325A (zh) | 2019-02-20 | 2020-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
US11492701B2 (en) | 2019-03-19 | 2022-11-08 | Asm Ip Holding B.V. | Reactor manifolds |
JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
KR20210143943A (ko) | 2019-04-19 | 2021-11-29 | 램 리써치 코포레이션 | 원자층 증착 동안 급속 플러시 퍼징 |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
WO2020219332A1 (en) | 2019-04-26 | 2020-10-29 | Applied Materials, Inc. | Methods of protecting aerospace components against corrosion and oxidation |
US10872763B2 (en) * | 2019-05-03 | 2020-12-22 | Applied Materials, Inc. | Treatments to enhance material structures |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
US11794382B2 (en) | 2019-05-16 | 2023-10-24 | Applied Materials, Inc. | Methods for depositing anti-coking protective coatings on aerospace components |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
US11697879B2 (en) | 2019-06-14 | 2023-07-11 | Applied Materials, Inc. | Methods for depositing sacrificial coatings on aerospace components |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
KR20210018759A (ko) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 화학물질 공급원 용기를 위한 액체 레벨 센서 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11466364B2 (en) | 2019-09-06 | 2022-10-11 | Applied Materials, Inc. | Methods for forming protective coatings containing crystallized aluminum oxide |
JP6826173B2 (ja) * | 2019-09-17 | 2021-02-03 | 株式会社Kokusai Electric | 半導体装置の製造方法、基板処理装置およびプログラム |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
TWI846966B (zh) | 2019-10-10 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成光阻底層之方法及包括光阻底層之結構 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210048408A (ko) | 2019-10-22 | 2021-05-03 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 증착 반응기 매니폴드 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
JP2021109175A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム |
TW202142733A (zh) | 2020-01-06 | 2021-11-16 | 荷蘭商Asm Ip私人控股有限公司 | 反應器系統、抬升銷、及處理方法 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210117157A (ko) | 2020-03-12 | 2021-09-28 | 에이에스엠 아이피 홀딩 비.브이. | 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11542597B2 (en) | 2020-04-08 | 2023-01-03 | Applied Materials, Inc. | Selective deposition of metal oxide by pulsed chemical vapor deposition |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210132576A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
US11519066B2 (en) | 2020-05-21 | 2022-12-06 | Applied Materials, Inc. | Nitride protective coatings on aerospace components and methods for making the same |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
US11739429B2 (en) | 2020-07-03 | 2023-08-29 | Applied Materials, Inc. | Methods for refurbishing aerospace components |
TW202202649A (zh) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
KR102199999B1 (ko) * | 2020-10-08 | 2021-01-08 | 주식회사 유진테크 머티리얼즈 | 표면 보호 물질을 이용한 박막 형성 방법 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
KR20230107613A (ko) * | 2020-11-19 | 2023-07-17 | 가부시키가이샤 아데카 | 박막의 제조 방법 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
JP2024512898A (ja) | 2021-03-03 | 2024-03-21 | アイコール・システムズ・インク | マニホールドアセンブリを備える流体流れ制御システム |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
US20230005765A1 (en) * | 2021-07-02 | 2023-01-05 | Applied Materials, Inc. | Semiconductor processing chamber adapter |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
CN116288264A (zh) * | 2022-08-29 | 2023-06-23 | 厦门韫茂科技有限公司 | 原子层沉积设备和原子层沉积设备的定温定量输气装置 |
Family Cites Families (478)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6482262B1 (en) | 1959-10-10 | 2002-11-19 | Asm Microchemistry Oy | Deposition of transition metal carbides |
SE393967B (sv) | 1974-11-29 | 1977-05-31 | Sateko Oy | Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket |
DE2811651C3 (de) * | 1978-03-17 | 1980-09-04 | Fa. J. Eberspaecher, 7300 Esslingen | Nachbrennvorrichtung mit Katalysator |
FI57975C (fi) | 1979-02-28 | 1980-11-10 | Lohja Ab Oy | Foerfarande och anordning vid uppbyggande av tunna foereningshinnor |
US4389973A (en) | 1980-03-18 | 1983-06-28 | Oy Lohja Ab | Apparatus for performing growth of compound thin films |
US4415275A (en) | 1981-12-21 | 1983-11-15 | Dietrich David E | Swirl mixing device |
JPS58115130A (ja) * | 1981-12-25 | 1983-07-08 | Toyoda Autom Loom Works Ltd | 仮撚ノズル |
FI64878C (fi) | 1982-05-10 | 1984-01-10 | Lohja Ab Oy | Kombinationsfilm foer isynnerhet tunnfilmelektroluminensstrukturer |
GB2162207B (en) | 1984-07-26 | 1989-05-10 | Japan Res Dev Corp | Semiconductor crystal growth apparatus |
US5294286A (en) * | 1984-07-26 | 1994-03-15 | Research Development Corporation Of Japan | Process for forming a thin film of silicon |
JPS62104038A (ja) | 1985-07-15 | 1987-05-14 | Dainippon Screen Mfg Co Ltd | 水蒸気含有酸素ガス供給装置 |
EP0250603B1 (en) | 1985-12-09 | 1994-07-06 | Nippon Telegraph and Telephone Corporation | Process for forming thin film of compound semiconductor |
US4761269A (en) | 1986-06-12 | 1988-08-02 | Crystal Specialties, Inc. | Apparatus for depositing material on a substrate |
JPH0639357B2 (ja) | 1986-09-08 | 1994-05-25 | 新技術開発事業団 | 元素半導体単結晶薄膜の成長方法 |
JPS63227011A (ja) | 1987-03-17 | 1988-09-21 | Fujitsu Ltd | 化学気相成長装置 |
DE3721637A1 (de) | 1987-06-30 | 1989-01-12 | Aixtron Gmbh | Gaseinlass fuer eine mehrzahl verschiedener reaktionsgase in reaktionsgefaesse |
DE3743938C2 (de) * | 1987-12-23 | 1995-08-31 | Cs Halbleiter Solartech | Verfahren zum Atomschicht-Epitaxie-Aufwachsen einer III/V-Verbindungshalbleiter-Dünnschicht |
DE3801147A1 (de) | 1988-01-16 | 1989-07-27 | Philips Patentverwaltung | Vorrichtung zum erzeugen eines mit dem dampf eines wenig fluechtigen stoffes angereicherten gasstroms |
US5229081A (en) | 1988-02-12 | 1993-07-20 | Regal Joint Co., Ltd. | Apparatus for semiconductor process including photo-excitation process |
FR2628985B1 (fr) * | 1988-03-22 | 1990-12-28 | Labo Electronique Physique | Reacteur d'epitaxie a paroi protegee contre les depots |
US5261959A (en) | 1988-05-26 | 1993-11-16 | General Electric Company | Diamond crystal growth apparatus |
GB8815070D0 (en) * | 1988-06-24 | 1988-08-03 | Univ City | Device for catalytic combination/recombination of hydrogen & oxygen |
JPH0824191B2 (ja) | 1989-03-17 | 1996-03-06 | 富士通株式会社 | 薄膜トランジスタ |
US5225366A (en) * | 1990-06-22 | 1993-07-06 | The United States Of America As Represented By The Secretary Of The Navy | Apparatus for and a method of growing thin films of elemental semiconductors |
JP2637265B2 (ja) | 1990-06-28 | 1997-08-06 | 株式会社東芝 | 窒化珪素膜の形成方法 |
US5483919A (en) * | 1990-08-31 | 1996-01-16 | Nippon Telegraph And Telephone Corporation | Atomic layer epitaxy method and apparatus |
US5178681A (en) * | 1991-01-29 | 1993-01-12 | Applied Materials, Inc. | Suspension system for semiconductor reactors |
JP3140068B2 (ja) * | 1991-01-31 | 2001-03-05 | 東京エレクトロン株式会社 | クリーニング方法 |
JP2764472B2 (ja) * | 1991-03-25 | 1998-06-11 | 東京エレクトロン株式会社 | 半導体の成膜方法 |
US5173327A (en) | 1991-06-18 | 1992-12-22 | Micron Technology, Inc. | LPCVD process for depositing titanium films for semiconductor devices |
DE4124018C1 (ja) | 1991-07-19 | 1992-11-19 | Leybold Ag, 6450 Hanau, De | |
US5216959A (en) | 1991-09-10 | 1993-06-08 | Amada Company, Ltd. | Method and device for press overload protection |
US5480818A (en) * | 1992-02-10 | 1996-01-02 | Fujitsu Limited | Method for forming a film and method for manufacturing a thin film transistor |
US5660744A (en) | 1992-03-26 | 1997-08-26 | Kabushiki Kaisha Toshiba | Plasma generating apparatus and surface processing apparatus |
US5306666A (en) | 1992-07-24 | 1994-04-26 | Nippon Steel Corporation | Process for forming a thin metal film by chemical vapor deposition |
US5338362A (en) | 1992-08-29 | 1994-08-16 | Tokyo Electron Limited | Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments |
US5607009A (en) | 1993-01-28 | 1997-03-04 | Applied Materials, Inc. | Method of heating and cooling large area substrates and apparatus therefor |
JP3265042B2 (ja) | 1993-03-18 | 2002-03-11 | 東京エレクトロン株式会社 | 成膜方法 |
US5443647A (en) | 1993-04-28 | 1995-08-22 | The United States Of America As Represented By The Secretary Of The Army | Method and apparatus for depositing a refractory thin film by chemical vapor deposition |
US5526244A (en) | 1993-05-24 | 1996-06-11 | Bishop; Vernon R. | Overhead luminaire |
US5408818A (en) * | 1993-06-21 | 1995-04-25 | Damron; Matthew S. | Magnetic bar adapter for rakes |
JPH0729897A (ja) | 1993-06-25 | 1995-01-31 | Nec Corp | 半導体装置の製造方法 |
JP3181171B2 (ja) * | 1994-05-20 | 2001-07-03 | シャープ株式会社 | 気相成長装置および気相成長方法 |
US5580421A (en) | 1994-06-14 | 1996-12-03 | Fsi International | Apparatus for surface conditioning |
US5796116A (en) | 1994-07-27 | 1998-08-18 | Sharp Kabushiki Kaisha | Thin-film semiconductor device including a semiconductor film with high field-effect mobility |
FR2726684A1 (fr) * | 1994-11-07 | 1996-05-10 | Sediver | Isolateur electrique et son procede de fabrication |
FI100409B (fi) * | 1994-11-28 | 1997-11-28 | Asm Int | Menetelmä ja laitteisto ohutkalvojen valmistamiseksi |
FI97730C (fi) * | 1994-11-28 | 1997-02-10 | Mikrokemia Oy | Laitteisto ohutkalvojen valmistamiseksi |
FI97731C (fi) * | 1994-11-28 | 1997-02-10 | Mikrokemia Oy | Menetelmä ja laite ohutkalvojen valmistamiseksi |
US5558717A (en) | 1994-11-30 | 1996-09-24 | Applied Materials | CVD Processing chamber |
TW283250B (en) | 1995-07-10 | 1996-08-11 | Watkins Johnson Co | Plasma enhanced chemical processing reactor and method |
KR0167248B1 (ko) | 1995-07-24 | 1999-02-01 | 문정환 | 반도체 기판의 전처리방법 |
US6084302A (en) | 1995-12-26 | 2000-07-04 | Micron Technologies, Inc. | Barrier layer cladding around copper interconnect lines |
US5906683A (en) | 1996-04-16 | 1999-05-25 | Applied Materials, Inc. | Lid assembly for semiconductor processing chamber |
US6313035B1 (en) * | 1996-05-31 | 2001-11-06 | Micron Technology, Inc. | Chemical vapor deposition using organometallic precursors |
US6342277B1 (en) | 1996-08-16 | 2002-01-29 | Licensee For Microelectronics: Asm America, Inc. | Sequential chemical vapor deposition |
US5846332A (en) | 1996-07-12 | 1998-12-08 | Applied Materials, Inc. | Thermally floating pedestal collar in a chemical vapor deposition chamber |
JP3901252B2 (ja) | 1996-08-13 | 2007-04-04 | キヤノンアネルバ株式会社 | 化学蒸着装置 |
US5916365A (en) | 1996-08-16 | 1999-06-29 | Sherman; Arthur | Sequential chemical vapor deposition |
US6001420A (en) | 1996-09-23 | 1999-12-14 | Applied Materials, Inc. | Semi-selective chemical vapor deposition |
US5835677A (en) | 1996-10-03 | 1998-11-10 | Emcore Corporation | Liquid vaporizer system and method |
US5923056A (en) | 1996-10-10 | 1999-07-13 | Lucent Technologies Inc. | Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials |
US6071572A (en) | 1996-10-15 | 2000-06-06 | Applied Materials, Inc. | Forming tin thin films using remote activated specie generation |
US5882411A (en) * | 1996-10-21 | 1999-03-16 | Applied Materials, Inc. | Faceplate thermal choke in a CVD plasma reactor |
US6444037B1 (en) | 1996-11-13 | 2002-09-03 | Applied Materials, Inc. | Chamber liner for high temperature processing chamber |
US5807792A (en) | 1996-12-18 | 1998-09-15 | Siemens Aktiengesellschaft | Uniform distribution of reactants in a device layer |
US6043177A (en) | 1997-01-21 | 2000-03-28 | University Technology Corporation | Modification of zeolite or molecular sieve membranes using atomic layer controlled chemical vapor deposition |
US5879459A (en) * | 1997-08-29 | 1999-03-09 | Genus, Inc. | Vertically-stacked process reactor and cluster tool system for atomic layer deposition |
US6174377B1 (en) * | 1997-03-03 | 2001-01-16 | Genus, Inc. | Processing chamber for atomic layer deposition processes |
JPH10308283A (ja) * | 1997-03-04 | 1998-11-17 | Denso Corp | El素子およびその製造方法 |
TWI250583B (en) * | 1997-03-05 | 2006-03-01 | Hitachi Ltd | Manufacturing method for semiconductor integrated circuit device |
JP3644790B2 (ja) * | 1997-04-28 | 2005-05-11 | 忠弘 大見 | 水分発生用反応炉 |
JPH10306377A (ja) | 1997-05-02 | 1998-11-17 | Tokyo Electron Ltd | 微量ガス供給方法及びその装置 |
TW417249B (en) | 1997-05-14 | 2001-01-01 | Applied Materials Inc | Reliability barrier integration for cu application |
US6156382A (en) | 1997-05-16 | 2000-12-05 | Applied Materials, Inc. | Chemical vapor deposition process for depositing tungsten |
US5820678A (en) * | 1997-05-30 | 1998-10-13 | The Regents Of The University Of California | Solid source MOCVD system |
US6162715A (en) | 1997-06-30 | 2000-12-19 | Applied Materials, Inc. | Method of forming gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride |
US6309713B1 (en) | 1997-06-30 | 2001-10-30 | Applied Materials, Inc. | Deposition of tungsten nitride by plasma enhanced chemical vapor deposition |
FI972874A0 (fi) | 1997-07-04 | 1997-07-04 | Mikrokemia Oy | Foerfarande och anordning foer framstaellning av tunnfilmer |
US6073366A (en) | 1997-07-11 | 2000-06-13 | Asm America, Inc. | Substrate cooling system and method |
US6013553A (en) * | 1997-07-24 | 2000-01-11 | Texas Instruments Incorporated | Zirconium and/or hafnium oxynitride gate dielectric |
KR100385946B1 (ko) * | 1999-12-08 | 2003-06-02 | 삼성전자주식회사 | 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자 |
US6287965B1 (en) | 1997-07-28 | 2001-09-11 | Samsung Electronics Co, Ltd. | Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor |
KR100269306B1 (ko) | 1997-07-31 | 2000-10-16 | 윤종용 | 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법 |
KR100261017B1 (ko) | 1997-08-19 | 2000-08-01 | 윤종용 | 반도체 장치의 금속 배선층을 형성하는 방법 |
US7056575B2 (en) * | 1997-09-03 | 2006-06-06 | Krona Industries Ltd. | Low emissivity, high reflectivity insulation |
US6197683B1 (en) * | 1997-09-29 | 2001-03-06 | Samsung Electronics Co., Ltd. | Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same |
US6348376B2 (en) * | 1997-09-29 | 2002-02-19 | Samsung Electronics Co., Ltd. | Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same |
KR100274603B1 (ko) | 1997-10-01 | 2001-01-15 | 윤종용 | 반도체장치의제조방법및그의제조장치 |
US6861356B2 (en) | 1997-11-05 | 2005-03-01 | Tokyo Electron Limited | Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film |
KR100252049B1 (ko) | 1997-11-18 | 2000-04-15 | 윤종용 | 원자층 증착법에 의한 알루미늄층의 제조방법 |
US5972430A (en) | 1997-11-26 | 1999-10-26 | Advanced Technology Materials, Inc. | Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer |
US6079356A (en) | 1997-12-02 | 2000-06-27 | Applied Materials, Inc. | Reactor optimized for chemical vapor deposition of titanium |
US6099904A (en) | 1997-12-02 | 2000-08-08 | Applied Materials, Inc. | Low resistivity W using B2 H6 nucleation step |
FI104383B (fi) | 1997-12-09 | 2000-01-14 | Fortum Oil & Gas Oy | Menetelmä laitteistojen sisäpintojen päällystämiseksi |
KR100269328B1 (ko) * | 1997-12-31 | 2000-10-16 | 윤종용 | 원자층 증착 공정을 이용하는 도전층 형성방법 |
KR100275727B1 (ko) | 1998-01-06 | 2001-01-15 | 윤종용 | 반도체 장치의 커패시터 형성방법 |
JPH11204517A (ja) * | 1998-01-12 | 1999-07-30 | Sony Corp | シリコン酸化膜の形成方法、及びシリコン酸化膜形成装置 |
US6015917A (en) * | 1998-01-23 | 2000-01-18 | Advanced Technology Materials, Inc. | Tantalum amide precursors for deposition of tantalum nitride on a substrate |
US6117244A (en) * | 1998-03-24 | 2000-09-12 | Applied Materials, Inc. | Deposition resistant lining for CVD chamber |
US6433314B1 (en) | 1998-04-08 | 2002-08-13 | Applied Materials, Inc. | Direct temperature control for a component of a substrate processing chamber |
US6296711B1 (en) | 1998-04-14 | 2001-10-02 | Cvd Systems, Inc. | Film processing system |
JP4214585B2 (ja) | 1998-04-24 | 2009-01-28 | 富士ゼロックス株式会社 | 半導体デバイス、半導体デバイスの製造方法及び製造装置 |
KR100267885B1 (ko) * | 1998-05-18 | 2000-11-01 | 서성기 | 반도체 박막증착장치 |
KR100282853B1 (ko) | 1998-05-18 | 2001-04-02 | 서성기 | 연속기체분사에의한반도체박막증착장치 |
US6025627A (en) * | 1998-05-29 | 2000-02-15 | Micron Technology, Inc. | Alternate method and structure for improved floating gate tunneling devices |
NL1009327C2 (nl) | 1998-06-05 | 1999-12-10 | Asm Int | Werkwijze en inrichting voor het overbrengen van wafers. |
KR100319888B1 (ko) | 1998-06-16 | 2002-01-10 | 윤종용 | 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법 |
JP2000031387A (ja) | 1998-07-14 | 2000-01-28 | Fuji Electric Co Ltd | 誘電体薄膜コンデンサの製造方法 |
TW419732B (en) | 1998-07-15 | 2001-01-21 | Texas Instruments Inc | A method for gate-stack formation including a high-k dielectric |
KR100275738B1 (ko) | 1998-08-07 | 2000-12-15 | 윤종용 | 원자층 증착법을 이용한 박막 제조방법 |
KR20000013654A (ko) | 1998-08-12 | 2000-03-06 | 윤종용 | 원자층 증착 방법으로 형성한 알루미나/알루미늄나이트라이드복합 유전체막을 갖는 캐패시터와 그제조 방법 |
US6520218B1 (en) | 1998-09-03 | 2003-02-18 | Advanced Technology Materials, Inc. | Container chemical guard |
KR100287180B1 (ko) * | 1998-09-17 | 2001-04-16 | 윤종용 | 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법 |
DE19843151C2 (de) | 1998-09-21 | 2001-03-08 | Alfing Montagetechnik Gmbh | Bearbeitungsvorrichtung mit mindestens einem Bearbeitungswerkzeug |
US6143082A (en) | 1998-10-08 | 2000-11-07 | Novellus Systems, Inc. | Isolation of incompatible processes in a multi-station processing chamber |
KR100327328B1 (ko) | 1998-10-13 | 2002-05-09 | 윤종용 | 부분적으로다른두께를갖는커패시터의유전막형성방버뵤 |
KR100297719B1 (ko) | 1998-10-16 | 2001-08-07 | 윤종용 | 박막제조방법 |
US20030101938A1 (en) | 1998-10-27 | 2003-06-05 | Applied Materials, Inc. | Apparatus for the deposition of high dielectric constant films |
US6454860B2 (en) | 1998-10-27 | 2002-09-24 | Applied Materials, Inc. | Deposition reactor having vaporizing, mixing and cleaning capabilities |
JP2000188400A (ja) | 1998-11-09 | 2000-07-04 | Texas Instr Inc <Ti> | 半導体デバイスを形成する方法 |
KR100331544B1 (ko) | 1999-01-18 | 2002-04-06 | 윤종용 | 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드 |
US6200893B1 (en) | 1999-03-11 | 2001-03-13 | Genus, Inc | Radical-assisted sequential CVD |
US6540838B2 (en) | 2000-11-29 | 2003-04-01 | Genus, Inc. | Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition |
US6305314B1 (en) | 1999-03-11 | 2001-10-23 | Genvs, Inc. | Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition |
KR100347379B1 (ko) | 1999-05-01 | 2002-08-07 | 주식회사 피케이엘 | 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치 |
US20030232554A1 (en) | 1999-05-04 | 2003-12-18 | Blum Ronald D. | Multi-layer tacky and water-absorbing shoe-cleaning product |
FI118342B (fi) | 1999-05-10 | 2007-10-15 | Asm Int | Laite ohutkalvojen valmistamiseksi |
EP1186030B1 (de) | 1999-05-12 | 2011-05-04 | Qimonda AG | Kondensator für halbleiteranordnung und verfahren zum herstellen einer dielektrischen schicht für denselben |
JP2000349081A (ja) | 1999-06-07 | 2000-12-15 | Sony Corp | 酸化膜形成方法 |
US6124158A (en) | 1999-06-08 | 2000-09-26 | Lucent Technologies Inc. | Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants |
US6613383B1 (en) | 1999-06-21 | 2003-09-02 | Regents Of The University Of Colorado | Atomic layer controlled deposition on particle surfaces |
US6524952B1 (en) * | 1999-06-25 | 2003-02-25 | Applied Materials, Inc. | Method of forming a titanium silicide layer on a substrate |
US6238734B1 (en) | 1999-07-08 | 2001-05-29 | Air Products And Chemicals, Inc. | Liquid precursor mixtures for deposition of multicomponent metal containing materials |
US6503561B1 (en) | 1999-07-08 | 2003-01-07 | Air Products And Chemicals, Inc. | Liquid precursor mixtures for deposition of multicomponent metal containing materials |
KR100319494B1 (ko) | 1999-07-15 | 2002-01-09 | 김용일 | 원자층 에피택시 공정을 위한 반도체 박막 증착장치 |
US6060755A (en) | 1999-07-19 | 2000-05-09 | Sharp Laboratories Of America, Inc. | Aluminum-doped zirconium dielectric film transistor structure and deposition method for same |
US6297539B1 (en) | 1999-07-19 | 2001-10-02 | Sharp Laboratories Of America, Inc. | Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same |
US6299294B1 (en) | 1999-07-29 | 2001-10-09 | Hewlett-Packard Company | High efficiency printhead containing a novel oxynitride-based resistor system |
KR20010017820A (ko) | 1999-08-14 | 2001-03-05 | 윤종용 | 반도체 소자 및 그 제조방법 |
US6984415B2 (en) * | 1999-08-20 | 2006-01-10 | International Business Machines Corporation | Delivery systems for gases for gases via the sublimation of solid precursors |
JP3909792B2 (ja) | 1999-08-20 | 2007-04-25 | パイオニア株式会社 | 化学気相成長法における原料供給装置及び原料供給方法 |
US6391785B1 (en) | 1999-08-24 | 2002-05-21 | Interuniversitair Microelektronica Centrum (Imec) | Method for bottomless deposition of barrier layers in integrated circuit metallization schemes |
KR100682190B1 (ko) | 1999-09-07 | 2007-02-12 | 동경 엘렉트론 주식회사 | 실리콘 산질화물을 포함하는 절연막의 형성 방법 및 장치 |
US6511539B1 (en) | 1999-09-08 | 2003-01-28 | Asm America, Inc. | Apparatus and method for growth of a thin film |
US6753556B2 (en) * | 1999-10-06 | 2004-06-22 | International Business Machines Corporation | Silicate gate dielectric |
DE10049257B4 (de) | 1999-10-06 | 2015-05-13 | Samsung Electronics Co., Ltd. | Verfahren zur Dünnfilmerzeugung mittels atomarer Schichtdeposition |
US7094284B2 (en) * | 1999-10-07 | 2006-08-22 | Advanced Technology Materials, Inc. | Source reagent compositions for CVD formation of high dielectric constant and ferroelectric metal oxide thin films and method of using same |
US6399208B1 (en) | 1999-10-07 | 2002-06-04 | Advanced Technology Materials Inc. | Source reagent composition and method for chemical vapor deposition formation or ZR/HF silicate gate dielectric thin films |
FI117942B (fi) | 1999-10-14 | 2007-04-30 | Asm Int | Menetelmä oksidiohutkalvojen kasvattamiseksi |
US6203613B1 (en) * | 1999-10-19 | 2001-03-20 | International Business Machines Corporation | Atomic layer deposition with nitrate containing precursors |
KR100304714B1 (ko) | 1999-10-20 | 2001-11-02 | 윤종용 | 금속 할로겐 가스를 사용한 반도체 소자의 금속 박막 형성방법 |
TW468212B (en) | 1999-10-25 | 2001-12-11 | Motorola Inc | Method for fabricating a semiconductor structure including a metal oxide interface with silicon |
US6548112B1 (en) | 1999-11-18 | 2003-04-15 | Tokyo Electron Limited | Apparatus and method for delivery of precursor vapor from low vapor pressure liquid sources to a CVD chamber |
CA2390465A1 (en) * | 1999-11-22 | 2001-05-31 | Human Genome Sciences, Inc. | Kunitz-type protease inhibitor polynucleotides, polypeptides, and antibodies |
US6558509B2 (en) * | 1999-11-30 | 2003-05-06 | Applied Materials, Inc. | Dual wafer load lock |
US6780704B1 (en) | 1999-12-03 | 2004-08-24 | Asm International Nv | Conformal thin films over textured capacitor electrodes |
FI118804B (fi) | 1999-12-03 | 2008-03-31 | Asm Int | Menetelmä oksidikalvojen kasvattamiseksi |
US6452338B1 (en) | 1999-12-13 | 2002-09-17 | Semequip, Inc. | Electron beam ion source with integral low-temperature vaporizer |
KR100330749B1 (ko) | 1999-12-17 | 2002-04-03 | 서성기 | 반도체 박막증착장치 |
KR100624903B1 (ko) | 1999-12-22 | 2006-09-19 | 주식회사 하이닉스반도체 | 반도체 소자의 캐패시터 제조방법 |
KR100705926B1 (ko) * | 1999-12-22 | 2007-04-11 | 주식회사 하이닉스반도체 | 반도체 소자의 캐패시터 제조방법 |
KR100358056B1 (ko) | 1999-12-27 | 2002-10-25 | 주식회사 하이닉스반도체 | 반도체 소자의 게이트 산화막 형성방법 |
FI118343B (fi) | 1999-12-28 | 2007-10-15 | Asm Int | Laite ohutkalvojen valmistamiseksi |
FI118474B (fi) | 1999-12-28 | 2007-11-30 | Asm Int | Laite ohutkalvojen valmistamiseksi |
JP4817210B2 (ja) | 2000-01-06 | 2011-11-16 | 東京エレクトロン株式会社 | 成膜装置および成膜方法 |
FI20000099A0 (fi) | 2000-01-18 | 2000-01-18 | Asm Microchemistry Ltd | Menetelmä metalliohutkalvojen kasvattamiseksi |
JP4362919B2 (ja) | 2000-02-04 | 2009-11-11 | 株式会社デンソー | 原子層エピタキシャル成長法による成膜方法 |
KR100378871B1 (ko) | 2000-02-16 | 2003-04-07 | 주식회사 아펙스 | 라디칼 증착을 위한 샤워헤드장치 |
US6492283B2 (en) | 2000-02-22 | 2002-12-10 | Asm Microchemistry Oy | Method of forming ultrathin oxide layer |
DE60125338T2 (de) * | 2000-03-07 | 2007-07-05 | Asm International N.V. | Gradierte dünne schichten |
TW576873B (en) | 2000-04-14 | 2004-02-21 | Asm Int | Method of growing a thin film onto a substrate |
TW496907B (en) | 2000-04-14 | 2002-08-01 | Asm Microchemistry Oy | Method and apparatus of growing a thin film onto a substrate |
US7060132B2 (en) | 2000-04-14 | 2006-06-13 | Asm International N.V. | Method and apparatus of growing a thin film |
FI117979B (fi) | 2000-04-14 | 2007-05-15 | Asm Int | Menetelmä oksidiohutkalvojen valmistamiseksi |
KR20010096229A (ko) | 2000-04-18 | 2001-11-07 | 황 철 주 | 반도체 소자의 극박막 형성장치 및 그 형성방법 |
US6984591B1 (en) | 2000-04-20 | 2006-01-10 | International Business Machines Corporation | Precursor source mixtures |
KR100363088B1 (ko) | 2000-04-20 | 2002-12-02 | 삼성전자 주식회사 | 원자층 증착방법을 이용한 장벽 금속막의 제조방법 |
US6630413B2 (en) * | 2000-04-28 | 2003-10-07 | Asm Japan K.K. | CVD syntheses of silicon nitride materials |
US6482733B2 (en) | 2000-05-15 | 2002-11-19 | Asm Microchemistry Oy | Protective layers prior to alternating layer deposition |
FI118805B (fi) | 2000-05-15 | 2008-03-31 | Asm Int | Menetelmä ja kokoonpano kaasufaasireaktantin syöttämiseksi reaktiokammioon |
JP2001328900A (ja) | 2000-05-15 | 2001-11-27 | Denso Corp | 薄膜の形成方法 |
US6759325B2 (en) | 2000-05-15 | 2004-07-06 | Asm Microchemistry Oy | Sealing porous structures |
KR100427423B1 (ko) * | 2000-05-25 | 2004-04-13 | 가부시키가이샤 고베 세이코쇼 | Cvd용 인너튜브 |
WO2001094254A1 (fr) * | 2000-06-05 | 2001-12-13 | Fujikin Incorporated | Reacteur de production d'humidite |
KR100647442B1 (ko) | 2000-06-07 | 2006-11-17 | 주성엔지니어링(주) | 원자층 증착법을 이용한 박막 형성방법 |
KR100403611B1 (ko) | 2000-06-07 | 2003-11-01 | 삼성전자주식회사 | 금속-절연체-금속 구조의 커패시터 및 그 제조방법 |
US7141278B2 (en) * | 2000-06-08 | 2006-11-28 | Asm Genitech Korea Ltd. | Thin film forming method |
JP4868639B2 (ja) | 2000-06-12 | 2012-02-01 | 株式会社Adeka | 化学気相成長用原料及びこれを用いた薄膜の製造方法 |
US6713177B2 (en) | 2000-06-21 | 2004-03-30 | Regents Of The University Of Colorado | Insulating and functionalizing fine metal-containing particles with conformal ultra-thin films |
KR100332313B1 (ko) | 2000-06-24 | 2002-04-12 | 서성기 | Ald 박막증착장치 및 증착방법 |
KR100332314B1 (ko) * | 2000-06-24 | 2002-04-12 | 서성기 | 박막증착용 반응용기 |
US6620723B1 (en) * | 2000-06-27 | 2003-09-16 | Applied Materials, Inc. | Formation of boride barrier layers using chemisorption techniques |
US6551929B1 (en) | 2000-06-28 | 2003-04-22 | Applied Materials, Inc. | Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques |
KR100545706B1 (ko) * | 2000-06-28 | 2006-01-24 | 주식회사 하이닉스반도체 | 반도체 소자 제조방법 |
US6936538B2 (en) * | 2001-07-16 | 2005-08-30 | Applied Materials, Inc. | Method and apparatus for depositing tungsten after surface treatment to improve film characteristics |
US6818250B2 (en) | 2000-06-29 | 2004-11-16 | The Regents Of The University Of Colorado | Method for forming SIO2 by chemical vapor deposition at room temperature |
DE10034003A1 (de) * | 2000-07-07 | 2002-01-24 | Infineon Technologies Ag | Grabenkondensator mit Isolationskragen und entsprechendes Herstellungsverfahren |
US6585823B1 (en) | 2000-07-07 | 2003-07-01 | Asm International, N.V. | Atomic layer deposition |
FI20001694A0 (fi) | 2000-07-20 | 2000-07-20 | Asm Microchemistry Oy | Menetelmä ohutkalvon kasvattamiseksi substraatille |
EP1301941A2 (en) * | 2000-07-20 | 2003-04-16 | North Carolina State University | High dielectric constant metal silicates formed by controlled metal-surface reactions |
KR100444149B1 (ko) * | 2000-07-22 | 2004-08-09 | 주식회사 아이피에스 | Ald 박막증착설비용 클리닝방법 |
KR100396879B1 (ko) * | 2000-08-11 | 2003-09-02 | 삼성전자주식회사 | 동일 물질로 이루어진 이중막을 포함하는 다중막으로캡슐화된 캐패시터를 구비한 반도체 메모리 소자 및 그의제조 방법 |
US6302965B1 (en) | 2000-08-15 | 2001-10-16 | Applied Materials, Inc. | Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces |
US6461909B1 (en) | 2000-08-30 | 2002-10-08 | Micron Technology, Inc. | Process for fabricating RuSixOy-containing adhesion layers |
JP3409290B2 (ja) | 2000-09-18 | 2003-05-26 | 株式会社トリケミカル研究所 | ゲート酸化膜形成材料 |
JP2002172767A (ja) | 2000-09-26 | 2002-06-18 | Canon Inc | インクジェット記録装置及びその制御方法と情報処理装置及び方法 |
KR100815009B1 (ko) * | 2000-09-28 | 2008-03-18 | 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 | 산화물, 규산염 및 인산염의 증기를 이용한 석출 |
US6660660B2 (en) | 2000-10-10 | 2003-12-09 | Asm International, Nv. | Methods for making a dielectric stack in an integrated circuit |
US6428847B1 (en) | 2000-10-16 | 2002-08-06 | Primaxx, Inc. | Vortex based CVD reactor |
KR100378186B1 (ko) | 2000-10-19 | 2003-03-29 | 삼성전자주식회사 | 원자층 증착법으로 형성된 박막이 채용된 반도체 소자 및그 제조방법 |
US6395650B1 (en) | 2000-10-23 | 2002-05-28 | International Business Machines Corporation | Methods for forming metal oxide layers with enhanced purity |
TW548239B (en) | 2000-10-23 | 2003-08-21 | Asm Microchemistry Oy | Process for producing aluminium oxide films at low temperatures |
US6498091B1 (en) | 2000-11-01 | 2002-12-24 | Applied Materials, Inc. | Method of using a barrier sputter reactor to remove an underlying barrier layer |
KR100436941B1 (ko) | 2000-11-07 | 2004-06-23 | 주성엔지니어링(주) | 박막 증착 장치 및 그 방법 |
JP3864696B2 (ja) * | 2000-11-10 | 2007-01-10 | 株式会社デンソー | 炭化珪素単結晶の製造方法及び製造装置 |
US6613695B2 (en) | 2000-11-24 | 2003-09-02 | Asm America, Inc. | Surface preparation prior to deposition |
US6486080B2 (en) | 2000-11-30 | 2002-11-26 | Chartered Semiconductor Manufacturing Ltd. | Method to form zirconium oxide and hafnium oxide for high dielectric constant materials |
WO2002045167A2 (en) | 2000-11-30 | 2002-06-06 | Asm International N.V. | Thin films for magnetic devices |
JP4644359B2 (ja) | 2000-11-30 | 2011-03-02 | ルネサスエレクトロニクス株式会社 | 成膜方法 |
KR100386034B1 (ko) | 2000-12-06 | 2003-06-02 | 에이에스엠 마이크로케미스트리 리미티드 | 확산 방지막의 결정립계를 금속산화물로 충진한 구리 배선구조의 반도체 소자 제조 방법 |
KR100385947B1 (ko) | 2000-12-06 | 2003-06-02 | 삼성전자주식회사 | 원자층 증착 방법에 의한 박막 형성 방법 |
US20020104481A1 (en) | 2000-12-06 | 2002-08-08 | Chiang Tony P. | System and method for modulated ion-induced atomic layer deposition (MII-ALD) |
US6428859B1 (en) | 2000-12-06 | 2002-08-06 | Angstron Systems, Inc. | Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD) |
US6949450B2 (en) | 2000-12-06 | 2005-09-27 | Novellus Systems, Inc. | Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber |
US20020197402A1 (en) | 2000-12-06 | 2002-12-26 | Chiang Tony P. | System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD) |
US6878402B2 (en) | 2000-12-06 | 2005-04-12 | Novellus Systems, Inc. | Method and apparatus for improved temperature control in atomic layer deposition |
US6416822B1 (en) | 2000-12-06 | 2002-07-09 | Angstrom Systems, Inc. | Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD) |
US20020076481A1 (en) | 2000-12-15 | 2002-06-20 | Chiang Tony P. | Chamber pressure state-based control for a reactor |
US6800173B2 (en) | 2000-12-15 | 2004-10-05 | Novellus Systems, Inc. | Variable gas conductance control for a process chamber |
US20020076507A1 (en) | 2000-12-15 | 2002-06-20 | Chiang Tony P. | Process sequence for atomic layer deposition |
US20020073924A1 (en) | 2000-12-15 | 2002-06-20 | Chiang Tony P. | Gas introduction system for a reactor |
US6630201B2 (en) | 2001-04-05 | 2003-10-07 | Angstron Systems, Inc. | Adsorption process for atomic layer deposition |
KR20020049875A (ko) | 2000-12-20 | 2002-06-26 | 윤종용 | 반도체 메모리 소자의 강유전체 커패시터 및 그 제조방법 |
US6544906B2 (en) | 2000-12-21 | 2003-04-08 | Texas Instruments Incorporated | Annealing of high-k dielectric materials |
JP3963078B2 (ja) | 2000-12-25 | 2007-08-22 | 株式会社高純度化学研究所 | ターシャリーアミルイミドトリス(ジメチルアミド)タンタルとその製造方法及びそれを用いたmocvd用原料溶液並びにそれを用いた窒化タンタル膜の形成方法 |
KR20020056260A (ko) | 2000-12-29 | 2002-07-10 | 박종섭 | 반도체 소자의 금속 게이트 형성방법 |
US20020086111A1 (en) | 2001-01-03 | 2002-07-04 | Byun Jeong Soo | Method of forming refractory metal nitride layers using chemisorption techniques |
KR100493206B1 (ko) | 2001-01-16 | 2005-06-03 | 가부시키가이샤 히타치세이사쿠쇼 | 반도체장치 및 그 제조방법 |
KR100400031B1 (ko) | 2001-01-17 | 2003-09-29 | 삼성전자주식회사 | 반도체 소자의 콘택 플러그 및 그 형성 방법 |
KR100434487B1 (ko) | 2001-01-17 | 2004-06-05 | 삼성전자주식회사 | 샤워 헤드 및 이를 포함하는 박막 형성 장비 |
US6713846B1 (en) | 2001-01-26 | 2004-03-30 | Aviza Technology, Inc. | Multilayer high κ dielectric films |
JP2002222934A (ja) | 2001-01-29 | 2002-08-09 | Nec Corp | 半導体装置およびその製造方法 |
US6844604B2 (en) | 2001-02-02 | 2005-01-18 | Samsung Electronics Co., Ltd. | Dielectric layer for semiconductor device and method of manufacturing the same |
US6951804B2 (en) | 2001-02-02 | 2005-10-04 | Applied Materials, Inc. | Formation of a tantalum-nitride layer |
US7026219B2 (en) | 2001-02-12 | 2006-04-11 | Asm America, Inc. | Integration of high k gate dielectric |
AU2002306436A1 (en) | 2001-02-12 | 2002-10-15 | Asm America, Inc. | Improved process for deposition of semiconductor films |
US6613656B2 (en) | 2001-02-13 | 2003-09-02 | Micron Technology, Inc. | Sequential pulse deposition |
US20020117399A1 (en) | 2001-02-23 | 2002-08-29 | Applied Materials, Inc. | Atomically thin highly resistive barrier layer in a copper via |
US6660126B2 (en) | 2001-03-02 | 2003-12-09 | Applied Materials, Inc. | Lid assembly for a processing system to facilitate sequential deposition techniques |
US6878206B2 (en) | 2001-07-16 | 2005-04-12 | Applied Materials, Inc. | Lid assembly for a processing system to facilitate sequential deposition techniques |
US20020121241A1 (en) | 2001-03-02 | 2002-09-05 | Nguyen Anh N. | Processing chamber and method of distributing process fluids therein to facilitate sequential deposition of films |
US6734020B2 (en) | 2001-03-07 | 2004-05-11 | Applied Materials, Inc. | Valve control system for atomic layer deposition chamber |
FI109770B (fi) | 2001-03-16 | 2002-10-15 | Asm Microchemistry Oy | Menetelmä metallinitridiohutkalvojen valmistamiseksi |
US7348042B2 (en) | 2001-03-19 | 2008-03-25 | Novellus Systems, Inc. | Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD) |
US7005392B2 (en) | 2001-03-30 | 2006-02-28 | Advanced Technology Materials, Inc. | Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same |
US6812101B2 (en) | 2001-04-02 | 2004-11-02 | Matsushita Electric Industrial Co., Ltd. | Semiconductor device and method for manufacture thereof |
US20020144655A1 (en) | 2001-04-05 | 2002-10-10 | Chiang Tony P. | Gas valve system for a reactor |
US20020144657A1 (en) | 2001-04-05 | 2002-10-10 | Chiang Tony P. | ALD reactor employing electrostatic chuck |
US6561498B2 (en) | 2001-04-09 | 2003-05-13 | Lorex Industries, Inc. | Bubbler for use in vapor generation systems |
DE10117783A1 (de) * | 2001-04-10 | 2002-10-24 | Bat Cigarettenfab Gmbh | Prozessgasaufbereitung für Tabaktrockner |
JP2002313951A (ja) | 2001-04-11 | 2002-10-25 | Hitachi Ltd | 半導体集積回路装置及びその製造方法 |
US6348386B1 (en) * | 2001-04-16 | 2002-02-19 | Motorola, Inc. | Method for making a hafnium-based insulating film |
JP2002314072A (ja) | 2001-04-19 | 2002-10-25 | Nec Corp | 高誘電体薄膜を備えた半導体装置及びその製造方法並びに誘電体膜の成膜装置 |
US6596643B2 (en) | 2001-05-07 | 2003-07-22 | Applied Materials, Inc. | CVD TiSiN barrier for copper integration |
US6759081B2 (en) | 2001-05-11 | 2004-07-06 | Asm International, N.V. | Method of depositing thin films for magnetic heads |
JP2002343790A (ja) | 2001-05-21 | 2002-11-29 | Nec Corp | 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法 |
KR100363332B1 (en) | 2001-05-23 | 2002-12-05 | Samsung Electronics Co Ltd | Method for forming semiconductor device having gate all-around type transistor |
US6828218B2 (en) * | 2001-05-31 | 2004-12-07 | Samsung Electronics Co., Ltd. | Method of forming a thin film using atomic layer deposition |
US6849545B2 (en) * | 2001-06-20 | 2005-02-01 | Applied Materials, Inc. | System and method to form a composite film stack utilizing sequential deposition techniques |
US6632747B2 (en) | 2001-06-20 | 2003-10-14 | Texas Instruments Incorporated | Method of ammonia annealing of ultra-thin silicon dioxide layers for uniform nitrogen profile |
US6391803B1 (en) | 2001-06-20 | 2002-05-21 | Samsung Electronics Co., Ltd. | Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane |
US6709989B2 (en) | 2001-06-21 | 2004-03-23 | Motorola, Inc. | Method for fabricating a semiconductor structure including a metal oxide interface with silicon |
US6642131B2 (en) | 2001-06-21 | 2003-11-04 | Matsushita Electric Industrial Co., Ltd. | Method of forming a silicon-containing metal-oxide gate dielectric by depositing a high dielectric constant film on a silicon substrate and diffusing silicon from the substrate into the high dielectric constant film |
US6861334B2 (en) * | 2001-06-21 | 2005-03-01 | Asm International, N.V. | Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition |
JP4680429B2 (ja) * | 2001-06-26 | 2011-05-11 | Okiセミコンダクタ株式会社 | テキスト音声変換装置における高速読上げ制御方法 |
US6420279B1 (en) | 2001-06-28 | 2002-07-16 | Sharp Laboratories Of America, Inc. | Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate |
TW539822B (en) | 2001-07-03 | 2003-07-01 | Asm Inc | Source chemical container assembly |
US20030198754A1 (en) | 2001-07-16 | 2003-10-23 | Ming Xi | Aluminum oxide chamber and process |
WO2003008110A1 (en) | 2001-07-18 | 2003-01-30 | The Regents Of The University Of Colorado | A method of depositing an inorganic film on an organic polymer |
US7105444B2 (en) | 2001-07-19 | 2006-09-12 | Samsung Electronics Co., Ltd. | Method for forming a wiring of a semiconductor device, method for forming a metal layer of a semiconductor device and apparatus for performing the same |
US20030017697A1 (en) * | 2001-07-19 | 2003-01-23 | Kyung-In Choi | Methods of forming metal layers using metallic precursors |
US7098131B2 (en) | 2001-07-19 | 2006-08-29 | Samsung Electronics Co., Ltd. | Methods for forming atomic layers and thin films including tantalum nitride and devices including the same |
JP2005504885A (ja) | 2001-07-25 | 2005-02-17 | アプライド マテリアルズ インコーポレイテッド | 新規なスパッタ堆積方法を使用したバリア形成 |
US20030029715A1 (en) * | 2001-07-25 | 2003-02-13 | Applied Materials, Inc. | An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems |
US7085616B2 (en) | 2001-07-27 | 2006-08-01 | Applied Materials, Inc. | Atomic layer deposition apparatus |
US6820570B2 (en) | 2001-08-15 | 2004-11-23 | Nobel Biocare Services Ag | Atomic layer deposition reactor |
US6548906B2 (en) * | 2001-08-22 | 2003-04-15 | Agere Systems Inc. | Method for reducing a metal seam in an interconnect structure and a device manufactured thereby |
US6806145B2 (en) * | 2001-08-31 | 2004-10-19 | Asm International, N.V. | Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer |
US20030042630A1 (en) * | 2001-09-05 | 2003-03-06 | Babcoke Jason E. | Bubbler for gas delivery |
JP4938962B2 (ja) | 2001-09-14 | 2012-05-23 | エーエスエム インターナショナル エヌ.ヴェー. | ゲッタリング反応物を用いるaldによる金属窒化物堆積 |
US6718126B2 (en) | 2001-09-14 | 2004-04-06 | Applied Materials, Inc. | Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition |
US20030049931A1 (en) * | 2001-09-19 | 2003-03-13 | Applied Materials, Inc. | Formation of refractory metal nitrides using chemisorption techniques |
KR20030025494A (ko) | 2001-09-21 | 2003-03-29 | 삼성전자주식회사 | 루테늄막과 금속층간의 콘택을 포함하는 반도체 장치 및그의 제조 방법 |
US20030059535A1 (en) | 2001-09-25 | 2003-03-27 | Lee Luo | Cycling deposition of low temperature films in a cold wall single wafer process chamber |
US6607976B2 (en) | 2001-09-25 | 2003-08-19 | Applied Materials, Inc. | Copper interconnect barrier layer structure and formation method |
US6936906B2 (en) | 2001-09-26 | 2005-08-30 | Applied Materials, Inc. | Integration of barrier layer and seed layer |
US7049226B2 (en) | 2001-09-26 | 2006-05-23 | Applied Materials, Inc. | Integration of ALD tantalum nitride for copper metallization |
US20030059538A1 (en) | 2001-09-26 | 2003-03-27 | Applied Materials, Inc. | Integration of barrier layer and seed layer |
US20030057526A1 (en) | 2001-09-26 | 2003-03-27 | Applied Materials, Inc. | Integration of barrier layer and seed layer |
US6960537B2 (en) | 2001-10-02 | 2005-11-01 | Asm America, Inc. | Incorporation of nitrogen into high k dielectric film |
US6797108B2 (en) | 2001-10-05 | 2004-09-28 | Applied Materials, Inc. | Apparatus and method for evenly flowing processing gas onto a semiconductor wafer |
TW512504B (en) | 2001-10-12 | 2002-12-01 | Advanced Semiconductor Eng | Package substrate having protruded and recessed side edge |
US20030072884A1 (en) | 2001-10-15 | 2003-04-17 | Applied Materials, Inc. | Method of titanium and titanium nitride layer deposition |
US7204886B2 (en) | 2002-11-14 | 2007-04-17 | Applied Materials, Inc. | Apparatus and method for hybrid chemical processing |
US6916398B2 (en) | 2001-10-26 | 2005-07-12 | Applied Materials, Inc. | Gas delivery apparatus and method for atomic layer deposition |
US7780785B2 (en) * | 2001-10-26 | 2010-08-24 | Applied Materials, Inc. | Gas delivery apparatus for atomic layer deposition |
US20080102208A1 (en) | 2001-10-26 | 2008-05-01 | Dien-Yeh Wu | Vortex chamber lids for atomic layer deposition |
DE20221269U1 (de) * | 2001-10-26 | 2005-12-08 | Applied Materials, Inc., Santa Clara | Gaszuführvorrichtung zur Abscheidung atomarer Schichten |
US7780789B2 (en) | 2001-10-26 | 2010-08-24 | Applied Materials, Inc. | Vortex chamber lids for atomic layer deposition |
US20080102203A1 (en) | 2001-10-26 | 2008-05-01 | Dien-Yeh Wu | Vortex chamber lids for atomic layer deposition |
US6902624B2 (en) | 2001-10-29 | 2005-06-07 | Genus, Inc. | Massively parallel atomic layer deposition/chemical vapor deposition system |
US6743681B2 (en) | 2001-11-09 | 2004-06-01 | Micron Technology, Inc. | Methods of Fabricating Gate and Storage Dielectric Stacks having Silicon-Rich-Nitride |
US20030096473A1 (en) | 2001-11-16 | 2003-05-22 | Taiwan Semiconductor Manufacturing Company | Method for making metal capacitors with low leakage currents for mixed-signal devices |
US20030104707A1 (en) | 2001-11-16 | 2003-06-05 | Yoshihide Senzaki | System and method for improved thin dielectric films |
US6551893B1 (en) | 2001-11-27 | 2003-04-22 | Micron Technology, Inc. | Atomic layer deposition of capacitor dielectric |
JP4021653B2 (ja) * | 2001-11-30 | 2007-12-12 | レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード | Cvd法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法 |
US6770521B2 (en) | 2001-11-30 | 2004-08-03 | Texas Instruments Incorporated | Method of making multiple work function gates by implanting metals with metallic alloying additives |
US6773507B2 (en) | 2001-12-06 | 2004-08-10 | Applied Materials, Inc. | Apparatus and method for fast-cycle atomic layer deposition |
US7081271B2 (en) * | 2001-12-07 | 2006-07-25 | Applied Materials, Inc. | Cyclical deposition of refractory metal silicon nitride |
JP2003179049A (ja) | 2001-12-11 | 2003-06-27 | Matsushita Electric Ind Co Ltd | 絶縁膜形成方法、半導体装置及びその製造方法 |
US20030111678A1 (en) | 2001-12-14 | 2003-06-19 | Luigi Colombo | CVD deposition of M-SION gate dielectrics |
US6729824B2 (en) | 2001-12-14 | 2004-05-04 | Applied Materials, Inc. | Dual robot processing system |
US20030116087A1 (en) | 2001-12-21 | 2003-06-26 | Nguyen Anh N. | Chamber hardware design for titanium nitride atomic layer deposition |
US6939801B2 (en) | 2001-12-21 | 2005-09-06 | Applied Materials, Inc. | Selective deposition of a barrier layer on a dielectric material |
US6696332B2 (en) | 2001-12-26 | 2004-02-24 | Texas Instruments Incorporated | Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing |
US6790755B2 (en) | 2001-12-27 | 2004-09-14 | Advanced Micro Devices, Inc. | Preparation of stack high-K gate dielectrics with nitrided layer |
US6674138B1 (en) * | 2001-12-31 | 2004-01-06 | Advanced Micro Devices, Inc. | Use of high-k dielectric materials in modified ONO structure for semiconductor devices |
US6677247B2 (en) * | 2002-01-07 | 2004-01-13 | Applied Materials Inc. | Method of increasing the etch selectivity of a contact sidewall to a preclean etchant |
US6827815B2 (en) | 2002-01-15 | 2004-12-07 | Applied Materials, Inc. | Showerhead assembly for a processing chamber |
US6770254B2 (en) | 2002-01-17 | 2004-08-03 | Air Products And Chemicals, Inc. | Purification of group IVb metal halides |
US6620670B2 (en) | 2002-01-18 | 2003-09-16 | Applied Materials, Inc. | Process conditions and precursors for atomic layer deposition (ALD) of AL2O3 |
WO2003065424A2 (en) | 2002-01-25 | 2003-08-07 | Applied Materials, Inc. | Apparatus for cyclical deposition of thin films |
US6998014B2 (en) | 2002-01-26 | 2006-02-14 | Applied Materials, Inc. | Apparatus and method for plasma assisted deposition |
US6911391B2 (en) | 2002-01-26 | 2005-06-28 | Applied Materials, Inc. | Integration of titanium and titanium nitride layers |
US6866746B2 (en) | 2002-01-26 | 2005-03-15 | Applied Materials, Inc. | Clamshell and small volume chamber with fixed substrate support |
US6824816B2 (en) | 2002-01-29 | 2004-11-30 | Asm International N.V. | Process for producing metal thin films by ALD |
US7063981B2 (en) | 2002-01-30 | 2006-06-20 | Asm International N.V. | Active pulse monitoring in a chemical reactor |
US6777352B2 (en) | 2002-02-11 | 2004-08-17 | Applied Materials, Inc. | Variable flow deposition apparatus and method in semiconductor substrate processing |
US6452229B1 (en) | 2002-02-21 | 2002-09-17 | Advanced Micro Devices, Inc. | Ultra-thin fully depleted SOI device with T-shaped gate and method of fabrication |
US6972267B2 (en) | 2002-03-04 | 2005-12-06 | Applied Materials, Inc. | Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor |
US7323422B2 (en) | 2002-03-05 | 2008-01-29 | Asm International N.V. | Dielectric layers and methods of forming the same |
US6753618B2 (en) | 2002-03-11 | 2004-06-22 | Micron Technology, Inc. | MIM capacitor with metal nitride electrode materials and method of formation |
US20030216981A1 (en) | 2002-03-12 | 2003-11-20 | Michael Tillman | Method and system for hosting centralized online point-of-sale activities for a plurality of distributed customers and vendors |
WO2003081667A1 (en) * | 2002-03-26 | 2003-10-02 | Matsushita Electric Industrial Co., Ltd. | Semiconductor device and production method therefor |
US6825134B2 (en) | 2002-03-26 | 2004-11-30 | Applied Materials, Inc. | Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow |
JP3937892B2 (ja) | 2002-04-01 | 2007-06-27 | 日本電気株式会社 | 薄膜形成方法および半導体装置の製造方法 |
US6846516B2 (en) * | 2002-04-08 | 2005-01-25 | Applied Materials, Inc. | Multiple precursor cyclical deposition system |
US6720027B2 (en) | 2002-04-08 | 2004-04-13 | Applied Materials, Inc. | Cyclical deposition of a variable content titanium silicon nitride layer |
US20030194825A1 (en) | 2002-04-10 | 2003-10-16 | Kam Law | Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications |
US6875271B2 (en) | 2002-04-09 | 2005-04-05 | Applied Materials, Inc. | Simultaneous cyclical deposition in different processing regions |
US6869838B2 (en) | 2002-04-09 | 2005-03-22 | Applied Materials, Inc. | Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications |
US6932871B2 (en) | 2002-04-16 | 2005-08-23 | Applied Materials, Inc. | Multi-station deposition apparatus and method |
US7279432B2 (en) | 2002-04-16 | 2007-10-09 | Applied Materials, Inc. | System and method for forming an integrated barrier layer |
US20030235961A1 (en) * | 2002-04-17 | 2003-12-25 | Applied Materials, Inc. | Cyclical sequential deposition of multicomponent films |
US6778762B1 (en) | 2002-04-17 | 2004-08-17 | Novellus Systems, Inc. | Sloped chamber top for substrate processing |
US20030203616A1 (en) * | 2002-04-24 | 2003-10-30 | Applied Materials, Inc. | Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization |
US7164165B2 (en) | 2002-05-16 | 2007-01-16 | Micron Technology, Inc. | MIS capacitor |
US20030213560A1 (en) * | 2002-05-16 | 2003-11-20 | Yaxin Wang | Tandem wafer processing system and process |
US20030215570A1 (en) | 2002-05-16 | 2003-11-20 | Applied Materials, Inc. | Deposition of silicon nitride |
KR100505043B1 (ko) | 2002-05-25 | 2005-07-29 | 삼성전자주식회사 | 커패시터 형성 방법 |
JP3627106B2 (ja) | 2002-05-27 | 2005-03-09 | 株式会社高純度化学研究所 | 原子層吸着堆積法によるハフニウムシリケート薄膜の製造方法 |
US7910165B2 (en) | 2002-06-04 | 2011-03-22 | Applied Materials, Inc. | Ruthenium layer formation for copper film deposition |
US7041335B2 (en) | 2002-06-04 | 2006-05-09 | Applied Materials, Inc. | Titanium tantalum nitride silicide layer |
US7264846B2 (en) | 2002-06-04 | 2007-09-04 | Applied Materials, Inc. | Ruthenium layer formation for copper film deposition |
US7404985B2 (en) | 2002-06-04 | 2008-07-29 | Applied Materials, Inc. | Noble metal layer formation for copper film deposition |
US7135421B2 (en) | 2002-06-05 | 2006-11-14 | Micron Technology, Inc. | Atomic layer-deposited hafnium aluminum oxide |
EP1372160B1 (en) * | 2002-06-10 | 2008-05-28 | Interuniversitair Microelektronica Centrum (IMEC) | Transistors or memory capacitors comprising a composition of HfO2 with enhanced dielectric constant |
EP1512165A2 (en) | 2002-06-12 | 2005-03-09 | Applied Materials, Inc. | Plasma apparatus and method for processing a substrate |
US20080090425A9 (en) | 2002-06-12 | 2008-04-17 | Christopher Olsen | Two-step post nitridation annealing for lower EOT plasma nitrided gate dielectrics |
US6660659B1 (en) | 2002-06-12 | 2003-12-09 | Applied Materials, Inc. | Plasma method and apparatus for processing a substrate |
US20030232501A1 (en) | 2002-06-14 | 2003-12-18 | Kher Shreyas S. | Surface pre-treatment for enhancement of nucleation of high dielectric constant materials |
US7067439B2 (en) | 2002-06-14 | 2006-06-27 | Applied Materials, Inc. | ALD metal oxide deposition process using direct oxidation |
US6858547B2 (en) * | 2002-06-14 | 2005-02-22 | Applied Materials, Inc. | System and method for forming a gate dielectric |
US6924191B2 (en) | 2002-06-20 | 2005-08-02 | Applied Materials, Inc. | Method for fabricating a gate structure of a field effect transistor |
US6638802B1 (en) * | 2002-06-20 | 2003-10-28 | Intel Corporation | Forming strained source drain junction field effect transistors |
KR100476926B1 (ko) * | 2002-07-02 | 2005-03-17 | 삼성전자주식회사 | 반도체 소자의 듀얼 게이트 형성방법 |
US6838125B2 (en) * | 2002-07-10 | 2005-01-04 | Applied Materials, Inc. | Method of film deposition using activated precursor gases |
US20040009336A1 (en) * | 2002-07-11 | 2004-01-15 | Applied Materials, Inc. | Titanium silicon nitride (TISIN) barrier layer for copper diffusion |
US20040015377A1 (en) * | 2002-07-12 | 2004-01-22 | Nokia Corporation | Method for assessing software development maturity |
US7105891B2 (en) * | 2002-07-15 | 2006-09-12 | Texas Instruments Incorporated | Gate structure and method |
US6723658B2 (en) * | 2002-07-15 | 2004-04-20 | Texas Instruments Incorporated | Gate structure and method |
US20040013803A1 (en) * | 2002-07-16 | 2004-01-22 | Applied Materials, Inc. | Formation of titanium nitride films using a cyclical deposition process |
US7524374B2 (en) | 2002-07-17 | 2009-04-28 | Applied Materials, Inc. | Method and apparatus for generating a precursor for a semiconductor processing system |
US6955211B2 (en) * | 2002-07-17 | 2005-10-18 | Applied Materials, Inc. | Method and apparatus for gas temperature control in a semiconductor processing system |
US7081409B2 (en) | 2002-07-17 | 2006-07-25 | Samsung Electronics Co., Ltd. | Methods of producing integrated circuit devices utilizing tantalum amine derivatives |
US7186385B2 (en) | 2002-07-17 | 2007-03-06 | Applied Materials, Inc. | Apparatus for providing gas to a processing chamber |
WO2004106584A1 (en) | 2003-05-27 | 2004-12-09 | Applied Materials, Inc. | Method and apparatus for generating a precursor for a semiconductor processing system |
US7066194B2 (en) | 2002-07-19 | 2006-06-27 | Applied Materials, Inc. | Valve design and configuration for fast delivery system |
KR100468852B1 (ko) * | 2002-07-20 | 2005-01-29 | 삼성전자주식회사 | 캐패시터 구조체 형성 방법 |
US20040018738A1 (en) * | 2002-07-22 | 2004-01-29 | Wei Liu | Method for fabricating a notch gate structure of a field effect transistor |
US6772072B2 (en) * | 2002-07-22 | 2004-08-03 | Applied Materials, Inc. | Method and apparatus for monitoring solid precursor delivery |
US6921062B2 (en) * | 2002-07-23 | 2005-07-26 | Advanced Technology Materials, Inc. | Vaporizer delivery ampoule |
US7300038B2 (en) * | 2002-07-23 | 2007-11-27 | Advanced Technology Materials, Inc. | Method and apparatus to help promote contact of gas with vaporized material |
US7449385B2 (en) * | 2002-07-26 | 2008-11-11 | Texas Instruments Incorporated | Gate dielectric and method |
US6915592B2 (en) | 2002-07-29 | 2005-07-12 | Applied Materials, Inc. | Method and apparatus for generating gas to a processing chamber |
US6921702B2 (en) * | 2002-07-30 | 2005-07-26 | Micron Technology Inc. | Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics |
US6919251B2 (en) * | 2002-07-31 | 2005-07-19 | Texas Instruments Incorporated | Gate dielectric and method |
US20040024506A1 (en) * | 2002-08-01 | 2004-02-05 | Augustine Michael J. | Vehicle steering system with visual feedback display |
JP2004071757A (ja) * | 2002-08-05 | 2004-03-04 | Hitachi Ltd | 高誘電率膜の製造方法及び製造装置 |
US20040029321A1 (en) * | 2002-08-07 | 2004-02-12 | Chartered Semiconductor Manufacturing Ltd. | Method for forming gate insulating layer having multiple dielectric constants and multiple equivalent oxide thicknesses |
US6897106B2 (en) | 2002-08-16 | 2005-05-24 | Samsung Electronics Co., Ltd. | Capacitor of semiconductor memory device that has composite Al2O3/HfO2 dielectric layer and method of manufacturing the same |
KR100542736B1 (ko) * | 2002-08-17 | 2006-01-11 | 삼성전자주식회사 | 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법 |
US7222636B2 (en) | 2002-08-20 | 2007-05-29 | Applied Materials, Inc. | Electronically actuated valve |
US6960538B2 (en) * | 2002-08-21 | 2005-11-01 | Micron Technology, Inc. | Composite dielectric forming methods and composite dielectrics |
US7112485B2 (en) * | 2002-08-28 | 2006-09-26 | Micron Technology, Inc. | Systems and methods for forming zirconium and/or hafnium-containing layers |
US6958300B2 (en) * | 2002-08-28 | 2005-10-25 | Micron Technology, Inc. | Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides |
US6730164B2 (en) * | 2002-08-28 | 2004-05-04 | Micron Technology, Inc. | Systems and methods for forming strontium- and/or barium-containing layers |
US6790773B1 (en) | 2002-08-28 | 2004-09-14 | Novellus Systems, Inc. | Process for forming barrier/seed structures for integrated circuits |
US7199023B2 (en) * | 2002-08-28 | 2007-04-03 | Micron Technology, Inc. | Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed |
US6875678B2 (en) | 2002-09-10 | 2005-04-05 | Samsung Electronics Co., Ltd. | Post thermal treatment methods of forming high dielectric layers in integrated circuit devices |
US6784096B2 (en) | 2002-09-11 | 2004-08-31 | Applied Materials, Inc. | Methods and apparatus for forming barrier layers in high aspect ratio vias |
JP2004111447A (ja) | 2002-09-13 | 2004-04-08 | Handotai Rikougaku Kenkyu Center:Kk | 半導体装置及びその製造方法 |
US6946033B2 (en) | 2002-09-16 | 2005-09-20 | Applied Materials Inc. | Heated gas distribution plate for a processing chamber |
US6759286B2 (en) * | 2002-09-16 | 2004-07-06 | Ajay Kumar | Method of fabricating a gate structure of a field effect transistor using a hard mask |
US6607973B1 (en) | 2002-09-16 | 2003-08-19 | Advanced Micro Devices, Inc. | Preparation of high-k nitride silicate layers by cyclic molecular layer deposition |
US6821563B2 (en) | 2002-10-02 | 2004-11-23 | Applied Materials, Inc. | Gas distribution system for cyclical layer deposition |
US20040065255A1 (en) | 2002-10-02 | 2004-04-08 | Applied Materials, Inc. | Cyclical layer deposition system |
US20040069227A1 (en) | 2002-10-09 | 2004-04-15 | Applied Materials, Inc. | Processing chamber configured for uniform gas flow |
US6905737B2 (en) | 2002-10-11 | 2005-06-14 | Applied Materials, Inc. | Method of delivering activated species for rapid cyclical deposition |
US7020802B2 (en) | 2002-10-17 | 2006-03-28 | Sun Microsystems, Inc. | Method and apparatus for monitoring and recording computer system performance parameters |
US7540920B2 (en) | 2002-10-18 | 2009-06-02 | Applied Materials, Inc. | Silicon-containing layer deposition with silicon compounds |
US6716287B1 (en) | 2002-10-18 | 2004-04-06 | Applied Materials Inc. | Processing chamber with flow-restricting ring |
KR100460841B1 (ko) | 2002-10-22 | 2004-12-09 | 한국전자통신연구원 | 플라즈마 인가 원자층 증착법을 통한 질소첨가 산화물박막의 형성방법 |
US7553686B2 (en) * | 2002-12-17 | 2009-06-30 | The Regents Of The University Of Colorado, A Body Corporate | Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices |
WO2004064147A2 (en) | 2003-01-07 | 2004-07-29 | Applied Materials, Inc. | Integration of ald/cvd barriers with porous low k materials |
US7262133B2 (en) | 2003-01-07 | 2007-08-28 | Applied Materials, Inc. | Enhancement of copper line reliability using thin ALD tan film to cap the copper line |
US6818094B2 (en) | 2003-01-29 | 2004-11-16 | Applied Materials, Inc. | Reciprocating gas valve for pulsing a gas |
US6994319B2 (en) * | 2003-01-29 | 2006-02-07 | Applied Materials, Inc. | Membrane gas valve for pulsing a gas |
US6868859B2 (en) | 2003-01-29 | 2005-03-22 | Applied Materials, Inc. | Rotary gas valve for pulsing a gas |
US7429540B2 (en) | 2003-03-07 | 2008-09-30 | Applied Materials, Inc. | Silicon oxynitride gate dielectric formation using multiple annealing steps |
US20040198069A1 (en) | 2003-04-04 | 2004-10-07 | Applied Materials, Inc. | Method for hafnium nitride deposition |
US7442415B2 (en) | 2003-04-11 | 2008-10-28 | Sharp Laboratories Of America, Inc. | Modulated temperature method of atomic layer deposition (ALD) of high dielectric constant films |
US6737313B1 (en) | 2003-04-16 | 2004-05-18 | Micron Technology, Inc. | Surface treatment of an oxide layer to enhance adhesion of a ruthenium metal layer |
US20050070126A1 (en) | 2003-04-21 | 2005-03-31 | Yoshihide Senzaki | System and method for forming multi-component dielectric films |
TW200506093A (en) * | 2003-04-21 | 2005-02-16 | Aviza Tech Inc | System and method for forming multi-component films |
DE10319540A1 (de) | 2003-04-30 | 2004-11-25 | Infineon Technologies Ag | Verfahren zur ALD-Beschichtung von Substraten sowie eine zur Durchführung des Verfahrens geeignete Vorrichtung |
US6911093B2 (en) | 2003-06-02 | 2005-06-28 | Lsi Logic Corporation | Lid liner for chemical vapor deposition chamber |
CN100593235C (zh) * | 2003-06-13 | 2010-03-03 | 应用材料公司 | 用于铜金属化的ald氮化钽的集成 |
US6881437B2 (en) | 2003-06-16 | 2005-04-19 | Blue29 Llc | Methods and system for processing a microelectronic topography |
WO2004113585A2 (en) * | 2003-06-18 | 2004-12-29 | Applied Materials, Inc. | Atomic layer deposition of barrier materials |
US6930060B2 (en) | 2003-06-18 | 2005-08-16 | International Business Machines Corporation | Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric |
JP3965167B2 (ja) * | 2003-07-04 | 2007-08-29 | 東京エレクトロン株式会社 | 熱処理方法及び熱処理装置 |
US20050022735A1 (en) * | 2003-07-31 | 2005-02-03 | General Electric Company | Delivery system for PECVD powered electrode |
US7408225B2 (en) | 2003-10-09 | 2008-08-05 | Asm Japan K.K. | Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms |
US8536492B2 (en) | 2003-10-27 | 2013-09-17 | Applied Materials, Inc. | Processing multilayer semiconductors with multiple heat sources |
US20050095859A1 (en) | 2003-11-03 | 2005-05-05 | Applied Materials, Inc. | Precursor delivery system with rate control |
US20050104142A1 (en) | 2003-11-13 | 2005-05-19 | Vijav Narayanan | CVD tantalum compounds for FET get electrodes |
US20050153571A1 (en) | 2003-11-17 | 2005-07-14 | Yoshihide Senzaki | Nitridation of high-k dielectric films |
US20050130438A1 (en) | 2003-12-15 | 2005-06-16 | Texas Instruments Incorporated | Method of fabricating a dielectric layer for a semiconductor structure |
US6983892B2 (en) * | 2004-02-05 | 2006-01-10 | Applied Materials, Inc. | Gas distribution showerhead for semiconductor processing |
US20050252449A1 (en) | 2004-05-12 | 2005-11-17 | Nguyen Son T | Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system |
US8119210B2 (en) | 2004-05-21 | 2012-02-21 | Applied Materials, Inc. | Formation of a silicon oxynitride layer on a high-k dielectric material |
US20060153995A1 (en) | 2004-05-21 | 2006-07-13 | Applied Materials, Inc. | Method for fabricating a dielectric stack |
US20060062917A1 (en) | 2004-05-21 | 2006-03-23 | Shankar Muthukrishnan | Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane |
US20060019033A1 (en) | 2004-05-21 | 2006-01-26 | Applied Materials, Inc. | Plasma treatment of hafnium-containing materials |
US8323754B2 (en) | 2004-05-21 | 2012-12-04 | Applied Materials, Inc. | Stabilization of high-k dielectric materials |
US7241686B2 (en) | 2004-07-20 | 2007-07-10 | Applied Materials, Inc. | Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA |
US20060019032A1 (en) | 2004-07-23 | 2006-01-26 | Yaxin Wang | Low thermal budget silicon nitride formation for advance transistor fabrication |
US20060084283A1 (en) | 2004-10-20 | 2006-04-20 | Paranjpe Ajit P | Low temperature sin deposition methods |
US20060156979A1 (en) | 2004-11-22 | 2006-07-20 | Applied Materials, Inc. | Substrate processing apparatus using a batch processing chamber |
US7429402B2 (en) | 2004-12-10 | 2008-09-30 | Applied Materials, Inc. | Ruthenium as an underlayer for tungsten film deposition |
US7265048B2 (en) | 2005-03-01 | 2007-09-04 | Applied Materials, Inc. | Reduction of copper dewetting by transition metal deposition |
US7473655B2 (en) | 2005-06-17 | 2009-01-06 | Applied Materials, Inc. | Method for silicon based dielectric chemical vapor deposition |
US20060286774A1 (en) | 2005-06-21 | 2006-12-21 | Applied Materials. Inc. | Method for forming silicon-containing materials during a photoexcitation deposition process |
US7601652B2 (en) | 2005-06-21 | 2009-10-13 | Applied Materials, Inc. | Method for treating substrates and films with photoexcitation |
US7651955B2 (en) | 2005-06-21 | 2010-01-26 | Applied Materials, Inc. | Method for forming silicon-containing materials during a photoexcitation deposition process |
US20060286819A1 (en) | 2005-06-21 | 2006-12-21 | Applied Materials, Inc. | Method for silicon based dielectric deposition and clean with photoexcitation |
US7648927B2 (en) | 2005-06-21 | 2010-01-19 | Applied Materials, Inc. | Method for forming silicon-containing materials during a photoexcitation deposition process |
US20070020890A1 (en) | 2005-07-19 | 2007-01-25 | Applied Materials, Inc. | Method and apparatus for semiconductor processing |
US7317229B2 (en) | 2005-07-20 | 2008-01-08 | Applied Materials, Inc. | Gate electrode structures and methods of manufacture |
US20070049043A1 (en) | 2005-08-23 | 2007-03-01 | Applied Materials, Inc. | Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement |
US7402534B2 (en) | 2005-08-26 | 2008-07-22 | Applied Materials, Inc. | Pretreatment processes within a batch ALD reactor |
US20070065578A1 (en) | 2005-09-21 | 2007-03-22 | Applied Materials, Inc. | Treatment processes for a batch ALD reactor |
US7464917B2 (en) | 2005-10-07 | 2008-12-16 | Appiled Materials, Inc. | Ampoule splash guard apparatus |
US7850779B2 (en) | 2005-11-04 | 2010-12-14 | Applied Materisals, Inc. | Apparatus and process for plasma-enhanced atomic layer deposition |
US7562672B2 (en) | 2006-03-30 | 2009-07-21 | Applied Materials, Inc. | Chemical delivery apparatus for CVD or ALD |
US7978964B2 (en) | 2006-04-27 | 2011-07-12 | Applied Materials, Inc. | Substrate processing chamber with dielectric barrier discharge lamp assembly |
-
2005
- 2005-04-29 US US11/119,388 patent/US20050252449A1/en not_active Abandoned
- 2005-05-12 CN CN2005800084063A patent/CN1934287B/zh not_active Expired - Fee Related
- 2005-05-12 EP EP20050760813 patent/EP1745159A2/en not_active Withdrawn
- 2005-05-12 EP EP20050748115 patent/EP1745160A1/en not_active Withdrawn
- 2005-05-12 KR KR1020067026140A patent/KR101316056B1/ko not_active IP Right Cessation
- 2005-05-12 US US11/127,767 patent/US20050271813A1/en not_active Abandoned
- 2005-05-12 WO PCT/US2005/016603 patent/WO2005113855A1/en active Application Filing
- 2005-05-12 WO PCT/US2005/016694 patent/WO2005113852A2/en active Application Filing
- 2005-05-12 JP JP2007513353A patent/JP5063344B2/ja active Active
- 2005-05-12 JP JP2007513372A patent/JP5053079B2/ja active Active
- 2005-05-12 CN CN200580008347XA patent/CN101052745B/zh not_active Expired - Fee Related
- 2005-05-12 US US11/127,753 patent/US8343279B2/en active Active
-
2007
- 2007-10-26 US US11/925,681 patent/US8282992B2/en not_active Expired - Fee Related
- 2007-10-26 US US11/925,684 patent/US7794544B2/en not_active Expired - Fee Related
Also Published As
Publication number | Publication date |
---|---|
US20080041307A1 (en) | 2008-02-21 |
CN1934287B (zh) | 2012-06-20 |
JP5063344B2 (ja) | 2012-10-31 |
US20050271813A1 (en) | 2005-12-08 |
JP2007537360A (ja) | 2007-12-20 |
EP1745160A1 (en) | 2007-01-24 |
KR101316056B1 (ko) | 2013-10-10 |
JP2007537605A (ja) | 2007-12-20 |
US8343279B2 (en) | 2013-01-01 |
WO2005113855A1 (en) | 2005-12-01 |
CN101052745B (zh) | 2011-02-23 |
WO2005113852A3 (en) | 2006-05-18 |
US20080044569A1 (en) | 2008-02-21 |
US20050271812A1 (en) | 2005-12-08 |
WO2005113852A2 (en) | 2005-12-01 |
US20050252449A1 (en) | 2005-11-17 |
CN1934287A (zh) | 2007-03-21 |
US8282992B2 (en) | 2012-10-09 |
US7794544B2 (en) | 2010-09-14 |
KR20070015959A (ko) | 2007-02-06 |
EP1745159A2 (en) | 2007-01-24 |
CN101052745A (zh) | 2007-10-10 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP5053079B2 (ja) | ハフニウム含有高誘電率誘電材料の原子層堆積装置および方法 | |
US20080063798A1 (en) | Precursors and hardware for cvd and ald | |
US8187381B2 (en) | Process gas delivery for semiconductor process chamber | |
US8507389B2 (en) | Methods for forming dielectric layers | |
US8119210B2 (en) | Formation of a silicon oxynitride layer on a high-k dielectric material | |
TWI541248B (zh) | 有機胺基矽烷前驅物及其製造和使用方法 | |
KR101060911B1 (ko) | Ald 또는 cvd 공정을 통한 금속 함유 막의 제조 | |
JP2009508335A (ja) | トリス(ジメチルアミド)シランを含むハフニウムシリケート材料の気相堆積 | |
WO2009131857A2 (en) | A hardware set for growth of high k and capping material films | |
TW201213589A (en) | Methods for forming tungsten-containing layers | |
KR101304395B1 (ko) | 하프늄-함유 높은-k 유전체 물질의 원자 층 증착을 위한 장치 및 방법 | |
EP1560945A2 (en) | Method and apparatus for providing and integrating a general metal delivery source (gmds) with atomic layer deposition (ald) | |
TWI837142B (zh) | 形成含鉻膜的方法與以含氧化鉻膜或含鉻膜填充縫隙的方法 | |
TW200822191A (en) | Precursors and hardware for CVD and ALD |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20080422 |
|
RD03 | Notification of appointment of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7423 Effective date: 20101130 |
|
RD04 | Notification of resignation of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7424 Effective date: 20101210 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20110621 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20111025 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20120120 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20120127 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20120224 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20120404 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20120525 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20120626 |
|
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20120725 |
|
R150 | Certificate of patent or registration of utility model |
Free format text: JAPANESE INTERMEDIATE CODE: R150 Ref document number: 5053079 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20150803 Year of fee payment: 3 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |