KR100647442B1 - 원자층 증착법을 이용한 박막 형성방법 - Google Patents

원자층 증착법을 이용한 박막 형성방법 Download PDF

Info

Publication number
KR100647442B1
KR100647442B1 KR1020000031040A KR20000031040A KR100647442B1 KR 100647442 B1 KR100647442 B1 KR 100647442B1 KR 1020000031040 A KR1020000031040 A KR 1020000031040A KR 20000031040 A KR20000031040 A KR 20000031040A KR 100647442 B1 KR100647442 B1 KR 100647442B1
Authority
KR
South Korea
Prior art keywords
reaction chamber
reactor
thin film
seconds
torr
Prior art date
Application number
KR1020000031040A
Other languages
English (en)
Other versions
KR20010110531A (ko
Inventor
박창수
Original Assignee
주성엔지니어링(주)
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주성엔지니어링(주) filed Critical 주성엔지니어링(주)
Priority to KR1020000031040A priority Critical patent/KR100647442B1/ko
Priority to US09/874,686 priority patent/US20010050039A1/en
Publication of KR20010110531A publication Critical patent/KR20010110531A/ko
Application granted granted Critical
Publication of KR100647442B1 publication Critical patent/KR100647442B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/38Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31616Deposition of Al2O3
    • H01L21/3162Deposition of Al2O3 on a silicon body

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

공정 주기를 단축시킬 수 있는 ALD법을 이용한 박막 형성방법에 관하여 개시한다. 본 발명은, 반응실로 제1 반응기체를 운반기체와 더불어 유입하여 실리콘 기판 상에 제1 반응기체를 화학 흡착시킨다. 다음에, 제2 반응기체가 화학 흡착됨과 동시에 제1 반응기체중의 미반응 잔류 기체가 제2 반응기체에 의해 제거되도록 제2 반응기체를 반응실로 유입하되 제1 반응기체의 화학 흡착 때보다 반응실의 압력이 더 낮게 되도록 유입한다. 이어서, 제2 반응기체가 더 조밀하게 화학 흡착되도록 제2 반응기체를 반응실로 유입하되 제1 반응기체의 화학 흡착 때보다 반응실의 압력이 더 높게 되도록 유입한다. 본 발명에 의하면, 종래보다 단위 공정 주기당 단계 수를 반으로 줄일 수 있으므로 단위 시간당 생산성을 향상시킬 수 있다.
원자층 증착법, 화학 기상 증착법, 화학 흡착, 물리 흡착

Description

원자층 증착법을 이용한 박막 형성방법 {Method of forming a thin film using atomic layer deposition}
도 1은 종래의 ALD법을 이용한 박막 형성방법을 설명하기 위한 그래프; 및
도 2는 본 발명에 의한 ALD법을 이용한 박막 형성방법을 설명하기 위한 그래프이다.
본 발명은 원자층 증착법(Atomic Layer Deposition, 이하 'ALD법')을 이용한 박막 형성방법에 관한 것으로서, 특히 공정 주기를 단축시킬 수 있는 ALD법을 이용한 박막 형성방법에 관한 것이다
일반적으로, 박막은 반도체 소자의 유전체(Dielectrics), 액정 표시 소자(Liquid-Crystal-Display)의 투명한 도전체, 및 전자 발광 표시 소자의 보호층 등으로 다양하게 사용된다. 이러한 박막은 일반적으로 증기법(Evaporation Method), 화학 기상 증착법(Chemical Vapor Deposition, 이하 'CVD법'), ALD법 등으로 형성한다.
CVD법은 생산성이 좋은 반면에, 염소 등을 포함한 소스가스를 이용하여 박막을 형성할 경우, 박막 내에 잔류한 염소 등과 같은 불순물을 제거하기 위하여 플라즈마(Plasma) 처리와 같은 추가 공정이 필요한 단점이 있다. 최근에는 박막의 두께 균일성, 단차피복성(Step Coverage), 및 초기 상압(Atmospheric Pressure)으로 사용할 때의 오염 등의 문제점을 극복하기 위하여 저압 영역에서 CVD 공정을 많이 진행하고 있다.
이와 같이 저압에서 공정을 진행할 경우에는 증착 속도가 감소하게 되어 생산성이 떨어지게 된다. 따라서, 증착 속도를 증가시키기 위해서는 반응기체의 분압을 높이거나 공정 온도를 증가시켜야 한다. 그러나 반응기체의 분압을 높이는 것은 미반응기체들 상호간의 반응을 유발시켜 원하지 않는 입자에 의한 오염을 발생시키고, 공정 온도를 증가시키는 것은 하지막의 변형을 초래하여 바람직하지 않다.
반면, ALD법은 CVD법에 비해 생산성이 낮은 단점이 있지만, 낮은 온도에서 우수한 단차피복성과 균일한 조성을 가지는 박막을 형성시킬 수 있고, 박막 내의 불순물 농도를 감소시킬 수 있다.
도 1은 종래의 미국특허 제4,413,022호에 개시된 바 있는 ALD법을 이용한 박막 형성방법을 설명하기 위한 그래프이다.
도 1을 참조하면, 제1 반응기체를 반응실로 유입하여 압력 P1의 상태에서 t1의 시간 동안 유지되도록 한다. 이어서, 제1 반응기체의 유입을 중단시키고, 실리 콘 기판 상에 제1 반응기체가 단층막 이상으로 흡착되는 것을 방지하고 반응실의 미반응한 잔류 기체를 제거하기 위하여 Ar 또는 He과 같은 불활성 기체를 tp1의 시간 동안 반응실로 유입한다.
다음에, 환원 기체 또는 제2 반응기체를 반응실로 유입하여 압력 P2의 상태에서 t2의 시간 동안 유지되도록 한다. 이어서, 환원 기체 또는 제2 반응기체의 유입을 중단시키고, 반응실의 미반응한 잔류 기체를 제거하기 위하여 Ar 또는 He과 같은 불활성 기체를 tp2의 시간 동안 반응실로 유입한다.
이때, 상기 공정 중에서 제1 및 제2 반응기체에 대한 실리콘 기판의 노출 시간은 최소화가 요구되므로 저압에서의 공정 진행이 필요하다. 한편, 반응실의 잔류 기체를 제거하기 위한 불활성 기체는 충분한 시간 동안 유입시켜야 한다.
도 1과 같은 방법을 이용하여 알루미나(Alumina: Al2O3) 박막을 형성시킨 예가 아래와 같다.
도 1과 결부하여 설명하면, 증착 온도 370℃에서 트리메틸알루미늄(Tri-Methyl-Aluminum, Al(CH3)3, 이하 'TMA')을 반응실로 유입하여 압력 230mTorr(P1)의 상태에서 1초(t1) 동안 유지되도록 한다. 이어서, TMA의 유입을 중단시키고, 실리콘 기판 상에 TMA가 단층막 이상으로 흡착되는 것을 방지하고 반응실의 미반응한 잔류 기체를 제거하기 위하여 Ar을 14초(tp1) 동안 반응실로 유입한다.
다음에, 초순수(Distilled Water, 이하 'DIW')의 수증기를 반응실로 유입하 여 압력 200mTorr(P2)의 상태에서 1초(t2) 동안 유지되도록 한다. 이어서, TMA의 유입을 중단시키고, 반응실의 미반응한 잔류 기체를 제거하기 위하여 Ar을 14초(tp2) 동안 반응실로 유입한다.
상기의 공정에서는 1회 주기 즉, 30초 동안의 실시에 의한 알루미나 막의 증착 두께가 0.3nm 정도이다. 따라서, 알루미나 박막을 10nm 정도의 두께로 증착하기 위해서는 이러한 주기를 34회 정도 반복하여야 하므로, 약 1000초의 시간이 소요된다. 이와 같이 ALD법에 의할 경우는 CVD법을 이용하는 경우보다 단위 시간당 생산성이 크게 떨어지게 된다. 그러므로 이를 보완하기 위해서는 많은 수의 집합형 설비(Cluster System)의 도입이 필요하며, 반도체 장치 등의 제조 원가 상승 요인으로 작용하게 된다.
따라서, 본 발명이 이루고자 하는 기술적 과제는 단위 공정 주기 소요 시간을 단축함으로써 생산성을 향상시키는 원자층 증착법을 이용한 박막 형성방법을 제공하는 데 있다.
상기 기술적 과제들을 달성하기 위한 본 발명의 ALD법을 이용한 박막 형성방법은, 실리콘 기판을 반응실에 위치시키는 제1 단계와; 상기 반응실로 제1 반응기 체를 운반기체와 더불어 유입하여 상기 실리콘 기판 상에 상기 제1 반응기체를 화학 흡착시키는 제2 단계와; 상기 제2 단계에서 보다 더 낮게 상기 반응실의 압력이 유지되도록, 상기 제2 단계를 중지함과 동시에 상기 반응실로 제2 반응기체를 1차 유입하여, 상기 실리콘 기판 상에 상기 제2 반응기체를 화학 흡착시킴과 동시에 상기 제1 반응기체중 미반응 잔류 기체를 제거하는 제3 단계와; 상기 제2 단계보다 더 높게 상기 반응실의 압력이 유지되도록 상기 제2 반응기체를 상기 반응실로 2차 유입하여, 상기 제2 반응기체를 화학 흡착시키는 제4 단계를 포함하는 것을 특징으로 한다.
이 때, 상기 제3 단계에 있어서의 상기 제2 반응기체가 운반기체와 더불어 유입되는 것이 바람직하며, 상기 제4 단계에 있어서의 상기 제2 반응기체가 운반기체와 더불어 유입되는 것이 바람직하다.
한편, 증착시키고자 하는 박막이 두꺼울 경우에는 상기 제2 단계 내지 제4 단계를 순차적으로 2회 이상 반복할 수 도 있다.
이하, 첨부한 도면을 참조하여 본 발명의 바람직한 실시예들을 상세히 설명한다.
도 2는 본 발명에 의한 ALD법을 이용한 박막 형성방법을 설명하기 위한 그래프이다.
[실시예 1]
ALD법을 이용한 TiN 박막을 형성하는 방법을 도 2와 결부하여 설명하면 다 음과 같다.
우선, 산화막이 증착된 실리콘 기판을 반응실에 위치시킨다. 그 다음, 반응실의 온도를 500℃로 유지하고, 반응실이 0.04Torr∼0.06Torr의 압력(P1')으로 0.8초∼1.2초(t1') 동안 유지되도록 제1 반응기체 예컨대, TiCl4와 함께 운반기체 예컨대, Ar를 80∼120sccm의 유량으로 유입하여 TiClX를 실리콘 기판 상에 화학 흡착시킨다. 불필요한 물리 흡착 층이 억제되도록 가능한 한 저압에서 단시간 동안 이러한 화학 흡착을 진행시킨다. 이때, 불활성 기체를 함께 유입하는 이유는, 반응실에 잔류하는 미반응 기체가 후속의 제2 반응기체와 반응할 수 있는 확률을 최소화하기 위해서 이다. 특히, 제1 반응기체가 점성이 있는 경우에는 불활성 기체가 제1 반응기체의 점도를 희석시키는 역할도 하므로 제1 반응기체가 반응실에 흡착되는 것이 방지되어 더욱 유용하다.
이어서, 반응실의 압력이 TiCl4 및 Ar에 의해 형성된 압력 보다 낮은 0.008Torr∼0.012Torr의 압력(P2')으로 3초∼5초(t2') 동안 유지되도록 제2 반응기체 예컨대, NH3를 240∼360sccm의 유량으로 유입하여 실리콘 기판 상에 NH3의 질소 성분을 화학 흡착시켜 TiN 박막을 형성시키는 동시에 이미 유입된 TiCl4중 실리콘 기판 상에 흡착하지 않은 잔류 기체를 제거한다.
계속해서, 반응실의 압력이 TiCl4 및 Ar에 의해 형성된 압력 보다 높은 0.2Torr∼0.3Torr의 압력(P2'')으로 8초∼12초(t2'') 동안 유지되도록 제2 반응기체 예컨대, NH3를 240∼360sccm의 유량으로 유입하여 실리콘 기판 상에 NH3의 질소 성분이 조밀하게 화학 흡착되도록 하여 결과적으로 TiN 박막을 형성시킨다.
한편, 열 화학 기상 증착법(Thermal Chemical Vapor Deposition)에서 가장 보편적으로 적용되는 샤워 헤드(Shower Head)를 사용하여 기체를 주입할 경우, 처음에는 불순물 미립자(Particle)의 발생 량이 미미하나 반응기체에 대한 샤워 헤드의 노출 빈도수가 점차 증가됨에 따라 불완전한 반응이 샤워 헤드 내에서 발생하게 되어 원하지 않는 불순물 미립자가 증가하게 된다. 따라서, 이를 방지하기 위해 종래의 샤워 헤드 대신에 하나 이상의 분사구를 갖는 다중 분사기를 이용하여 TiCl4, Ar 및 NH3를 각각 유입하는 것이 바람직하다.
상기와 같이 TiN 박막을 증착한 결과, 박막 증착 속도는 1공정 주기당 11.8∼18.2초의 시간이 소요되며, 증착된 박막의 두께는 1공정 주기당 1.2∼1.8nm로 형성된다. 또한, 접촉구 바닥 직경이 0.3㎛, 접촉구경비가 3.8일 때 90% 이상의 단차피복성(Step Coverage)을 얻을 수 있었고, 비저항은 130μΩ·㎝이다.
한편, 박막내 염소 성분이 함유될 경우에는, 박막내 함유되어 있는 염소가 대기중의 수분과 반응하여 강산인 HCl이 형성되고, 이로 인해 증착된 박막 및 그 박막 위에 증착되는 알루미늄과 같은 금속 배선이 손상되어 금속 배선의 신뢰성이 저하되게 된다. 본 발명에 의해 증착된 상기 TiN 박막은, 박막내 염소 농도가 XPS(X-Ray Photoelectron Spectroscopy)로 측정시 그 측정 한계 이하로 나타나므 로, 금속 배선에 대한 신뢰성을 증대시킬 뿐만 아니라 금속 배선을 더욱 미세화 시킬 수 있다.
[실시예 2]
ALD법을 이용한 알루미나(Alumina: Al2O3) 박막을 형성하는 방법을 도 2와 결부하여 설명하면 다음과 같다.
우선, 산화막이 증착된 실리콘 기판을 반응실에 위치시킨다. 그 다음, 반응실의 온도를 350℃로 유지하고, 반응실이 0.2Torr∼0.3Torr의 압력(P1')으로 0.8초∼1.2초(t1') 동안 유지되도록 TMA와 더불어 Ar을 80∼120sccm의 유량으로 유입하여 TMA를 실리콘 기판 상에 화학 흡착시킨다.
이어서, 반응실의 압력이 TMA 및 Ar에 의해 형성된 압력 보다 낮은 0.04Torr∼0.06Torr의 압력(P2')으로 3.2초∼4.8초(t2') 동안 유지되도록 DIW 및 Ar을 80∼120sccm의 유량으로 유입하여 DIW의 산소 성분을 실리콘 기판 상에 화학 흡착시켜 알루미나 박막을 형성시키는 동시에 이미 유입된 TMA중 실리콘 기판 상에 흡착되지 않은 잔류 기체를 제거한다. 이때, 불활성 기체를 함께 유입하는 이유는, 실리콘 기판 상에 화학 흡착된 TMA의 단층막 상에 존재하는 물리 흡착 층에 대하여 불활성 기체에 의한 충돌을 유발하여 TMA의 미반응된 잔류 기체의 제거 효율을 높이기 위해서 이다.
계속해서, 반응실의 압력이 TMA 및 Ar에 의해 형성된 압력 보다 높은 0.2Torr∼0.3Torr의 압력(P2'')으로 4초∼6초(t2'') 동안 유지되도록 DIW 및 Ar를 80∼120sccm의 유량으로 유입하여 DIW의 산소 성분을 실리콘 기판 상에 조밀하게 화학 흡착시켜 결과적으로 알루미나 박막을 형성시킨다. 이때, 불활성 기체를 함께 유입하는 이유는 DIW의 물리 흡착을 방지하거나 최소화하기 위해서 이다.
한편, 실시예 1의 경우처럼, TMA, Ar, 및 DIW는 각각 하나 이상의 분사구를 갖는 다중 분사기를 이용하여 유입하는 것이 바람직하다.
상기와 같이 알루미나 박막을 증착한 결과, 박막 증착 속도는 1공정 주기당 8∼12초의 시간이 소요되며, 증착된 박막의 두께는 1공정 주기당 0.17∼0.25nm로 형성된다. 또한, 반사율(Reflective Index)은 633nm 파장에서는 실리콘 기판에 대해서는 1.6, 실리콘 산화막(SiO2)에 대해서는 1.62이다. 접촉구 바닥 직경이 0.3㎛, 접촉구경비가 3.8일 때 90% 이상의 단차피복성(Step Coverage)을 얻을 수 있다. 한편, 박막내 탄소의 농도는 XPS로 측정시 그 측정 한계 이하로 나타나므로 박막의 밀도 및 전기적인 특성이 개선되었다.
상기의 결과에서, 1공정 주기당 예컨대, 12초 동안 최소 0.17nm의 두께로 알루미나 박막이 형성된다. 따라서, 알루미나 박막을 10nm 정도의 두께로 증착하기 위해서는 이러한 공정을 60회 정도 반복하면 되므로 720초의 시간이 소요된다. 이와 같이, 본 발명에 의할 경우 종래의 ALD법에 의해 알루미나 박막을 생산하는 경우보다 단위 시간당 생산성이 크게 향상된다.
상술한 바와 같이 본 발명의 ALD법을 이용한 박막 형성 방법에 의하면, 종래보다 단위 공정 주기당 단계 수를 반으로 줄일 수 있으므로 단위 시간당 생산성을 향상시킬 수 있다.
또한, 박막내 잔류 염소 농도를 현저히 감소시킴으로써 플라즈마(Plasma) 처리와 같은 별도의 추가 공정이 필요 없을 뿐만 아니라, 금속 배선에 대한 신뢰성을 증대시키고 금속 배선을 더욱 미세화 할 수 있다.
나아가, 종래보다 낮은 온도에서 증착이 가능하고, 금속-유기 반응기체를 사용할 때 박막내 함유되는 탄소의 농도가 현저히 감소되므로 증착되는 박막의 밀도 및 전기적 특성이 개선된다.
본 발명은 상기 실시예들에만 한정되지 않으며, 본 발명의 기술적 사상 내에서 당 분야의 통상의 지식을 가진 자에 의해 많은 변형이 가능함은 명백하다.

Claims (12)

  1. 실리콘 기판을 반응실에 위치시키는 제1 단계와;
    상기 반응실로 제1 반응기체를 운반기체와 더불어 유입하여 상기 실리콘 기판 상에 상기 제1 반응기체를 화학 흡착시키는 제2 단계와;
    상기 제2 단계에서 보다 더 낮게 상기 반응실의 압력이 유지되도록, 상기 제2 단계를 중지함과 동시에 상기 반응실로 제2 반응기체를 1차 유입하여, 상기 실리콘 기판 상에 상기 제2 반응기체를 화학 흡착시킴과 동시에 상기 제1 반응기체중 미반응 잔류 기체를 제거하는 제3 단계와;
    상기 제2 단계보다 더 높게 상기 반응실의 압력이 유지되도록 상기 제2 반응기체를 상기 반응실로 2차 유입하여, 상기 제2 반응기체를 화학 흡착시키는 제4 단계를 포함하는 것을 특징으로 하는 원자층 증착법을 이용한 박막 형성방법.
  2. 제1항에 있어서, 상기 제3 단계에서의 상기 제2 반응기체가, 운반기체와 더불어 유입되는 것을 특징으로 하는 원자층 증착법을 이용한 박막 형성방법.
  3. 제1항에 있어서, 상기 제4 단계에서의 상기 제2 반응기체가, 운반기체와 더불어 유입되는 것을 특징으로 하는 원자층 증착법을 이용한 박막 형성방법.
  4. 제1항에 있어서, 상기 제2 단계 내지 제4 단계가 순차적으로 2회 이상 반복되 는 것을 특징으로 하는 원자층 증착법을 이용한 박막 형성방법.
  5. 제1항에 있어서, 상기 실리콘 기판 상에 TiN 박막이 형성되도록, 상기 제1 반응기체는 Ti 성분을 함유한 기체이고, 상기 제2 반응기체는 질소 성분을 함유한 기체인 것을 특징으로 하는 원자층 증착법을 이용한 박막 형성방법.
  6. 제5항에 있어서, 상기 제1 반응기체는 TiCl4이고, 상기 제2 반응기체는 NH3인 것을 특징으로 하는 원자층 증착법을 이용한 박막 형성방법.
  7. 제6항에 있어서, 상기 반응실의 온도는 500℃이고, 상기 제2 단계에서의 반응실의 압력은 0.04Torr∼0.06Torr이며, 상기 제3 단계에서의 반응실의 압력은 0.008Torr∼0.012Torr이고, 상기 제4 단계에서의 반응실의 압력은 0.2Torr∼0.3Torr인 것을 특징으로 하는 원자층 증착법을 이용한 박막 형성방법.
  8. 제7항에 있어서, 상기 제2 단계가 유지되는 시간은 0.8초∼1.2초이고, 상기 제3 단계가 유지되는 시간은 3초∼5초이며, 상기 제4 단계가 유지되는 시간은 8초∼12초인 것을 특징으로 하는 원자층 증착법을 이용한 박막 형성방법.
  9. 제1항에 있어서, 상기 실리콘 기판 상에 알루미나 박막이 형성되도록 상기 제1 반응기체는 알루미늄 성분을 함유한 기체이고, 상기 제2 반응기체는 산소 성분을 함유한 기체인 것을 특징으로 하는 원자층 증착법을 이용한 박막 형성방법.
  10. 제9항에 있어서, 상기 제1 반응기체는 트리메틸알루미늄이고, 상기 제2 반응기체는 초순수의 수증기인 것을 특징으로 하는 원자층 증착법을 이용한 박막 형성방법.
  11. 제10항에 있어서, 상기 반응실 내의 온도는 350℃이고, 상기 제2 단계에서의 반응실의 압력은 0.2Torr∼0.3Torr이며, 상기 제3 단계에서의 반응실의 압력은0.04r∼0.06Torr이고, 상기 제4 단계에서의 반응실의 압력은 0.2Torr∼0.3Torr인 것을 특징으로 하는 원자층 증착법을 이용한 박막 형성방법.
  12. 제11항에 있어서, 상기 제2 단계가 유지되는 시간은 0.8∼1.2초이고, 상기 제3 단계가 유지되는 시간은 3.2초∼4.8초이며, 상기 제4 단계가 유지되는 시간은 4초∼6초인 것을 특징으로 하는 원자층 증착법을 이용한 박막 형성방법.
KR1020000031040A 2000-06-07 2000-06-07 원자층 증착법을 이용한 박막 형성방법 KR100647442B1 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020000031040A KR100647442B1 (ko) 2000-06-07 2000-06-07 원자층 증착법을 이용한 박막 형성방법
US09/874,686 US20010050039A1 (en) 2000-06-07 2001-06-05 Method of forming a thin film using atomic layer deposition method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020000031040A KR100647442B1 (ko) 2000-06-07 2000-06-07 원자층 증착법을 이용한 박막 형성방법

Publications (2)

Publication Number Publication Date
KR20010110531A KR20010110531A (ko) 2001-12-13
KR100647442B1 true KR100647442B1 (ko) 2006-11-17

Family

ID=19671304

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020000031040A KR100647442B1 (ko) 2000-06-07 2000-06-07 원자층 증착법을 이용한 박막 형성방법

Country Status (2)

Country Link
US (1) US20010050039A1 (ko)
KR (1) KR100647442B1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101815736B1 (ko) * 2014-08-12 2018-01-05 도쿄엘렉트론가부시키가이샤 처리 장치

Families Citing this family (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
WO2002045871A1 (en) * 2000-12-06 2002-06-13 Angstron Systems, Inc. System and method for modulated ion-induced atomic layer deposition (mii-ald)
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US20020144786A1 (en) * 2001-04-05 2002-10-10 Angstron Systems, Inc. Substrate temperature control in an ALD reactor
US6596643B2 (en) 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6849545B2 (en) * 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US20030198754A1 (en) * 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
AU2003238853A1 (en) 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US6838125B2 (en) 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US7150789B2 (en) * 2002-07-29 2006-12-19 Micron Technology, Inc. Atomic layer deposition methods
US6890596B2 (en) * 2002-08-15 2005-05-10 Micron Technology, Inc. Deposition methods
US6753271B2 (en) * 2002-08-15 2004-06-22 Micron Technology, Inc. Atomic layer deposition methods
US20040036129A1 (en) * 2002-08-22 2004-02-26 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US6967154B2 (en) * 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US6673701B1 (en) * 2002-08-27 2004-01-06 Micron Technology, Inc. Atomic layer deposition methods
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US6905737B2 (en) 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US7244683B2 (en) 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
US20040134427A1 (en) * 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
US7192849B2 (en) * 2003-05-07 2007-03-20 Sensor Electronic Technology, Inc. Methods of growing nitride-based film using varying pulses
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US8486845B2 (en) * 2005-03-21 2013-07-16 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7473637B2 (en) * 2005-07-20 2009-01-06 Micron Technology, Inc. ALD formed titanium nitride films
TWI329135B (en) 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7521379B2 (en) 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
JP6017396B2 (ja) * 2012-12-18 2016-11-02 東京エレクトロン株式会社 薄膜形成方法および薄膜形成装置
JP6485536B1 (ja) * 2017-12-28 2019-03-20 株式会社Sumco エピタキシャルウェーハの製造装置及び製造方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
KR20000013654A (ko) * 1998-08-12 2000-03-06 윤종용 원자층 증착 방법으로 형성한 알루미나/알루미늄나이트라이드복합 유전체막을 갖는 캐패시터와 그제조 방법
KR20000015134A (ko) * 1998-08-27 2000-03-15 윤종용 질화티탄 전극층을 갖는 게이트 전극 및 그제조방법
KR20000017682A (ko) * 1999-02-25 2000-04-06 정수홍 원자층박막증착장치

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100297719B1 (ko) * 1998-10-16 2001-08-07 윤종용 박막제조방법

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
KR20000013654A (ko) * 1998-08-12 2000-03-06 윤종용 원자층 증착 방법으로 형성한 알루미나/알루미늄나이트라이드복합 유전체막을 갖는 캐패시터와 그제조 방법
KR20000015134A (ko) * 1998-08-27 2000-03-15 윤종용 질화티탄 전극층을 갖는 게이트 전극 및 그제조방법
KR20000017682A (ko) * 1999-02-25 2000-04-06 정수홍 원자층박막증착장치

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101815736B1 (ko) * 2014-08-12 2018-01-05 도쿄엘렉트론가부시키가이샤 처리 장치

Also Published As

Publication number Publication date
KR20010110531A (ko) 2001-12-13
US20010050039A1 (en) 2001-12-13

Similar Documents

Publication Publication Date Title
KR100647442B1 (ko) 원자층 증착법을 이용한 박막 형성방법
US7077904B2 (en) Method for atomic layer deposition (ALD) of silicon oxide film
US6468924B2 (en) Methods of forming thin films by atomic layer deposition
US6800542B2 (en) Method for fabricating ruthenium thin layer
KR100539274B1 (ko) 코발트 막 증착 방법
EP1920456B1 (en) Method of fabricating low resistance titanium nitride films
JP4974676B2 (ja) バリア膜の形成方法
KR100323874B1 (ko) 반도체 소자의 알루미늄 산화막 형성 방법
US7393783B2 (en) Methods of forming metal-containing structures
US8029858B2 (en) Methods of forming material on a substrate, and a method of forming a field effect transistor gate oxide on a substrate
KR20050072959A (ko) 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
US6812146B2 (en) Chemical vapor deposition process for depositing titanium nitride films from an organo-metallic compound
KR20010114050A (ko) 반도체 소자의 알루미늄 산화막 형성 방법
US20200263297A1 (en) Deposition of oxides and nitrides
KR20020001376A (ko) 반도체 소자의 알루미늄 산화막 형성 방법
KR100519376B1 (ko) 반도체 소자의 확산 방지막 형성 방법
US7538046B2 (en) Method of cleaning semiconductor device fabrication apparatus
KR100510473B1 (ko) 원자층 증착법을 이용한 반도체소자의 커패시터 상부 전극 형성방법
US20040028835A1 (en) Method for depositing thin film using plasma chemical vapor deposition
JPH066789B2 (ja) 硼燐珪酸ガラスの蒸着法
JPS62287513A (ja) 透明導電膜およびその製造方法
KR0161889B1 (ko) 반도체장치의 배선 형성방법
US6232234B1 (en) Method of reducing in film particle number in semiconductor manufacture
TWI228153B (en) Method of forming a thin film using atomic layer deposition method
JPH11135461A (ja) 銅配線ならびにその製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20110729

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee