CN100593235C - 用于铜金属化的ald氮化钽的集成 - Google Patents

用于铜金属化的ald氮化钽的集成 Download PDF

Info

Publication number
CN100593235C
CN100593235C CN200480016336A CN200480016336A CN100593235C CN 100593235 C CN100593235 C CN 100593235C CN 200480016336 A CN200480016336 A CN 200480016336A CN 200480016336 A CN200480016336 A CN 200480016336A CN 100593235 C CN100593235 C CN 100593235C
Authority
CN
China
Prior art keywords
tantalum
layer
deposition
process chamber
nitride
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN200480016336A
Other languages
English (en)
Other versions
CN1806325A (zh
Inventor
钟华
尼尔玛蕾·麦提
吉克·余
洛德里克·克莱格·莫斯理
张镁
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1806325A publication Critical patent/CN1806325A/zh
Application granted granted Critical
Publication of CN100593235C publication Critical patent/CN100593235C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明提供一种在集成处理装置中使用的方法和设备,用于沉积氮化钽钽阻挡层。在通过远程产生的等离子体进行的清洁步骤之后,通过原子层沉积来沉积氮化钽,并且通过PVD来沉积钽。将氮化钽钽从电介质层中的特征的底部被去除,以露出所沉积的氮化钽下方的导电材料。可选地,在去除步骤之后,可以通过物理气相沉积来沉积附加的钽层。可选地,氮化钽沉积和钽沉积可以发生在同一处理室中。最后沉积晶种层。

Description

用于铜金属化的ALD氮化钽的集成
技术领域
本发明的实施例涉及用于制造集成电路器件的方法。更具体地,本发明的实施例涉及在金属互连结构的形成中使用ALD氮化钽层的系统和方法。
背景技术
随着集成电路(IC)器件的结构尺寸被减小到亚1/4微米的尺度,电阻和电路密度成为认为关心和待改进的领域。多层互连技术提供了遍及IC器件导电路径,并且被形成为高宽高比的特征,包括接触、插塞、过孔、线、引线和其他特征。用于在衬底上形成互连的典型方法包括:沉积一层或者多层;刻蚀这些层中的至少一层以形成一个或者多个特征;在特征中沉积阻挡层;以及沉积一层或者多层以填充该特征。一般来说,特征形成于布置在下导电层和上导电层之间的电介质材料内。互连被形成在特征内,以连接上下导电层。可靠地形成这些互连特征对于电路的生产以及增大电路密度的不断努力以及单个衬底的质量而言是重要的。
铜是用于填充亚微米高宽高比互连特征的选用金属,因为铜及其合金具有比铝低的电阻率。但是,铜更容易扩散到周围材料中,并且可能改变邻近层的电子器件特性。扩散的铜可能在层之间形成导电路径,由此降低了整个电路的可靠性,并且甚至可以导致器件失效。因此,阻挡层在铜金属化之前被沉积,以防止或者阻止铜原子的扩散。阻挡层通常是难熔金属,诸如钨、钛、钽及其氮化物,这些都具有比铜大的电阻率。
为了在特征内沉积阻挡层,阻挡层通常被沉积在特征的底部以及其侧壁上。阻挡层在侧壁上的适当沉积通常导致在底部上过量沉积。阻挡层在特征底部上的过量的量不仅增大了特征的总电阻,而且还形成了多层互连结构的较上金属互连和较下金属互连之间的障碍。
因此,存在对于用于形成使互连的电阻最小化的金属互连结构的改进方法的需要。
发明内容
本发明的一个实施例提供了一种在半导体衬底上形成金属互连的方法,包括:在阻挡层沉积之前,通过在远程等离子体源中产生等离子体、将来自所述等离子体的自由基输送到包含所述衬底的第一处理室、以及使形成在电介质层中的特征与所述自由基接触,来清洁形成在所述电介质层中的所述特征并暴露所述电介质层下方的导电材料;在第二处理室中,在1和10Torr之间的压力以及200和300℃之间的温度下,通过原子层沉积在所述特征内沉积氮化钽层;在第三处理室中通过物理气相沉积在所述氮化钽层上沉积钽层;在第四处理室中等离子体刻蚀所述钽层和所述氮化钽层,以去除在所述特征的底部处的所述钽层和所述氮化钽层的至少一部分,来露出所述导电材料;通过物理气相沉积在所述钽层上可选地沉积附加的钽或铜;以及在第五处理室中,在所述导电材料和所述钽层上沉积晶种层,其中,所述第一处理室、所述第二处理室、所述第三处理室、所述第四处理室、以及所述第五处理室位于集成装置中。
一种用于在半导体衬底上形成金属互连的设备,包括:第一处理室,用于在阻挡层沉积之前,通过在远程等离子体源中产生等离子体、将来自所述等离子体的自由基输送到包含所述衬底的第一处理室、以及使形成在电介质层中的特征与所述自由基接触,来清洁形成在所述电介质层中的所述特征并暴露所述电介质层下方的导电材料;第二处理室,用于在1和10Torr之间的压力以及200和300℃之间的温度下,通过原子层沉积在所述特征内沉积氮化钽层;第三处理室,用于通过物理气相沉积在所述氮化钽层上沉积钽层;第四处理室,用于等离子体刻蚀所述钽层和所述氮化钽层,以去除在所述特征的底部处的所述钽层和所述氮化钽层的至少一部分,来露出所述导电材料,并且通过物理气相沉积在所述钽层上可选地沉积附加的钽或铜;以及第五处理室,用于在所述导电材料和所述钽层上沉积晶种层,其中,所述第一处理室、所述第二处理室、所述第三处理室、所述第四处理室、以及所述第五处理室位于集成装置中。
附图说明
可以参考本发明的实施例对上面所简要概述的本发明进行更具体的描述,由此可以详细理解实现本发明的所述特征的方式,其中,本发明的实施例被示于附图中。但是应该注意,所述描述和附图仅仅示出了本发明的典型实施例,并且因此不应被认为是对其范围的限制,因为本发明可以允许其他等效的实施例。
图1示出了在金属互连结构的形成中的一个阶段中使用ALD氮化钽层的方法的一个实施例。
图2示出了在金属互连结构的形成中的一个阶段中使用ALD氮化钽层的方法的另一个实施例。
图3A示出了在金属互连结构的形成中的一个阶段中使用ALD氮化钽层的方法的另一个实施例。
图3B示出了在金属互连结构的形成中使用两个ALD氮化钽沉积步骤的方法的实施例。
图4示出了适用于执行本文所述的互连制造工序的示例性集成组群装置的示意性平面图。
图5示出了典型的预清洁室。
图6示出了用于根据循环沉积技术形成薄阻挡层的示例性处理室的示意性局部横截面。
图7示出了用于根据物理气相沉积技术形成薄金属层的示例性处理室的示意性局部横截面。
图8示出了用于形成薄晶种层或者粘附层的示例性处理室的示意性局部横截面。
图9A-9F是在图1的方法中的各个阶段的示例性衬底结构的示意性表示。
图10是在图2的方法中的一个阶段的示例性衬底结构的示意性表示。
图11是在图3A的方法中的一个阶段的示例性衬底结构的示意性表示。
图12比较了对于具有铜-铝晶种的ALD氮化钽和具有铜晶种的PVD阻挡的作为无故障工作时间的函数的累积概率。
图13比较了对于具有穿通和ALD氮化钽层的ALD氮化钽;具有新穿通的ALD氮化钽层经过氩处理的ALD氮化钽;以及具有氮化钽、钽和钽覆平的基线的作为无故障工作时间的函数的累积概率。
具体实施方式
图1示出了在金属互连结构的形成中使用ALD氮化钽沉积的方法的一个实施例。在步骤101,进行预处理以制备用于进一步修饰的表面。预处理选项包括氮等离子体、水等离子体、氢和氦等离子体、低能等离子体、用钛或者铝预覆平或者其他预清洁工艺。在步骤102,通过原子层沉积在衬底结构上沉积氮化钽。在步骤104,通过物理气相沉积在步骤102中所形成的氮化钽上沉积钽层。在步骤106,进行穿通步骤,以去除在步骤102中所沉积的氮化钽中的一部分,并且去除在步骤104中所沉积的钽中的一部分。在步骤108,可以进行可选的钛覆平步骤,以通过物理气相沉积在步骤106的所得衬底结构上沉积钽。在步骤110,在步骤106或步骤108的所得衬底结构上形成晶种层。在步骤110后,可以进行可选的粘附层沉积步骤112或者铜或者其他沉积步骤114。
沉积装置
图4是可以适用于进行在本文中所述的方法的示例性多室处理系统600的示意性俯视图。这样的处理系统600可以是可从Santa Clara,California的Applied Materials,Inc.商购的EnduraTM系统。类似的多室处理系统公开于1993年2月16日授权的题目为“Stage Vacuum WaferProcessing System and Method”的美国专利No.5,186,718中,该专利通过应用被包含在本文中。
系统400一般包括用于将衬底转移到和转移出系统400的加载锁定室402和404。通常,因为系统400处于真空,加载锁定室402和404可以“抽空”被引入到系统400中的衬底。第一机械手410可以在加载锁定室402和404与第一组的一个或者多个衬底处理室412、414、416和418(示出了四个)之间转移衬底。每一个处理室412、414、416和418可以被装配来进行多种衬底处理操作,诸如包括原子层沉积(ALD)、化学气相沉积(CVD)、物理气相沉积(PVD)、刻蚀、预清洁、除气、取向或者其他衬底处理的循环层沉积。第一机械手410还将衬底转移到或者转移出一个或者多个转移室422和424。
转移室422和424用于维持超高真空条件,同时允许在系统400内转移衬底。第二机械手430可以在转移室422和424和第二组的一个或者多个处理室432、434、436和438之间转移衬底。类似于处理室412、414、416和418,处理室432、434、436和438可以被装配来进行各种衬底处理操作,诸如包括原子层沉积(ALD)、化学气相沉积(CVD)、物理气相沉积(PVD)、刻蚀、预清洁、除气和取向的循环层沉积。衬底处理室412、414、416、418、432、434、436、和418中的任一个可以被从系统400去除,如果系统400不需要进行某一特定处理的话。
参考图4,处理系统包括:一个或者多个原子层沉积(ALD)室,其被配置来沉积阻挡层,以及一个或者多个PVD室,其被配置来沉积晶种层。为了提高系统的效率和产量,处理系统的一种配置包括被布置连接到后端中心转移室的两个配置来沉积阻挡层的ALD室和两个配置来沉积晶种层的PVD室。在一种配置中,处理室434和436可以是氮化钽ALD室,处理室432和438可以是铜或者钽PVD室。
在用于执行图1的方法的另一配置中,处理室434可以是用于执行步骤102的氮化钽原子层沉积室;处理室432可以是用于执行步骤104、106和108的钽物理气相沉积室;并且处理室412可以是用于执行步骤110和可能的刻蚀步骤的铜物理气相沉积室。在另一个示例中,室436、438和414可以分别镜像室434、432和412,于是可以在集成的系统中处理两组衬底。这些系统400的具体布置被提供来说明本发明,而不应被用来限制本发明的范围,除非在权利要求中进行了明确阐明。
预清洁处理
本发明提供一种用于在金属化之前预清洁半导体衬底上的特征以去除污染物的方法。该方法包括从接触的底部去除二氧化硅而不损伤硅、从过孔的底部去除氧化铝或者氧化铜而不在侧壁上再沉积金属、从接触孔的底部去除薄层硅、以及从特征的侧壁去除污染物。
本发明提供一种合适的方法,该方法用于预清洁刻蚀在诸如二氧化硅层的电介质层中的过孔、接触和其他特征,所述电介质层被沉积在诸如Ge、Si、Al、Cu或者TiN下层的导电或者半导电下层上。特征通常暴露出下层,因此特征可以填充以导电或半导电材料,所述导电或半导电材料连接下层和将被沉积在电介质层上的后续金属互连层。在电介质中刻蚀特征常常留下污染物,所述污染物应该被去除,以改善特征的填充并最终改善所形成器件的完整性和可靠性。
在刻蚀电介质层之后,特征可能具有处在特征内的从电介质层的过刻蚀得到的受损硅或者金属残余物。特征可能还包含处在特征表面上的从光刻胶剥离或者灰化工艺得到的残余光刻胶或者从电介质刻蚀步骤得到的残余聚合物。特征可能还包含在进行溅射刻蚀预清洁工艺后的处在特征表面上的再沉积材料。这些污染物可以迁移到电介质层中或者可以通过促进沉积金属的非均匀分布来干扰金属化的选择性。污染物的存在还可以通过实质上缩窄特征的宽度、在形成过孔、接触、线或者其他导电特征的金属中产生缩窄部分来增大所沉积的金属的电阻。
本发明的预清洁方法对于清洁在特征的底部具有铜下层的亚微米特征尤其有用,因为在常规ICP或者基于溅射刻蚀的预清洁室中铜容易被溅射到侧壁上。被溅射的铜扩散到电介质材料中,导致器件失效。本发明清洁过孔,而不溅射过孔的底部。
参考图5,用于在预清洁室510中预清洁衬底526的工艺可以包括使用衬底526作为溅射靶的溅射刻蚀工艺或者反应工艺。一般来说,可以通过将氦或者包含氢(小于约10%)和氦的预清洁气体混合物引入到室中并且将RF功率(在约1到4MHz下约300W和约600W之间)提供到等离子体产生线圈,在衬底上进行反应预清洁工艺(步骤101)。衬底支撑件可以加约10和100W之间的偏压。在预清洁工艺过程中,室压力可以被维持在约40mTorr和200mTorr之间。反应预清洁工艺可以持续进行约30秒和120秒之间。在预清洁工艺之后,衬底被转移到用于在衬底表面上沉积阻挡层和晶种层的室。
在本发明的一个实施例中,通过将包含5%的氢和95%的氦的预清洁气体混合物引入到室中并且将RF功率以约450W和约2.0MHz提供到线圈,在衬底上进行反应预清洁工艺(步骤101)。衬底支撑件加约1和200W的偏压。在预清洁工艺过程中,室压力被维持在约80mTorr。反应预清洁工艺进行约60秒。在预清洁工艺之后,衬底被转移到用于在衬底表面上沉积阻挡层和晶种层的高密度等离子体物理气相沉积室。
优选预清洁装置
本发明的预清洁工艺优选在诸如可从Santa Clara,Calif.的AppliedMaterials,Inc.得到的Etch RPS室的远程等离子体源(RPS)室进行。在RPS室中,反应性H基由远程等离子体源形成,并且作为基本中性物质(即,不带电荷)被引入到处理区,由此防止了由离子产生自偏压和对晶片表面的轰击。利用RPS室的实验表明2.45GHz的微波源是有更高效率的,并且可以比低频RF源生成更多的氢基。
阻挡层沉积
本文中所使用的“原子层沉积”是指将两种或者更多种混合物依次引入,以在衬底表面上沉积薄层。两种或者更多种混合物被依次引入到处理室的反应区。每一种化合物之间隔开一定的时间延迟或者停顿,以允许各化合物粘附到衬底表面或者在衬底表面上反应。在一个方面,第一化合物,化合物A被计量/脉冲输入到反应区中,之后是第一时间的延迟或者停顿。接着,第二化合物或者化合物B被计量/脉冲输入到反应区中,之后是第二时间的延迟。这些反应化合物的脉冲输入之后是一定时间的延迟的依次串联可以被相同地重复,直到在衬底表面上形成所期望的膜或者膜厚度。
图9A-9F是在图1的方法中的各个阶段的示例性衬底结构的示意性表示。图9A示出了形成在一个或者多个下层902上的电介质层904。电介质层904可以是任何电介质材料,包括低k电介质材料(k<4.0),不管是现在已知的还是有待发现的。例如,电介质层904可以是氧化硅或者掺碳氧化硅材料。电介质层已经使用常规和公知的技术被图案化和刻蚀,以形成孔隙905。孔隙905可以用于形成插塞、过孔、接触、线、引线或者任何其他互连部件。如图2A所示,孔隙905可以用于形成双镶嵌结构中的互连部件。本文所公开的方法可以特别有利地用于具有约0.22μm或更小的下开口尺寸905A和具有约4∶1或者更大(诸如约6∶1)的下宽高比905B的孔隙905。
孔隙905至少暴露诸如插塞、过孔、接触、线、引线、金属栅电极等之类的下面金属互连特征的一部分中的导电部分902A。导电部分902A可以包含任何导电材料,诸如铝、铜、钨或者其组合。本文所公开的方法可以有利地用于包含铜的导电部分902A,这将在本文中进行更详细讨论。
图9B示出了诸如在步骤102之后通过原子层沉积共形沉积的氮化钽层912。氮化钽层被沉积到约50埃或者更小的厚度,优选在约5埃和约20埃之间。氮化钽层的原子层沉积较诸如物理气相沉积和化学气相沉积之类的其他常规沉积技术的优点之一在于,能够在形成互连结构时,在小开口、高宽高比和具有各种拓扑的孔隙(诸如孔隙905)上沉积氮化钽共形层。衬底支撑件的加热器温度被维持在约100℃和300℃之间的低温。在一个方面,低沉积温度被认为有助于提供更共形的氮化钽层。在电介质层904上形成ALD氮化钽层912的另一个优点是ALD氮化钽在电介质材料上的良好粘附。
根据本文中所述的原子层沉积方法沉积的氮化钽层表明了外延生长现象的迹象。换句话说,阻挡层呈现与下层相同或者基本相同的结晶特性。结果,基本是单晶被生长,使得在氮化钽层和下层之间的界面上没有形成空洞。类似地,在钽层上所沉积的附加钽层表现出相同或者基本相同的外延生长特性,外延生长特性继续形成单晶。因此,在此界面上不产生空洞的形成。与单晶相似的所得结构消除了空洞的形成,由此明显增大了器件的可靠性。单晶结构还减小了互连特征的总电阻,同时提供了优异的阻挡性能。此外,单晶生长被认为由于在整个互连材料界面上的共形和均一的晶体取向而减小了电迁移和应力迁移的敏感性。
可以通过向其中布置有衬底的反应区以约100sccm和约3000sccm之间的流率持续约1.0秒或者更短时间提供一个或者多个脉冲的含钽化合物以及以约100sccm和约3000sccm之间的流率持续约1.0秒或者更短时间提供一个或者多个脉冲的含氮化合物,由原子层沉积来沉积氮化钽。
示例性的含钽化合物包括:叔丁基亚氨基三(二乙氨基)钽(TBTDET);五(甲基乙基氨基)钽(PEMAT);五(二甲氨基)钽(PDMAT);五(二乙氨基)钽(PDEAT);叔丁基亚氨基三(二乙基甲基氨基)钽(TBTMET);叔丁基亚氨基三(二甲氨基)钽(TBTDMT);三氢化双(环戊二烯基)钽((Cp)2TaH3);三氢化双(甲基环戊二烯基)钽((CpMe)2TaH3);它们的衍生物;以及它们的组合。优选地,含钽化合物包括PDMAT。示例性的含氮化合物包括:氨;肼;甲基肼;二甲基肼;叔丁基肼;苯肼;偶氮异丁烷;乙基叠氮;它们的衍生物;以及它们的组合。优选地,含氮化合物包括氨。
应该理解,这些化合物或者任何其他没有在上面列出的化合物在室温下可以是固体、液体或者气体。例如,PDMAT在室温下是固体,TBTDET在室温下是液体。因此,在将非气相前驱体引入到处理室之前,对非气相前驱体进行升华或者汽化步骤,这两种步骤在本领域中都是公知的。如本领域中所公知的,还可以使用诸如氩气、氦气、氮气、氢气或者其混合物的载气,以帮助将化合物输送到处理室中。
在特定实施例中,通过将PDMAT和氨循环地引入到衬底表面,由原子层沉积形成厚度在10和30埃之间的氮化钽层。为了引发氮化钽层的沉积,载气/惰性气体被引入到处理室600,以稳定其中的应力和温度。在沉积过程中,诸如氩的流率为6000到10000sccm之间的载气被允许持续地流入,使得在各个化合物的脉冲之间只有氩流入。在室温度已经被稳定在约200℃到约300℃并且室压力被稳定在约1Torr到约5Torr之后,从气体源613以约400sccm和约1000sccm之间的流率提供PDMAT第一脉冲,脉冲时间为约2.0秒或者更短。然后以约1000sccm和约2000sccm之间的流率提供氨脉冲,脉冲时间为约2.0秒或者更短。
PDMAT和氨脉冲之间的停顿为约1.0秒或者更短,优选约0.5秒或者更短,更优选约0.1秒或者更短。在不同的方面中,脉冲之间的时间的缩短至少提供了更高的产量。结果,在氨脉冲之后的停顿也为约1.0秒或者更短,优选0.5秒或者更短,或者约0.1秒或者更短。持续地提供约1000sccm和约10000sccm之间(诸如约3000sccm和约6000sccm之间)的氩气流。在一个方面,当氨脉冲进入时,PDMAT脉冲可以仍然处在室中。一般来说,载气和抽空的持续时间应该足够的长,以防止PDMAT和氨的脉冲在反应区混合在一起。
加热器温度被维持在约100℃和约300℃之间,室压力处在约1.0和约5.0Torr之间。由PDMAT脉冲、停顿、氨脉冲和停顿组成的每一循环提供每一循环厚度为约0.3埃和约1.0埃之间的氮化钽层。交替的次序可以被重复,直到获得所期望的厚度。
本文中所使用的“脉冲/计量”意指间歇地或者非连续地引入到处理室的反应区中的特定化合物量。在每一脉冲内的特定化合物的量可以根据脉冲持续时间,随时间变化。特定化合物可以包括单一化合物或者两种或者更多种化合物的组合。每一脉冲或者计量的持续时间是可变的,并且可以被调节以适应例如处理室的体积容量以及耦合到其的真空系统的能力。此外,化合物的计量时间可以根据化合物的流率、化合物的压力、化合物的温度、计量阀的类型、所用控制系统的类型以及化合物到衬底表面上的吸附能力而变化。计量时间还可以根据所形成的层的类型以及所形成的器件的几何形状而变化。通常,每一脉冲/计量的持续时间,或者“计量时间”一般为约1.0秒或者更短。但是,计量时间可以是从数微秒到数毫秒到数秒以及甚至到数分钟的范围。一般来说,计量时间应该足够长,以提供足以吸附或者化学吸附到衬底的整个表面上并且在其上形成一层化合物的化合物量。
优选的原子层沉积装置
图6示出了用于根据本发明的实施例形成阻挡层的示例性处理室600的示意性局部横截面。这样的处理室600可从位于Santa Clara,California的Applied Materials,Inc.得到,并且对其简要的描述如下。更详细的描述可以在2001年12月21日递交的标题为“Gas Delivery Apparatus andMethod For Atomic Layer Deposition”的共同转让的美国专利申请No.10/032,284中找到,所述美国专利申请通过引用被包含在本文中,只要其与本文的权利要求和公开内容不相一致。
处理室600可以被集成到诸如也可从Applied Materials,Inc.得到的EnduraTM平台之类的集成处理平台中。EnduraTM平台的细节在1999年11月30日递交的标题为“Integrated Modular Processing Platform”的共同转让的美国专利申请No.09/451,628中有描述,所述美国专利申请通过引用被包含在本文中,只要其与本文的权利要求和公开内容不相一致。
图6是衬底处理室610的一个实施例的示意性横截面图,衬底处理室610包括安装在衬底处理室610的室主体620下方的一个或者多个阀组件600。阀组件600耦合到垂直穿过室主体620的气体管线655。回过来,气体管线655耦合到气体导管650,以将一种或多种气体提供到室主体620中。阀组件还可以被安装到其他的衬底处理室,并且可以安装到其他的室部件上。
参考图6,每一个阀组件600包括阀体610和隔板组件630。阀体610包括与三个端口流体连通的阀室611,所述三个端口包括反应物入口612、清洗入口614和出口616。反应物入口612与反应物源613流体连通,以供应反应物通过阀室611、通过出口616、通过气体管线655、通过气体导管650,并且进入室主体620。清洗入口614与清洗气体源615流体连通,并且适用于供应清洗气体通过阀室611、通过出口616、通过气体管线655、通过气体导管650,并且进入室主体620。如果衬底处理室610包括两个或者更多个阀组件600,则每一阀组件600的清洗入口614优选被耦合到单独的清洗气体源615。在其他实施例中,每一阀组件600的清洗入口614可以耦合到同一清洗气体源。
参考图6,诸如电磁阀的电子控制阀625可以被安装到隔板组件630,以选择性地从诸如空气或者其他气体的受压气体源650提供受压气体,所述受压气体源650通过气体管线651耦合到电子控制阀652。可编程逻辑控制器(PLC)被耦合到电子控制阀652,以控制到电子控制阀652的电子信号。回过来,可编程逻辑控制器被耦合到主控制器,所述主控制器控制可编程逻辑控制器。虽然电子控制阀将受压气体提供到隔板组件630,但是阀组件600是气动阀。
在一个实施例中,流率为500sccm的氩被用作载气,氨以1500sccm的流率进入室,并且氩清洗流为8000sccm的流率。
后沉积处理选项
在电介质沉积之后,在块金属沉积步骤之前可以通过等离子体、晶种层沉积或者粘附层沉积处理衬底。等离子体处理可以包括氩、氮、或者氢等离子体。晶种层沉积可以包括铜、铜铝、铜锡、钽、钨、铊、钴、钛、铝、其他金属或者金属组合。沉积方法包括ALD、CVD、PVD、电镀或者无电镀。粘附层可以包括铷、钽、钛、铝或者钨。
可选的钽层
图9C示出了诸如在步骤104之后沉积在氮化钽层912上的钽层922。钽层922和氮化钽层912一起构成了阻挡层924。在一个方面,钽层922提供了与晶种层942(图9F)的良好粘附。另一个方面,氮化钽层912和钽层922具有良好的彼此粘附性。在一个实施例中,衬底支撑件的温度为未加热(即,室温)。在钽层沉积的一个实施例中,12和36sccm之间的氩被提供到PVD沉积室。在钽层922的沉积过程中,约100瓦和约1000瓦之间的RF衬底偏压可以被提供到衬底支撑件。供应到PVD沉积的DC功率可以是40kW,同时提供2kW的可选RF功率。钽层被沉积到约75埃或者更小的厚度,优选约40埃和约60埃之间。
不希望被理论约束除非在权利要求中明确地阐明的是,共形的ALD氮化钽层912被认为有助于导致在物理气相沉积过程中至少在其上方的部分上(诸如在孔隙底部上)或者在基底区域(field area)上的低电阻率的α相钽的生长。在物理气相沉积过程中的晶片偏压也被认为有助于形成低电阻率的α相钽。
穿通
图9D示出了穿通步骤,该穿通步骤被进行来去除在孔隙905的底部上的氮化钽和钽的至少一部分。优选地,进行刻蚀部分,来去除孔隙905的底部上的氮化钽和钽,以露出下层902的导电部分902A。在一个方面,保留在侧壁上的氮化钽和钽防止了从诸如铜导电部分的导电部分902A到电介质层904中的诸如铜的溅射导电材料的铜扩散。穿通步骤还去除可能已经形成在导电部分902A上的氧化形成物、残余物(例如图案化残余物)和其他污染物。
刻蚀优选包括氩等离子体刻蚀。定向氩等离子体刻蚀被用于确保等离子体刻蚀将到达孔隙905的底部。用于刻蚀的条件依赖于室和衬底支撑件的设计参数。取决于待去除的氮化钽和钽的所期望的厚度,RF晶片偏压在约100瓦和约1000瓦之间,并且进行约1秒到约20秒之间的时间。
在氩等离子体刻蚀的一个实施例中,氩以12sccm的流率被提供。供应到系统的DC功率为0W。RF功率为2000W,DC线圈的功率为800W,并且晶片偏压为600W。
可选的钽覆平
图9E示出了可选的钽物理气相沉积覆平步骤。钽物理气相沉积覆平步骤意在在斜角932处沉积钽,以帮助增建在斜角932处的可能在穿通步骤过程中已经被刻蚀的钽。钽覆平步骤优选以低晶片偏压进行,以减少在孔隙905底部的钽沉积。
可选的晶种层
图9F示出了沉积在图9D或者图9E的衬底结构上的晶种层942。晶种层942可以包括铜晶种层、铜合金晶种层、其他金属晶种层或者其组合。优选地,晶种层942包括铜晶种层、铜合金晶种层或者其组合。
因为穿通步骤减小或者去除了在孔隙905底部处的氮化钽层912和钽层922的厚度,所以互连结构的电阻被减小。在一个实施例中,在包括铜的晶种层942和包括铜的导电部分902A之间可以提供铜-铜界面。此外,因为穿通步骤减小或者去除了在孔隙905底部处的氮化钽层912和钽层922,所以可以一开始沉积更厚的氮化钽层912。由于这些原因和本文中所讨论的其他原因,器件性能和可靠性被提高了。
参考图1,在诸如离子化金属等离子体(IMP)PVD室的PVD室中进行钽的物理气相沉积步骤104。IMP PVD室的示例包括可从Santa Clara,California的Applied Materials,Inc.得到的Self-Ionized Plasma 51pTM室或者EnCoReTM Ta室。穿通步骤106可以在合适的等离子体处理室中进行。钽物理气相沉积步骤108可以在合适的PVD室中进行。对于产量和粒子生成的考虑,步骤104-108优选在同一处理室中进行。
继续参考图1,晶种层被至少部分地沉积在阻挡层上,如在步骤110所示的。晶种层可以利用诸如化学气相沉积(CVD)、物理气相沉积(PVD)、电镀或者无电镀之类的任何常规的沉积技术来沉积。例如,可以在IMP PVD室中沉积晶种层。在一个方面,晶种层是常规的铜晶种层。在另一个方面,晶种层是铜合金晶种层。在另一个方面,晶种层是由相同或者不同的金属和合金形成的多层晶种层。
替换实施例
图2示出了在金属互连结构的形成中的一个阶段中使用ALD钽层的方法的另一个实施例。在步骤202,通过原子层沉积在衬底结构上沉积氮化钽。在步骤204,进行穿通步骤,以去除在步骤202中所沉积的氮化钽中的一部分。在步骤206,诸如钽层或者合适金属的粘附层被沉积在步骤204的所得衬底结构上。在步骤208,晶种层被形成在粘附层上。步骤202到步骤208中的全部或者一部分可以在诸如图4的系统之类的集成处理系统中进行。
图10是在图2的步骤208之后所得衬底结构的示例的示意性表示。在一个方面,在步骤202中氮化钽层1001被沉积到约50埃或者更小,优选在约5埃和约30埃之间的厚度。氮化钽层1001保护电介质层1002的侧壁免受来自电介质层1002下方的下层1003的导电部分的诸如铜的溅射导电材料1004的影响。穿通步骤优选进行约10秒或者更短。本方法的一个优点是穿通步骤仅仅需要去除氮化钽中的一部分,以暴露出下层的导电部分。
其他替换实施例
图3A示出了在金属互连结构的形成中的一个阶段中使用ALD氮化钽层的方法的另一个实施例。在步骤302,通过原子层沉积在衬底结构上沉积氮化钽。在步骤304,氮化钽层被暴露于非氮等离子体。优选地,非氮等离子体包括惰性气体,诸如氩。非氮等离子体可以还包括氢或者其他非氮气体。可选地,步骤302和304可以被重复。例如,非氮等离子体处理可以在若干循环之后,例如在每20个循环之后进行,直到已经沉积了所期望的氮化钽量。多次非氮等离子体处理有助于处理在孔隙底部的氮化钽。在步骤306,可选的钽层可以被沉积到经等离子体处理的氮化钽层上。在步骤308,晶种层被形成在步骤304或者306的所得衬底结构上。步骤302到步骤308中的全部或者一部分可以在诸如图4的系统之类的集成处理系统中进行。
图11是在图3A的步骤308之后得到的衬底结构的示例的示意性表示。在一个方面,非氮等离子体处理被认为减小了ALD氮化钽层1101的氮含量。因为ALD氮化钽层1101的氮含量被减小,所以其电阻率被减小,由此接触电阻被减小。
多次ALD TaN沉积步骤
图3B示出了在金属互连结构的形成中的一个阶段中使用ALD氮化钽层的方法的另一个实施例。在步骤302,通过原子层沉积在衬底结构上沉积氮化钽。在步骤305,氮化钽层暴露于穿通步骤。在步骤305A中沉积附加的氮化钽层。在步骤306,可选的钽层可以被沉积到经等离子体处理的氮化钽层上。在步骤308,晶种层被形成在步骤304或者306的所得衬底结构上。步骤302到步骤308中的全部或者一部分可以在诸如图4的系统之类的集成处理系统中进行。
实验结果
图12示出了对于具有铜铝晶种的ALD氮化钽阻挡和具有铜晶种的PVD阻挡的作为无故障工作时间的函数的累积概率。由于与ALD氮化钽阻挡一起使作的铜铝合金晶种,寿命分布得到改善。
图13比较了对于经过穿通和具有ALD氮化钽层的ALD氮化钽;具有新穿通的ALD氮化钽层经过氩处理的ALD氮化钽;以及具有氮化钽、钽和钽覆平的基线的作为无故障工作时间的函数的累积概率。温度为350℃。连线电流密度为1.5mA/cm2。具有上游方向电流。流量正比于过孔/连线尺寸(μm)。旧的穿通具有小于150W的DC功率以及600W的晶片偏压。新的穿通具有3000W的DC功率,并且800W的晶片偏压。此无故障工作时间的显著增大示出了令人满意的性能提高。
最终步骤
参考图1、2、3A或者3B,在步骤410、步骤708或者步骤808中的晶种层形成之后,块金属层被至少部分地沉积在晶种层上。金属层也可以使用诸如电镀、无电镀、化学气相沉积(CVD)或者物理气相沉积(PVD)之类的任何常规沉积技术来沉积。金属层优选包括任何导电材料,诸如铜、铝、钨或其组合。金属层优选包括块铜层。
在一个实施例中,优选地,在诸如可从Santa Clara,California的Applied Materials,Inc.得到的ElectraTM Cu ECP系统的电镀池内形成块铜层。铜电解溶液和铜电镀技术在标题为“Electro-deposition Chemistry”的共同转让的美国专利No.6,113,771中有描述,所述美国专利申请通过引用被包含在本文中。通常,电镀浴具有大于约0.7M的铜浓度,约0.85的硫酸铜浓度,以及约1.75的pH值。如在本领域中所公知的,电镀浴还可以包含各种添加剂。电镀浴的温度为约15℃和约250℃之间。偏压为约-15V到约15V之间。在一个方面,正偏压的为约0.1V到约10V的范围,负偏压为约-0.1到约-10V的范围。
可选地,在金属层沉积之后可以进行退火处理。例如,晶片可以经受约100℃和约400℃之间的温度约1分钟到约1小时之间。诸如氦、氢、氮或其混合物的载气/清洗气体以约100sccm到约10000sccm的速率被引入。室压力被维持在约2Torrr和约10Torr之间。
在沉积之后,所得结构的顶部可以被平坦化。可以使用化学机械抛光(CMP)装置,诸如可从Santa Clara,California的Applied Materials,Inc.得到的MirraTM系统。可选地,在上述的相继层的沉积之间可以平坦化结构的中间表面。
虽然前面的描述涉及本发明的实施例,但是可以设计本发明的其他和进一步的实施例,而不偏离本发明的基本范围,并且本发明的范围由所附权利要求确定。

Claims (41)

1.一种在半导体衬底上形成金属互连的方法,包括:
在阻挡层沉积之前,通过在远程等离子体源中产生等离子体、将来自所述等离子体的自由基输送到包含所述衬底的第一处理室、以及使形成在电介质层中的特征与所述自由基接触,来清洁形成在所述电介质层中的所述特征并暴露所述电介质层下方的导电材料;
在第二处理室中,在1和10Torr之间的压力以及200和300℃之间的温度下,通过原子层沉积在所述特征内沉积氮化钽层;
在第三处理室中通过物理气相沉积在所述氮化钽层上沉积钽层;
在第四处理室中等离子体刻蚀所述钽层和所述氮化钽层,以去除在所述特征的底部处的所述钽层和所述氮化钽层的至少一部分,来露出所述导电材料;
通过物理气相沉积在所述钽层上可选地沉积附加的钽或铜;以及
在第五处理室中,在所述导电材料和所述钽层上沉积晶种层,
其中,所述第一处理室、所述第二处理室、所述第三处理室、所述第四处理室、以及所述第五处理室位于集成装置中。
2.如权利要求1所述的方法,其中,所述清洁操作在40到200mTorr下进行30到120秒。
3.如权利要求1所述的方法,其中,所述清洁操作由包括1到600W的RF功率的等离子体执行,晶片偏压为10到100W,并且频率为1到4MHz。
4.如权利要求1所述的方法,其中,所述清洁操作由0%到10%的氢和90%到10C%的氦组成的供入气体执行。
5.如权利要求1所述的方法,其中,所述氮化钽沉积在100到300℃的加热器温度和在1到5Torr下进行。
6.如权利要求1所述的方法,其中,所述氮化钽沉积进行1分钟或者更短的时间。
7.如权利要求1所述的方法,其中,所述氮化钽沉积利用选自包括叔丁基亚氨基三(二乙氨基)钽、五(甲基乙基氨基)钽;五(二甲氨基)钽;五(二乙氨基)钽、叔丁基亚氨基三(二乙基甲基氨基)、叔丁基亚氨基三(二甲氨基)钽、三氢化双(环戊二烯基)钽和三氢化双(甲基环戊二烯基)钽的组中的含钽前驱体来进行。
8.如权利要求1所述的方法,其中,所述氮化钽沉积利用选自包括氨;肼;甲基肼;二甲基肼;叔丁基肼;苯肼以及偶氮异丁烷乙基叠氮的组中的含氮前驱体来进行。
9.如权利要求7所述的方法,其中,所述氮化钽沉积利用以100到3000sccm持续2.0秒或者更短的脉冲输入到所述室中的所述含钽前驱体来进行。
10.如权利要求8所述的方法,其中,所述氮化钽沉积利用以100到3000sccm持续2.0秒或者更短的脉冲输入到所述室中的所述含氮前驱体来进行。
11.如权利要求1所述的方法,其中,所述氮化钽沉积利用以1000到10000sccm连续地流入到所述室中的氩来进行。
12.如权利要求1所述的方法,其中,所述钽层沉积在10到50℃下进行,并且晶片偏压为100到1000W。
13.如权利要求1所述的方法,其中,所述等离子体刻蚀利用选自包括氩、氮或者氢的组中的气体来进行。
14.如权利要求1所述的方法,其中,所述等离子体刻蚀利用100到1000W的RF功率进行1到20秒。
15.如权利要求1所述的方法,其中,所述等离子体刻蚀利用定向氩等离子体来进行。
16.如权利要求1所述的方法,还包括通过物理气相沉积在所述钽层上沉积附加的金属。
17.如权利要求16所述的方法,还包括沉积块金属层。
18.如权利要求1所述的方法,其中,所述第四处理室和所述第五处理室是同一室。
19.如权利要求16所述的方法,其中,所述金属选自包括铜、铜铝、铜锡、钽、钨、铊、钴、钛和铝的组。
20.如权利要求16所述的方法,其中,所述沉积在10到50℃和100到1000W的晶片偏压下进行。
21.如权利要求1所述的方法,其中,所述晶种层通过选自包括化学气相沉积、物理气相沉积、电镀和无电镀的组的方法来沉积。
22.如权利要求1所述的方法,其中,所述晶种层包括选自包括铜、铜铝、铜锡、钽、钨、铊、钴、钛和铝的组的金属。
23.如权利要求1所述的方法,还包括在所述在阻挡层沉积之前,通过在远程等离子体源中产生等离子体、将来自所述等离子体的自由基输送到包含所述衬底的第一处理室、以及使形成在所述电介质层中的所述特征与所述自由基接触,来清洁形成在电介质层中的特征并暴露所述电介质层下方的导电材料之前,用氮清洁初始衬底表面。
24.如权利要求1所述的方法,其中,所述等离子体刻蚀利用惰性气体来进行。
25.一种在半导体衬底上形成金属互连的方法,包括:
在阻挡层沉积之前,通过在远程等离子体源中产生等离子体、将来自所述等离子体的自由基输送到包含所述衬底的第一处理室、以及使形成在电介质层中的特征与所述自由基接触,来清洁形成在所述电介质层中的所述特征并暴露所述电介质层下方的导电材料;
在第二处理室中,在1和10Torr之间的压力以及200和300℃之间的温度下,通过原子层沉积在所述特征内沉积氮化钽层;
在第三处理室中通过物理气相沉积在所述氮化钽层上沉积钽层;
在所述第三处理室中等离子体刻蚀所述钽层和所述氮化钽层,以去除在所述特征的底部处的所述钽层和所述氮化钽层的至少一部分,来露出所述导电材料;
通过物理气相沉积在所述钽层上可选地沉积附加的钽或铜;以及
在第四处理室中,在所述导电材料和所述钽层上沉积晶种层,
其中,所述第一处理室、所述第二处理室、所述第三处理室以及所述第四处理室位于集成装置中。
26.如权利要求25所述的方法,其中,所述清洁操作在40到200mTorr下进行30到120秒。
27.如权利要求25所述的方法,其中,所述清洁操作由包括1到600W的RF功率的等离子体执行,晶片偏压为10到100W,并且频率为1到4MHz。
28.如权利要求25所述的方法,其中,所述清洁操作由0%到10%的氢和90%到100%的氦组成的供入气体执行。
29.如权利要求25所述的方法,其中,所述氮化钽沉积在100到300℃的加热器温度和在1到5Torr下进行。
30.如权利要求25所述的方法,其中,所述氮化钽沉积进行1分钟或者更短的时间。
31.如权利要求25所述的方法,其中,所述氮化钽沉积利用以100到3000sccm持续2.0秒或者更短的脉冲输入到所述室中的含钽前驱体来进行。
32.如权利要求25所述的方法,其中,所述氮化钽沉积利用以100到3000sccm持续2.0秒或者更短的脉冲输入到所述室中的含氮前驱体来进行。
33.如权利要求25所述的方法,其中,所述氮化钽沉积利用以1000到10000sccm连续地流入到所述室中的氩来进行。
34.如权利要求25所述的方法,其中,所述钽层沉积在10到50℃下进行,并且晶片偏压为100到1000W。
35.如权利要求25所述的方法,其中,所述等离子体刻蚀利用100到1000W的RF功率进行1到20秒。
36.如权利要求25所述的方法,其中,所述等离子体刻蚀利用定向氩等离子体来进行。
37.如权利要求25所述的方法,还包括通过物理气相沉积在所述钽层上沉积附加的金属。
38.如权利要求25所述的方法,其中,所述第二处理室和所述第三处理室是同一室。
39.如权利要求25所述的方法,其中,所述第三处理室和所述第四处理室是同一室。
40.如权利要求25所述的方法,其中,所述晶种层通过选自包括化学气相沉积、物理气相沉积、电镀和无电镀的组的方法来沉积。
41.如权利要求25所述的方法,还包括沉积块金属层。
CN200480016336A 2003-06-13 2004-06-10 用于铜金属化的ald氮化钽的集成 Expired - Fee Related CN100593235C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US47866303P 2003-06-13 2003-06-13
US60/478,663 2003-06-13

Publications (2)

Publication Number Publication Date
CN1806325A CN1806325A (zh) 2006-07-19
CN100593235C true CN100593235C (zh) 2010-03-03

Family

ID=33539109

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200480016336A Expired - Fee Related CN100593235C (zh) 2003-06-13 2004-06-10 用于铜金属化的ald氮化钽的集成

Country Status (4)

Country Link
JP (1) JP2007502551A (zh)
KR (1) KR101177576B1 (zh)
CN (1) CN100593235C (zh)
WO (1) WO2004114398A1 (zh)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7419903B2 (en) 2000-03-07 2008-09-02 Asm International N.V. Thin films
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
US9139906B2 (en) 2001-03-06 2015-09-22 Asm America, Inc. Doping with ALD technology
JP2005203569A (ja) * 2004-01-15 2005-07-28 Semiconductor Leading Edge Technologies Inc 半導体装置の製造方法及び半導体装置
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7498242B2 (en) 2005-02-22 2009-03-03 Asm America, Inc. Plasma pre-treating surfaces for atomic layer deposition
KR101455955B1 (ko) * 2006-08-30 2014-10-31 램 리써치 코포레이션 인터페이스 가공을 위한 제어 분위기 시스템
JP2008147252A (ja) * 2006-12-06 2008-06-26 Renesas Technology Corp 半導体装置とその製造方法
KR100842668B1 (ko) * 2006-12-26 2008-06-30 동부일렉트로닉스 주식회사 반도체 소자의 금속배선 형성방법
JP2009016782A (ja) * 2007-06-04 2009-01-22 Tokyo Electron Ltd 成膜方法及び成膜装置
US7867891B2 (en) * 2008-12-10 2011-01-11 Intel Corporation Dual metal interconnects for improved gap-fill, reliability, and reduced capacitance
US8557702B2 (en) * 2009-02-02 2013-10-15 Asm America, Inc. Plasma-enhanced atomic layers deposition of conductive material over dielectric layers
JP5410348B2 (ja) 2010-03-26 2014-02-05 株式会社豊田中央研究所 表面処理装置
CN104109844B (zh) * 2013-04-18 2016-07-06 中芯国际集成电路制造(上海)有限公司 一种基于原子层沉积技术的氮化钽薄膜的制作工艺
US20180076065A1 (en) 2016-09-15 2018-03-15 Applied Materials, Inc. Integrated system for semiconductor process
US10229826B2 (en) * 2016-10-21 2019-03-12 Lam Research Corporation Systems and methods for forming low resistivity metal contacts and interconnects by reducing and removing metallic oxide
CN109346436A (zh) * 2018-09-20 2019-02-15 德淮半导体有限公司 制造半导体装置的方法
EP4074860A4 (en) 2019-12-12 2024-01-03 Adeka Corp METHOD FOR PRODUCING A LAYER CONTAINING COPPER
US20210381107A1 (en) * 2020-06-03 2021-12-09 Micron Technology, Inc. Material deposition systems, and related methods and microelectronic devices

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW417249B (en) * 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US6287977B1 (en) * 1998-07-31 2001-09-11 Applied Materials, Inc. Method and apparatus for forming improved metal interconnects
US6498091B1 (en) * 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer
CN1319146C (zh) * 2001-10-26 2007-05-30 应用材料公司 作为用于铜金属化的阻挡层的原子层沉积氮化钽和α相钽
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
JP4198906B2 (ja) * 2001-11-15 2008-12-17 株式会社ルネサステクノロジ 半導体装置および半導体装置の製造方法

Also Published As

Publication number Publication date
WO2004114398A1 (en) 2004-12-29
JP2007502551A (ja) 2007-02-08
CN1806325A (zh) 2006-07-19
KR101177576B1 (ko) 2012-08-27
KR20060017871A (ko) 2006-02-27

Similar Documents

Publication Publication Date Title
US7049226B2 (en) Integration of ALD tantalum nitride for copper metallization
CN100593235C (zh) 用于铜金属化的ald氮化钽的集成
US7507660B2 (en) Deposition processes for tungsten-containing barrier layers
US7244683B2 (en) Integration of ALD/CVD barriers with porous low k materials
US7211508B2 (en) Atomic layer deposition of tantalum based barrier materials
CN100524692C (zh) 在衬底上形成金属互连的方法
US7494908B2 (en) Apparatus for integration of barrier layer and seed layer
US20020104481A1 (en) System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US20080081464A1 (en) Method of integrated substrated processing using a hot filament hydrogen radical souce
US20050212139A1 (en) Seed layer formation
US20080078325A1 (en) Processing system containing a hot filament hydrogen radical source for integrated substrate processing
WO2002045871A9 (en) System and method for modulated ion-induced atomic layer deposition (mii-ald)
WO2012047913A2 (en) Subtractive patterning to define circuit components
CN102361004B (zh) 阻挡层和籽层的集成
CN1319146C (zh) 作为用于铜金属化的阻挡层的原子层沉积氮化钽和α相钽
TWI609095B (zh) 用於氮化錳整合之方法
US6753248B1 (en) Post metal barrier/adhesion film

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20100303

Termination date: 20140610

EXPY Termination of patent right or utility model