CN100524692C - 在衬底上形成金属互连的方法 - Google Patents
在衬底上形成金属互连的方法 Download PDFInfo
- Publication number
- CN100524692C CN100524692C CNB2007101017141A CN200710101714A CN100524692C CN 100524692 C CN100524692 C CN 100524692C CN B2007101017141 A CNB2007101017141 A CN B2007101017141A CN 200710101714 A CN200710101714 A CN 200710101714A CN 100524692 C CN100524692 C CN 100524692C
- Authority
- CN
- China
- Prior art keywords
- layer
- metal
- pulse
- barrier layer
- copper
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Lifetime
Links
- 239000010949 copper Substances 0.000 title claims description 41
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 title claims description 39
- 229910052802 copper Inorganic materials 0.000 title claims description 38
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 title claims description 35
- 229910052715 tantalum Inorganic materials 0.000 title claims description 16
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 title claims description 16
- 238000001465 metallisation Methods 0.000 title description 4
- 230000010354 integration Effects 0.000 title 1
- 238000000034 method Methods 0.000 claims abstract description 84
- 230000004888 barrier function Effects 0.000 claims abstract description 82
- 229910052751 metal Inorganic materials 0.000 claims abstract description 78
- 239000002184 metal Substances 0.000 claims abstract description 78
- 239000000758 substrate Substances 0.000 claims abstract description 77
- 150000001875 compounds Chemical class 0.000 claims abstract description 50
- -1 nitrogen-containing compound Chemical class 0.000 claims abstract description 26
- 239000003870 refractory metal Substances 0.000 claims abstract description 18
- 230000005012 migration Effects 0.000 claims abstract description 6
- 238000013508 migration Methods 0.000 claims abstract description 6
- 239000007789 gas Substances 0.000 claims description 64
- 230000008021 deposition Effects 0.000 claims description 53
- 230000008569 process Effects 0.000 claims description 47
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 claims description 34
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 claims description 31
- 239000013078 crystal Substances 0.000 claims description 30
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 29
- 229910000881 Cu alloy Inorganic materials 0.000 claims description 18
- 229910052786 argon Inorganic materials 0.000 claims description 17
- 239000001257 hydrogen Substances 0.000 claims description 16
- 229910052739 hydrogen Inorganic materials 0.000 claims description 16
- 229910021529 ammonia Inorganic materials 0.000 claims description 15
- 229910052782 aluminium Inorganic materials 0.000 claims description 14
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 claims description 14
- 229910052757 nitrogen Inorganic materials 0.000 claims description 14
- 239000004411 aluminium Substances 0.000 claims description 13
- 239000010936 titanium Substances 0.000 claims description 13
- 230000012010 growth Effects 0.000 claims description 12
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 claims description 11
- 229910052719 titanium Inorganic materials 0.000 claims description 11
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 10
- 239000001307 helium Substances 0.000 claims description 10
- 229910052734 helium Inorganic materials 0.000 claims description 10
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 claims description 10
- 239000008141 laxative Substances 0.000 claims description 8
- 150000002736 metal compounds Chemical class 0.000 claims description 8
- 230000001543 purgative effect Effects 0.000 claims description 8
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 claims description 8
- 229910052721 tungsten Inorganic materials 0.000 claims description 8
- 239000010937 tungsten Substances 0.000 claims description 8
- OAKJQQAXSVQMHS-UHFFFAOYSA-N Hydrazine Chemical compound NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 claims description 6
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 6
- UGACIEPFGXRWCH-UHFFFAOYSA-N [Si].[Ti] Chemical compound [Si].[Ti] UGACIEPFGXRWCH-UHFFFAOYSA-N 0.000 claims description 6
- NNPPMTNAJDCUHE-UHFFFAOYSA-N isobutane Chemical compound CC(C)C NNPPMTNAJDCUHE-UHFFFAOYSA-N 0.000 claims description 6
- 239000002210 silicon-based material Substances 0.000 claims description 6
- 238000010521 absorption reaction Methods 0.000 claims description 5
- 150000003482 tantalum compounds Chemical class 0.000 claims description 5
- OTSOGXNIABDRQR-UHFFFAOYSA-N C(C)N(CC)[Ta] Chemical compound C(C)N(CC)[Ta] OTSOGXNIABDRQR-UHFFFAOYSA-N 0.000 claims description 4
- KVKAPJGOOSOFDJ-UHFFFAOYSA-N CN(C)[Ta] Chemical compound CN(C)[Ta] KVKAPJGOOSOFDJ-UHFFFAOYSA-N 0.000 claims description 4
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 claims description 4
- QCWXUUIWCKQGHC-UHFFFAOYSA-N Zirconium Chemical compound [Zr] QCWXUUIWCKQGHC-UHFFFAOYSA-N 0.000 claims description 4
- 229910052718 tin Inorganic materials 0.000 claims description 4
- 229910052726 zirconium Inorganic materials 0.000 claims description 4
- DIIIISSCIXVANO-UHFFFAOYSA-N 1,2-Dimethylhydrazine Chemical compound CNNC DIIIISSCIXVANO-UHFFFAOYSA-N 0.000 claims description 3
- 125000000751 azo group Chemical group [*]N=N[*] 0.000 claims description 3
- UCSVJZQSZZAKLD-UHFFFAOYSA-N ethyl azide Chemical compound CCN=[N+]=[N-] UCSVJZQSZZAKLD-UHFFFAOYSA-N 0.000 claims description 3
- 239000001282 iso-butane Substances 0.000 claims description 3
- 235000013847 iso-butane Nutrition 0.000 claims description 3
- HDZGCSFEDULWCS-UHFFFAOYSA-N monomethylhydrazine Chemical compound CNN HDZGCSFEDULWCS-UHFFFAOYSA-N 0.000 claims description 3
- HKOOXMFOFWEVGF-UHFFFAOYSA-N phenylhydrazine Chemical compound NNC1=CC=CC=C1 HKOOXMFOFWEVGF-UHFFFAOYSA-N 0.000 claims description 3
- 229940067157 phenylhydrazine Drugs 0.000 claims description 3
- MUQNAPSBHXFMHT-UHFFFAOYSA-N tert-butylhydrazine Chemical compound CC(C)(C)NN MUQNAPSBHXFMHT-UHFFFAOYSA-N 0.000 claims description 3
- XJDNKRIXUMDJCW-UHFFFAOYSA-J titanium tetrachloride Chemical compound Cl[Ti](Cl)(Cl)Cl XJDNKRIXUMDJCW-UHFFFAOYSA-J 0.000 claims description 3
- FXLABPTWQOLWOI-UHFFFAOYSA-N C(C)C(N[Ta])CC Chemical group C(C)C(N[Ta])CC FXLABPTWQOLWOI-UHFFFAOYSA-N 0.000 claims description 2
- MHMBUJVKUFAYFM-UHFFFAOYSA-N C(C)N(C)[Ta] Chemical compound C(C)N(C)[Ta] MHMBUJVKUFAYFM-UHFFFAOYSA-N 0.000 claims description 2
- LJWMXWPHTQLTNI-UHFFFAOYSA-N CCN(C)[Ti] Chemical compound CCN(C)[Ti] LJWMXWPHTQLTNI-UHFFFAOYSA-N 0.000 claims description 2
- PWVDYRRUAODGNC-UHFFFAOYSA-N CCN([Ti])CC Chemical compound CCN([Ti])CC PWVDYRRUAODGNC-UHFFFAOYSA-N 0.000 claims description 2
- ZLOKVAIRQVQRGC-UHFFFAOYSA-N CN(C)[Ti] Chemical compound CN(C)[Ti] ZLOKVAIRQVQRGC-UHFFFAOYSA-N 0.000 claims description 2
- FYYHWMGAXLPEAU-UHFFFAOYSA-N Magnesium Chemical compound [Mg] FYYHWMGAXLPEAU-UHFFFAOYSA-N 0.000 claims description 2
- 125000000058 cyclopentadienyl group Chemical group C1(=CC=CC1)* 0.000 claims description 2
- 229910052749 magnesium Inorganic materials 0.000 claims description 2
- 239000011777 magnesium Substances 0.000 claims description 2
- UBZYKBZMAMTNKW-UHFFFAOYSA-J titanium tetrabromide Chemical group Br[Ti](Br)(Br)Br UBZYKBZMAMTNKW-UHFFFAOYSA-J 0.000 claims description 2
- NLLZTRMHNHVXJJ-UHFFFAOYSA-J titanium tetraiodide Chemical group I[Ti](I)(I)I NLLZTRMHNHVXJJ-UHFFFAOYSA-J 0.000 claims description 2
- 238000000151 deposition Methods 0.000 abstract description 58
- 239000010410 layer Substances 0.000 description 168
- 238000005229 chemical vapour deposition Methods 0.000 description 29
- 238000005240 physical vapour deposition Methods 0.000 description 26
- 239000000463 material Substances 0.000 description 23
- 238000006243 chemical reaction Methods 0.000 description 19
- 238000012545 processing Methods 0.000 description 15
- 239000013077 target material Substances 0.000 description 14
- 239000000376 reactant Substances 0.000 description 13
- 238000005516 engineering process Methods 0.000 description 11
- 238000005137 deposition process Methods 0.000 description 10
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 9
- 125000004122 cyclic group Chemical group 0.000 description 9
- 238000009832 plasma treatment Methods 0.000 description 9
- 229910000077 silane Inorganic materials 0.000 description 9
- 239000012159 carrier gas Substances 0.000 description 8
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 8
- 229910052737 gold Inorganic materials 0.000 description 8
- 239000010931 gold Substances 0.000 description 8
- 230000015654 memory Effects 0.000 description 8
- 239000000203 mixture Substances 0.000 description 8
- 230000015572 biosynthetic process Effects 0.000 description 7
- 229910052710 silicon Inorganic materials 0.000 description 7
- 239000010703 silicon Substances 0.000 description 7
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 6
- WYEMLYFITZORAB-UHFFFAOYSA-N boscalid Chemical compound C1=CC(Cl)=CC=C1C1=CC=CC=C1NC(=O)C1=CC=CN=C1Cl WYEMLYFITZORAB-UHFFFAOYSA-N 0.000 description 6
- 230000008859 change Effects 0.000 description 6
- 230000007773 growth pattern Effects 0.000 description 6
- 150000002431 hydrogen Chemical class 0.000 description 6
- 150000004767 nitrides Chemical class 0.000 description 6
- 239000002243 precursor Substances 0.000 description 6
- 239000000126 substance Substances 0.000 description 6
- 238000005530 etching Methods 0.000 description 5
- 238000012546 transfer Methods 0.000 description 5
- 239000010953 base metal Substances 0.000 description 4
- 230000005540 biological transmission Effects 0.000 description 4
- 238000009713 electroplating Methods 0.000 description 4
- 239000004744 fabric Substances 0.000 description 4
- 239000011261 inert gas Substances 0.000 description 4
- 238000007747 plating Methods 0.000 description 4
- 238000002360 preparation method Methods 0.000 description 4
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 3
- 239000003054 catalyst Substances 0.000 description 3
- 239000000460 chlorine Substances 0.000 description 3
- 239000003989 dielectric material Substances 0.000 description 3
- 230000000694 effects Effects 0.000 description 3
- 238000007772 electroless plating Methods 0.000 description 3
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 2
- 239000005046 Chlorosilane Substances 0.000 description 2
- 241000393496 Electra Species 0.000 description 2
- 238000003917 TEM image Methods 0.000 description 2
- JRBRVDCKNXZZGH-UHFFFAOYSA-N alumane;copper Chemical compound [AlH3].[Cu] JRBRVDCKNXZZGH-UHFFFAOYSA-N 0.000 description 2
- 229910052799 carbon Inorganic materials 0.000 description 2
- 239000003638 chemical reducing agent Substances 0.000 description 2
- KOPOQZFJUQMUML-UHFFFAOYSA-N chlorosilane Chemical compound Cl[SiH3] KOPOQZFJUQMUML-UHFFFAOYSA-N 0.000 description 2
- 239000011651 chromium Substances 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 239000004020 conductor Substances 0.000 description 2
- 238000007872 degassing Methods 0.000 description 2
- 238000009792 diffusion process Methods 0.000 description 2
- UBHZUDXTHNMNLD-UHFFFAOYSA-N dimethylsilane Chemical compound C[SiH2]C UBHZUDXTHNMNLD-UHFFFAOYSA-N 0.000 description 2
- 239000000428 dust Substances 0.000 description 2
- 238000010438 heat treatment Methods 0.000 description 2
- 238000003475 lamination Methods 0.000 description 2
- 239000007788 liquid Substances 0.000 description 2
- 238000012423 maintenance Methods 0.000 description 2
- QKCGXXHCELUCKW-UHFFFAOYSA-N n-[4-[4-(dinaphthalen-2-ylamino)phenyl]phenyl]-n-naphthalen-2-ylnaphthalen-2-amine Chemical compound C1=CC=CC2=CC(N(C=3C=CC(=CC=3)C=3C=CC(=CC=3)N(C=3C=C4C=CC=CC4=CC=3)C=3C=C4C=CC=CC4=CC=3)C3=CC4=CC=CC=C4C=C3)=CC=C21 QKCGXXHCELUCKW-UHFFFAOYSA-N 0.000 description 2
- 239000010955 niobium Substances 0.000 description 2
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 2
- 239000007800 oxidant agent Substances 0.000 description 2
- 230000001590 oxidative effect Effects 0.000 description 2
- 230000002093 peripheral effect Effects 0.000 description 2
- 238000011112 process operation Methods 0.000 description 2
- 239000002356 single layer Substances 0.000 description 2
- 239000007787 solid Substances 0.000 description 2
- 238000003860 storage Methods 0.000 description 2
- NXHILIPIEUBEPD-UHFFFAOYSA-H tungsten hexafluoride Chemical compound F[W](F)(F)(F)(F)F NXHILIPIEUBEPD-UHFFFAOYSA-H 0.000 description 2
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- SVXDYAAXTHJMQM-UHFFFAOYSA-N CC(=CC=CCCCC)C.[F] Chemical compound CC(=CC=CCCCC)C.[F] SVXDYAAXTHJMQM-UHFFFAOYSA-N 0.000 description 1
- 239000004215 Carbon black (E152) Substances 0.000 description 1
- VEXZGXHMUGYJMC-UHFFFAOYSA-M Chloride anion Chemical compound [Cl-] VEXZGXHMUGYJMC-UHFFFAOYSA-M 0.000 description 1
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 1
- VYZAMTAEIAYCRO-UHFFFAOYSA-N Chromium Chemical compound [Cr] VYZAMTAEIAYCRO-UHFFFAOYSA-N 0.000 description 1
- 229910021555 Chromium Chloride Inorganic materials 0.000 description 1
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 1
- 229910008482 TiSiN Inorganic materials 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- 229910021550 Vanadium Chloride Inorganic materials 0.000 description 1
- 239000000654 additive Substances 0.000 description 1
- 239000012790 adhesive layer Substances 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 150000001399 aluminium compounds Chemical class 0.000 description 1
- 238000000137 annealing Methods 0.000 description 1
- 125000004429 atom Chemical group 0.000 description 1
- 238000000231 atomic layer deposition Methods 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- JUOJXNAVZADLAJ-UHFFFAOYSA-N bis(2-methylpropyl)diazene Chemical compound CC(C)CN=NCC(C)C JUOJXNAVZADLAJ-UHFFFAOYSA-N 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 229910052801 chlorine Inorganic materials 0.000 description 1
- SLLGVCUQYRMELA-UHFFFAOYSA-N chlorosilicon Chemical compound Cl[Si] SLLGVCUQYRMELA-UHFFFAOYSA-N 0.000 description 1
- 229910052804 chromium Inorganic materials 0.000 description 1
- QSWDMMVNRMROPK-UHFFFAOYSA-K chromium(3+) trichloride Chemical compound [Cl-].[Cl-].[Cl-].[Cr+3] QSWDMMVNRMROPK-UHFFFAOYSA-K 0.000 description 1
- 230000001143 conditioned effect Effects 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 229910000365 copper sulfate Inorganic materials 0.000 description 1
- XTYUEDCPRIMJNG-UHFFFAOYSA-N copper zirconium Chemical compound [Cu].[Zr] XTYUEDCPRIMJNG-UHFFFAOYSA-N 0.000 description 1
- ARUVKPQLZAKDPS-UHFFFAOYSA-L copper(II) sulfate Chemical compound [Cu+2].[O-][S+2]([O-])([O-])[O-] ARUVKPQLZAKDPS-UHFFFAOYSA-L 0.000 description 1
- OMFXVFTZEKFJBZ-HJTSIMOOSA-N corticosterone Chemical compound O=C1CC[C@]2(C)[C@H]3[C@@H](O)C[C@](C)([C@H](CC4)C(=O)CO)[C@@H]4[C@@H]3CCC2=C1 OMFXVFTZEKFJBZ-HJTSIMOOSA-N 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- 238000002425 crystallisation Methods 0.000 description 1
- 230000008025 crystallization Effects 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 238000007791 dehumidification Methods 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- BUMGIEFFCMBQDG-UHFFFAOYSA-N dichlorosilicon Chemical compound Cl[Si]Cl BUMGIEFFCMBQDG-UHFFFAOYSA-N 0.000 description 1
- 125000000118 dimethyl group Chemical group [H]C([H])([H])* 0.000 description 1
- PZPGRFITIJYNEJ-UHFFFAOYSA-N disilane Chemical compound [SiH3][SiH3] PZPGRFITIJYNEJ-UHFFFAOYSA-N 0.000 description 1
- 238000004821 distillation Methods 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 238000004070 electrodeposition Methods 0.000 description 1
- 239000003792 electrolyte Substances 0.000 description 1
- 239000003344 environmental pollutant Substances 0.000 description 1
- 230000008020 evaporation Effects 0.000 description 1
- 238000001704 evaporation Methods 0.000 description 1
- 230000005284 excitation Effects 0.000 description 1
- 238000011049 filling Methods 0.000 description 1
- 239000012530 fluid Substances 0.000 description 1
- 229910052731 fluorine Inorganic materials 0.000 description 1
- 239000011737 fluorine Substances 0.000 description 1
- 238000011010 flushing procedure Methods 0.000 description 1
- 238000010574 gas phase reaction Methods 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 229910052735 hafnium Inorganic materials 0.000 description 1
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 1
- PDPJQWYGJJBYLF-UHFFFAOYSA-J hafnium tetrachloride Chemical compound Cl[Hf](Cl)(Cl)Cl PDPJQWYGJJBYLF-UHFFFAOYSA-J 0.000 description 1
- 150000004820 halides Chemical class 0.000 description 1
- QAMFBRUWYYMMGJ-UHFFFAOYSA-N hexafluoroacetylacetone Chemical compound FC(F)(F)C(=O)CC(=O)C(F)(F)F QAMFBRUWYYMMGJ-UHFFFAOYSA-N 0.000 description 1
- 229930195733 hydrocarbon Natural products 0.000 description 1
- 150000002430 hydrocarbons Chemical class 0.000 description 1
- 238000005984 hydrogenation reaction Methods 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- 230000006698 induction Effects 0.000 description 1
- QRXWMOHMRWLFEY-UHFFFAOYSA-N isoniazide Chemical compound NNC(=O)C1=CC=NC=C1 QRXWMOHMRWLFEY-UHFFFAOYSA-N 0.000 description 1
- 239000011159 matrix material Substances 0.000 description 1
- 230000008018 melting Effects 0.000 description 1
- 238000002844 melting Methods 0.000 description 1
- 229910001092 metal group alloy Inorganic materials 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- UIUXUFNYAYAMOE-UHFFFAOYSA-N methylsilane Chemical compound [SiH3]C UIUXUFNYAYAMOE-UHFFFAOYSA-N 0.000 description 1
- 229910052750 molybdenum Inorganic materials 0.000 description 1
- 239000011733 molybdenum Substances 0.000 description 1
- GICWIDZXWJGTCI-UHFFFAOYSA-I molybdenum pentachloride Chemical compound Cl[Mo](Cl)(Cl)(Cl)Cl GICWIDZXWJGTCI-UHFFFAOYSA-I 0.000 description 1
- 230000000877 morphologic effect Effects 0.000 description 1
- 229910052754 neon Inorganic materials 0.000 description 1
- GKAOGPIIYCISHV-UHFFFAOYSA-N neon atom Chemical compound [Ne] GKAOGPIIYCISHV-UHFFFAOYSA-N 0.000 description 1
- 229910052758 niobium Inorganic materials 0.000 description 1
- GUCVJGMIXFAOAE-UHFFFAOYSA-N niobium atom Chemical compound [Nb] GUCVJGMIXFAOAE-UHFFFAOYSA-N 0.000 description 1
- 230000008520 organization Effects 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- 229910052760 oxygen Inorganic materials 0.000 description 1
- KSOCVFUBQIXVDC-FMQUCBEESA-N p-azophenyltrimethylammonium Chemical compound C1=CC([N+](C)(C)C)=CC=C1\N=N\C1=CC=C([N+](C)(C)C)C=C1 KSOCVFUBQIXVDC-FMQUCBEESA-N 0.000 description 1
- 238000000059 patterning Methods 0.000 description 1
- YHBDIEWMOMLKOO-UHFFFAOYSA-I pentachloroniobium Chemical compound Cl[Nb](Cl)(Cl)(Cl)Cl YHBDIEWMOMLKOO-UHFFFAOYSA-I 0.000 description 1
- RPESBQCJGHJMTK-UHFFFAOYSA-I pentachlorovanadium Chemical compound [Cl-].[Cl-].[Cl-].[Cl-].[Cl-].[V+5] RPESBQCJGHJMTK-UHFFFAOYSA-I 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 231100000719 pollutant Toxicity 0.000 description 1
- 150000003254 radicals Chemical class 0.000 description 1
- 230000009257 reactivity Effects 0.000 description 1
- 229910052594 sapphire Inorganic materials 0.000 description 1
- 239000010980 sapphire Substances 0.000 description 1
- VSZWPYCFIRKVQL-UHFFFAOYSA-N selanylidenegallium;selenium Chemical compound [Se].[Se]=[Ga].[Se]=[Ga] VSZWPYCFIRKVQL-UHFFFAOYSA-N 0.000 description 1
- 150000004756 silanes Chemical class 0.000 description 1
- 229910021332 silicide Inorganic materials 0.000 description 1
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 1
- 150000003377 silicon compounds Chemical class 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 229910052814 silicon oxide Inorganic materials 0.000 description 1
- 238000001179 sorption measurement Methods 0.000 description 1
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 1
- PQDJYEQOELDLCP-UHFFFAOYSA-N trimethylsilane Chemical compound C[SiH](C)C PQDJYEQOELDLCP-UHFFFAOYSA-N 0.000 description 1
- 229940094989 trimethylsilane Drugs 0.000 description 1
- LEONUFNNVUYDNQ-UHFFFAOYSA-N vanadium atom Chemical compound [V] LEONUFNNVUYDNQ-UHFFFAOYSA-N 0.000 description 1
- 238000005406 washing Methods 0.000 description 1
- 238000009736 wetting Methods 0.000 description 1
- 229910052724 xenon Inorganic materials 0.000 description 1
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 description 1
- DUNKXUFBGCUVQW-UHFFFAOYSA-J zirconium tetrachloride Chemical compound Cl[Zr](Cl)(Cl)Cl DUNKXUFBGCUVQW-UHFFFAOYSA-J 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
- H01L21/28562—Selective deposition
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/34—Nitrides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4411—Cooling of the reaction chamber walls
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4412—Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45502—Flow conditions in reaction chamber
- C23C16/45504—Laminar flow
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45502—Flow conditions in reaction chamber
- C23C16/45508—Radial flow
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45512—Premixing before introduction in the reaction chamber
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45544—Atomic layer deposition [ALD] characterized by the apparatus
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45582—Expansion of gas before it reaches the substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
- H01L21/76846—Layer combinations
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76871—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Organic Chemistry (AREA)
- Metallurgy (AREA)
- Mechanical Engineering (AREA)
- Materials Engineering (AREA)
- Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Manufacturing & Machinery (AREA)
- General Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Inorganic Chemistry (AREA)
- Fluid Mechanics (AREA)
- Chemical Vapour Deposition (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Electrodes Of Semiconductors (AREA)
Abstract
本发明提供了一种用于在衬底上形成金属互连的方法。一方面,本方法包括沉积含难熔金属的阻挡层,所述阻挡层的厚度小于2nm使得其呈现类晶体结构,并足以抑制至少一部分金属层上的原子迁移;通过交替地引入一次或多次含金属化合物的脉冲和一次或多次含氮化合物的脉冲,在至少一部分阻挡层上沉积晶种层;并在至少一部分晶种层上沉积第二金属层来生成互连。
Description
本申请是中国发明专利申请No.02821269.X(国际申请号:PCT/US02/34277,国际申请日:2002年10月25日)的分案申请。
技术领域
本发明的实施例涉及用于制备集成电路器件的方法。更具体地,本发明的实施例涉及利用一个或多个循环沉积工艺来形成金属互连结构。
背景技术
由于集成电路(IC)器件的结构尺寸按比例降至亚1/4微米的范围,所以电阻和电流密度已成为急需考虑并改善的因素。多层互连结构提供了贯穿IC器件的导电路径,其中的IC器件被形成在高宽比(aspect ratio)较高的构造(feature)中,包括接头、插塞、通孔、线(line)、导线和其他构造。在衬底(substrate)上形成互连的典型方法包括沉积一层或多层,蚀刻其中的至少一层来形成一个或多个构造,在构造中沉积阻挡层,并沉积一层或多层来填满构造。通常,构造在设置于下层导电层和上层导电层之间的介电层内形成。互连在构造内形成以连接上层导电层和下层导电层。可靠地形成这些互连构造对电路的生成,以及单个衬底和模片(die)上的电路密度和质量的提高是重要的。
近来,由于铜及其合金的电阻率小于铝,所以铜已成为填充亚微米、高宽比较高的互连构造的首选金属。但是,铜更容易扩散进周围的材料内,并能改变相邻层的电子器件特性(例如形成层间的导电路径),从而降低了整个电路的可靠性,并可能导致器件损坏。
因此,在铜金属化之前沉积阻挡层来防止或阻碍铜原子的扩散。阻挡层通常含有难熔金属,例如钨、钛、钽及其氮化物,所有这些材料的电阻率都大于铜。为在构造内沉积阻挡层,阻挡层必须沉积在构造的底面及其侧壁上。因此,构造底部上的阻挡层的附加量不仅增加了构造的总电阻,而且成为多层互连结构的上层金属互连和下层金属互连之间的障碍。
因此,需要一种使互连的电阻最小的形成金属互连结构的改进方法。
发明内容
本发明提供了一种用于在衬底上形成金属互连的方法。一方面,本方法包括沉积含难熔金属的阻挡层,所述阻挡层的厚度呈现类晶体结构,并足以抑制至少一部分金属层上的原子迁移。通过交替地引入一次或多次含金属化合物的脉冲和一次或多次含氮化合物的脉冲,在至少一部分阻挡层上沉积晶种层,并在至少一部分晶种层上沉积第二金属层来生成互连。
另一方面,本方法包括在衬底表面上沉积第一金属层;通过交替地引入一次或多次含钛化合物的脉冲、一次或多次含硅化合物的脉冲和一次或多次含氮化合物的脉冲,在至少一部分第一金属层上沉积厚度小于约20埃的钛硅氮化物层;沉积双合金晶种层,并在至少一部分双合金晶种层上沉积第二金属层。
另一方面,本方法还包括在至少一部分金属层上沉积厚度小于约20埃的双层阻挡,沉积双合金晶种层,并在至少一部分双合金晶种层上沉积第二金属层。双层阻挡包括通过交替地引入一次或多次含钽化合物的脉冲和一次或多次含氮化合物的脉冲而沉积的第一氮化钽层和第二α相钽层。
另一方面,本方法包括在衬底表面上沉积第一金属层;通过交替地引入一次或多次含钽化合物的脉冲和一次或多次含氮化合物的脉冲,在至少一部分第一金属层上沉积厚度小于约20埃的氮化钽阻挡层;沉积双合金晶种层,其包括铜和选自铝、镁、钛、锆、锡及其组合的金属;并在至少一部分双合金晶种层上沉积第二金属层。
附图说明
为能详细地获悉并理解本发明的上述特征,可参照在附图中所说明的其实施例,从而对以上简短概括的本发明进行更具体的描述。但是,应该注意附图仅说明了本发明的典型实施例,因此不应认为是限制其范围,因为本发明包括其他等效的实施例。
图1图示了根据这里所描述的各种实施例的处理工艺顺序。
图2A-2D是根据这里所描述的实施例的互连制备的不同阶段的示例性晶片的示意性横截面视图。
图3图示了根据这里所描述循环沉积技术用来形成薄阻挡层的示例性处理室200的示意性部分横截面。
图4图示了适于进行这里所描述的互连制备顺序的示例性集束工具的示意性平面图。
图5是其中具有根据这里所描述的沉积技术而沉积的氮化钛阻挡层的构造的透射电子显微镜(TEM)图像。
图6是表示多层互连结构的部分横截面视图的TEM图像。
具体实施方式
本发明提供了形成一个或多个互连结构的工艺过程序列。与现有技术的互连相比较,根据这里所描述的实施例而形成的互连结构具有更低的总电阻率和更好的电性能,尤其适用于制造存储器以及集成电路制备中用到的逻辑结构。所述互连结构的形成包括至少部分沉积在底层(underlying)金属层上的薄阻挡层、至少部分沉积在所述阻挡层上的晶种层、以及至少部分沉积在所述晶种层上的本体金属层的形成。这里所用的术语“互连”指集成电路内形成的任意导电路径。这里所用的术语“本体金属(bulkmetal)”指相对于用来形成互连结构所沉积的其他金属,这里沉积的金属量更大。
图1图示了根据本发明的实施例的工艺流程顺序。首先,例如如步骤480所示,薄阻挡层至少部分沉积在底层衬底表面上,例如下层金属互连或金属栅。根据这里所描述的循环层沉积技术进行阻挡层沉积,以便提供优异的阻挡性能,并允许底层金属层持续生长穿过阻挡层而进入上层金属互连或随后沉积的金属层内。一方面,阻挡层是含难熔金属层,例如钽、钛和钨,并且可包括难熔金属氮化物材料,例如氮化钽(TaN)。另一方面,阻挡层是TaN和α相钽的薄双层。另一方面,阻挡层还可以是由含难熔金属的化合物、含硅化合物和含氮化合物而形成的三元材料。阻挡层也可作为后续的金属化过程的润湿层、粘合层或胶层。
这里所用的“薄层”指沉积在衬底表面上的材料层,厚度约20埃或更少,例如约10A。阻挡层的厚度如此薄以致相邻的金属互连的电子能够隧穿过阻挡层。因此,阻挡层通过降低总电阻率,提供优良的器件可靠性从而显著提高了金属互连的电性能。
根据这里所述的循环沉积方法而沉积的薄阻挡层显示出外延生长的现象。换句话说,阻挡层呈现与底层相同或基本相同的结晶特性。结果,生长出的基本是单晶,使得阻挡层和底层之间的界面没有空隙形成。同样,随后沉积在阻挡层上的金属层呈现相同或基本相同的外延生长特性,即继续形成单晶。因此,在这个界面处没有生成空隙。所得的类似单晶的结构消除了空隙的形成,从而显著增加了器件的可靠性。单晶结构在仍能提供优异的阻挡性能的同时,还减小了互连的总电阻。而且,我们相信由于互连材料界面上相似且均匀的晶体取向,单晶的生长减小了对电迁移和应力迁移的敏感度。
这里所用的“循环沉积”指连续地引入两种或多种反应性化合物以在衬底表面上沉积出单层材料。这两种或多种反应性化合物是交替地引入处理室的反应区的。通过时间延迟来分开各种反应性化合物,以使每种化合物粘附在衬底表面上并/或在衬底表面上反应。一方面,第一前体或化合物A被脉冲输入反应区内后,进行第一时间延迟。接着,第二前体或化合物B被脉冲输入反应区内后,进行第二时间延迟。如果例如钛硅氮化物的三元材料是所期望的,则例如第三化合物(C)被定量/脉冲输入反应区内后,进行第三时间延迟。在每次时间延迟期间,例如氩气的惰性气体被引入处理室内,来清洗反应区或去除反应区的任意残留反应性化合物。或者,清洗气在整个沉积过程中可连续地流动,使得在反应性化合物脉冲输入之间的时间延迟期间仅有清洗气流动。反应性化合物被交替地脉冲输入,直至在衬底表面上形成所期望的膜或膜层厚度。
这里所用的“衬底表面”指在其上进行膜处理的任意衬底表面。例如,取决于应用,衬底表面可包括硅、硅氧化物、掺杂硅、锗、砷化镓、玻璃、蓝宝石和例如金属、金属氮化物、金属合金和其他导电材料的任意其他材料。衬底表面还可包括例如二氧化硅和碳掺杂硅氧化物的介电材料。
这里所用的“脉冲输入”或“定量输入”意指一定量的特定化合物被间歇地或非连续地引入处理室的反应区内。取决于脉冲的持续时间,每次脉冲内的特定化合物的量可随时间变化。每次脉冲的持续时间是可变的,这取决于许多因素,例如所用的处理室的体积容量、耦合到所述处理室的真空系统及特定化合物本身的挥发性/反应性。
术语“化合物”意在包括一种或多种前体、氧化剂、还原剂、反应物和催化剂,及其组合。术语“化合物”也意在包括一组化合物,例如当两种或多种化合物同时被引入处理装置时。例如,一组化合物可包括一种或多种催化剂,以及一种或多种前体。术语“化合物”还意在包括例如通过解离或离子化而呈活化态或激发态的一种或多种前体、氧化剂、还原剂、反应物、和催化剂,或其组合。
我们相信在衬底表面上物理吸附、吸附、吸收或化学吸附单层反应物所用的表面吸引力是自限式的,这是因为由于衬底表面具有有限个反应物可利用的位点,所以在给定脉冲期间仅有一个单层被沉积在衬底表面上。一旦有限个位点被反应物占据,则反应物的进一步沉积将受阻。可重复这个循环来达到所期望厚度的层。
仍参照图1,如步骤485所示的,晶种层至少部分沉积在阻挡层上。可利用任意传统沉积技术,例如化学气相沉积(CVD)、物理气相沉积(PVD)、电镀、或无电镀来沉积晶种层。优选地,晶种层保形地(conformally)沉积在底层阻挡层上,所形成的厚度在约100A-500A之间。一方面,晶种层是传统的铜晶种层。另一方面,晶种层是双合金晶种层。示例性的双合金晶种层包括:1)利用含有未掺杂的铜的目标材料而沉积的未掺杂的铜,2)利用含有约2.0原子百分比的铝的铜-铝目标材料而沉积的含有约2.0原子百分比的铝的铜合金,3)利用含有约2.0原子百分比的锡的铜-锡目标材料而沉积的含有约2.0原子百分比的锡的铜合金,及4)利用含有约2.0原子百分比的锆的铜-锆目标材料而沉积的含有约2.0原子百分比的锆的铜合金。
如步骤487所示的,本体金属层至少被部分沉积在晶种层上。也可利用任意传统沉积技术,例如化学气相沉积(CVD)、物理气相沉积(PVD)、电镀、或无电镀来沉积金属层。金属层优选包括任意导电材料,例如铝、铜、钨或其组合。
图2A-2D是在制备的不同阶段的示例性互连结构的示意图。图2A示出了其上形成有介电层112的底层金属层110。图2B示出了至少部分沉积在底层金属层110上的阻挡层130。底层金属层110可含有任意导电金属,例如铝、铜、钨或其组合,并且可形成为互连构造的一部分,例如插塞、通孔、接头、线、导线,而且还可作为金属栅电极的一部分。图2C示出了至少部分沉积在阻挡层130上的晶种层140,且图2D示出了至少部分沉积在晶种层140上的本体金属层142。
参照图2A,介电层112可以是任意介电材料,包括无论是目前已知的或是将要被发现的低介电常数的介电材料(k≤4.0)。例如,介电层112可以是硅的氧化物或碳掺杂的硅氧化物。利用传统的公知技术,介电层112已被蚀刻来形成其中的构造114。构造114可以是插塞、通孔、接头、线、导线、或任意其他互连元件。一般地,构造114具有垂直的侧壁116和底面118,其高宽比约4:1或更大,例如约6:1。底面118暴露出下层金属互连110的至少一部分。
参照图2B,阻挡层130保形地沉积在构造114的底面118及侧壁116上。优选地,通过向其内设置有衬底的反应区以约100sccm-1000sccm的流速在约1.0秒或更短的时段内提供一个或多个含钽化合物的脉冲,并以约100sccm-1000sccm的流速在约1.0秒或更短的时段内提供一个或多个含氮化合物的脉冲,阻挡层含有沉积厚度约20A或更薄的氮化钽,优选约10A。示例性含钽化合物包括:t-丁基亚氨基-三(二乙基氨基)钽(TBTDET);五(乙基甲基氨基)钽(PEMAT);五(二甲基氨基)钽(PDMAT);五(二乙基氨基)钽(PDEAT);t-丁基亚氨基-三(二乙基甲基氨基)钽(TBTMET);t-丁基亚氨基-三(二甲基氨基)钽(TBTDMT);二(环戊二烯基)钽化三氢((Cp)2TaH3);二(甲基环戊二烯基)钽化三氢((CpMe)2TaH3);其衍生物;及其组合。示例性含氮化合物包括:氨;肼;甲基肼;二甲基肼;t-丁基肼;苯肼;偶氮基异丁烷(azoisobutane);叠氮基乙烷;其衍生物;及其组合。
应该理解,这些化合物或任意其他以上未列出的化合物在室温下可以是固体、液体或气体。例如,PDMAT在室温下是固体,而TBTDET在室温下是液体。因此,在引入处理室之前,非气相前体要经过升华或蒸发步骤,而这都是本领域公知的。如本领域公知的,例如氩气、氦气、氮气、氢气或其混合物的载气也可用来帮助将化合物输入处理室内。
按顺序进行每个脉冲输入,并伴随着速度在约200sccm-1000sccm之间的单独的非反应性气体流。单独的非反应性气体流可在每个反应性化合物的脉冲输入之间脉冲地输入,或者可在整个沉积过程中连续地引入单独的非反应性气体流。无论是脉冲的还是连续的,单独的非反应性气体流起到去除反应区的任意多余反应物的作用,从而防止反应性化合物进行不需要的气相反应,并且也起到去除处理室的任意反应副产物的作用,类似于清洗气。除了这些作用,连续的非反应性气体的单独流动帮助将反应性化合物脉冲地传输到衬底表面,类似于载气。这里所用的术语“非反应性气体”指不会参与金属层形成的一种气体或气体混合物。示例性的非反应性气体包括氩气、氦气、氮气、氢气或其组合。
“反应区”意在包括与正在被处理的衬底表面流体连接的任意空间。反应区可包括在气源和衬底表面之间的处理室内的任意空间。例如,反应区包括衬底设置在其中的定量阀下游的任意空间。
每次脉冲输入/定量输入的持续时间是可变的,并可被调节,例如可借此来适应处理室的体积容量及与其耦合的真空系统的容量。此外,化合物的定量输入时间可根据化合物的流速、化合物的压力、化合物的温度、定量阀的类型、所用控制装置的类型、及化合物在衬底表面上的吸附能力而变化。定量输入时间也可基于正被形成的层的类型和正被形成的器件的几何结构而变化。
一般地,每次脉冲输入的持续时间或“定量输入时间”一般为1.0秒或更少。但是,定量输入时间可在几微秒到几毫秒,到几秒,甚至到几分钟的范围内。一般,定量输入时间应足够长,从而为一定体积的化合物提供充足的时间吸附/化学吸附到衬底的整个表面上,并在其上形成化合物层。
图3图示了示例性处理室200的示意性部分横截面,该处理室能够利用循环层沉积、原子层沉积、数字化学气相沉积和快速化学气相沉积技术来形成阻挡层。术语“循环层沉积”、“原子层沉积”、“数字化学气相沉积”和“快速化学气相沉积”这里可互相交换地使用,指气相沉积技术,通过该技术两种或多种化合物被按顺序引入处理室的反应区内,并在衬底表面上沉积出薄层材料。这种处理室200可从位于加利福尼亚的圣克拉拉的应用材料公司获得,其简短说明如下。更详细的说明可在2001年12月21日提交的题为“Gas Delivery Apparatus and Method For AtomicLayer Deposition”的共同受让的美国专利申请No.10/032,284中找到,作为参考资料这里引用了其内容。
处理室200可被集成进集成处理平台内,例如也可从应用材料公司获得的EnduraTM平台。EnduraTM平台的细节在1999年11月30日提交的题为“Integrated Modular Processing Platform”的共同受让的美国专利申请No.09/451,628中有描述,作为参考资料这里引用了其内容。
参照图3,室200包括室体202和设置在其内的衬底支架212,室体202具有在其侧壁204中形成的条阀208。衬底支架212安装在提升电机214上,来升高和降低衬底支架212及设置在其上的衬底210。衬底支架212也可包括在处理过程中用来将衬底210固定在衬底支架212上的真空吸盘、静电吸盘、或夹持环。而且,可利用嵌入的加热元件,例如电阻加热器来加热衬底支架212,或可利用例如设置在衬底支架212上的加热灯的辐射热来加热衬底支架212。清洗环222可设置在衬底支架212上,从而限定出清洗通道224,其提供清洗气以防止在衬底210的周边部分上的沉积。
输气装置230设置在室体202的上部,用来向室200提供气,例如处理气和/或清洗气。真空装置278与抽吸通道279相连,用来抽空室200中的气体,并帮助维持室200的抽吸区266内所期望的压力或所期望的压力范围。
输气装置230包括室盖232,其具有在其中间部分内形成的膨胀通道234。室盖232还包括从膨胀通道234延伸到室盖232的周边部分的底面260。底面260的大小和形状可充分地覆盖设置在衬底支架212上的衬底210。膨胀通道234的内径从上部237向邻近室盖232的底面260的下部235逐渐增加。随着气体流过膨胀通道234,由于气体的膨胀,通过它流动的气体速度会减小。减小的气速降低了吹走吸附在衬底210的表面上的反应物的可能性。
输气装置230还包括至少两个具有一个或多个端口的高速启动阀242。至少一个阀242是每种反应性化合物专用的。例如,第一阀是含难熔金属的化合物专用的,例如钽和钛,而第二阀是含氮化合物专用的。如果希望三元材料,则第三阀是另一种化合物专用的。例如,如果硅化物是所期望的,则另一种化合物可以是含硅的化合物。
阀242可以是任意能够精确并重复地将短脉冲的化合物输入室体202内的阀。在某些情况下,阀242的开/关循环周期或脉冲可约为100毫秒或更短。阀242可由系统计算机直接控制,例如大型机,或由面向特定的室/应用的专用控制器来控制,例如在2001年3月7日提交的题为“ValveControl System For ALD Chamber”的共同待审的美国专利申请No.09/800,881中详细描述的可编程逻辑计算机(PLC),作为参考资料这里引用了其内容。例如,阀242可以是电控(EC)阀,如日本Fujikin公司市售的部件号为FR-21-6.35UGF-APD的阀。
为方便控制并自动操作整个装置,集成处理装置可包括含有中央处理单元(CPU)142、存储器144和支持电路146的控制器140。CPU 142可以是一种任意形式的计算机处理器,其用在工业环境中来控制各种传动和压力。存储器144与CPU 142连接,可以是一种或多种容易获得的存储器,例如随机访问存储器(RAM)、只读存储器(ROM)、软盘、硬盘、或任意其他形式的数字存储器、本地或远程存储器。软件指令和数据可被编码,并存储在存储器144内,用来指示CPU 142。支持电路146也与CPU 142连接,用来以传统方式支持处理器142。支持电路146可包括缓存、电源、时钟电路、输入/输出电路、子系统等。
在具体实施例中,通过向衬底表面循环地引入PDMAT和氨而形成TaN阻挡层。为开始TaN层的循环沉积,例如氩气的载气/惰性气体被引入处理室200内以稳定其压力和温度。在沉积过程中允许载气连续地流动,使得仅有氩气在每种化合物的脉冲输入之间流动。在室内温度和压力已分别稳定在约200℃-300℃和约1Torr-5Torr之后,由气源238以约100sccm-400sccm的流速,脉冲时间约为0.6秒或更短来提供PDMAT的第一脉冲输入。然后由气源239以约200sccm-600sccm的流速,脉冲时间约为0.6秒或更短来提供氨的脉冲输入。
PDMAT和氨的脉冲输入之间可暂停约1.0秒或更短,优选暂停约0.5秒或更短,更优选暂停约0.1秒或更短。在各个方面,脉冲输入之间的时间减少至少提供了更高的处理量。结果,脉冲输入氨之后也暂停约1.0秒或更短,约0.5秒或更短,或者约0.1秒或更短。气源240通过各个阀242连续地提供在约100sccm-1000sccm之间流动的氩气,例如约100sccm-400sccm。一方面,当氨的脉冲进入时,PDMAT的脉冲可能仍在室内。一般,载气和/或抽吸排空的持续时间应足够长以防止在反应区中PDMAT和氨的脉冲混合在一起。
室压约1.0-5.0Torr时,加热器的温度维持在约100℃-300℃。优选地,沉积温度在约200℃-250℃之间。由PDMAT的脉冲输入、暂停、氨的脉冲输入和暂停组成的每个循环周期提供了每循环厚度在约0.3A-1.0A之间的钽氮化物层。可重复这个交替的顺序,直至达到所期望的厚度,即少于约20A,例如约10A。因此,该沉积方法需要10-70个循环周期,更具体地是20-30个循环周期。
另一方面,通过提供一个或多个含难熔金属的化合物的脉冲输入、一个或多个含氮化合物的脉冲输入及一个或多个含硅化合物的脉冲输入,沉积出厚度小于约20A(例如10A)的三元阻挡层。调节每次脉冲以提供所期望的组成、含硅量、厚度、密度和难熔金属-硅的氮化物层的阶梯覆盖(step coverage)。这里所用的“三元阻挡层”指包括三种主要元素的组合物的材料,例如钛、氮和硅。示例性的“三元阻挡层”也可包括钽、氮和硅。
在上述相同的工艺条件下,按顺序进行每次脉冲输入,并伴随有单独的载气/惰性气体流。单独的载气/惰性气体流可在每次反应性化合物的脉冲输入之间脉冲地引入,或者可在整个沉积工艺过程中连续地引入。
优选地,三元阻挡层含有钛硅氮化物。在这个实施例中,每个循环周期包括含钛化合物的脉冲输入、暂停、含硅化合物的脉冲输入、暂停、含氮化合物的脉冲输入和暂停。示例性的含钛化合物包括四(二甲基氨基)钛(TDMAT)、四(乙基甲基氨基)钛(TEMAT)、四(二乙基氨基)钛(TDEAT)、四氯化钛(TiCl4)、四碘化钛(TiI4)、四溴化钛(TiBr4)和其他钛的卤化物。示例性的含硅化合物包括硅烷、乙硅烷、甲基硅烷、二甲基硅烷、氯代硅烷(SiH3Cl)、二氯代硅烷(SiH2Cl2)和三氯代硅烷(SiHCl3)。示例性的含氮化合物包括:氨、肼;甲基肼;二甲基肼;t-丁基肼;苯肼;偶氮基异丁烷;叠氮基乙烷;其衍生物;及其组合。
为开始TixSiyN层的循环沉积,将氩气引入处理室200来稳定其中的压力和温度。这种单独的氩气流在整个沉积工艺过程中连续地流动,使得在每种化合物的脉冲输入之间仅有氩气流动。单独的氩气流以约100sccm-1000sccm流动,例如在约100sccm-400sccm之间。一方面,在室内温度和压力已分别稳定在约250℃和约2Torr之后,以约10sccm-1000sccm的流速,脉冲时间约为0.6秒或更短来提供TDMAT的脉冲输入。然后以约5sccm-500sccm的流速,脉冲时间约为1秒或更短来提供硅烷的脉冲输入。然后以约100sccm-5000sccm的流速,脉冲时间约为0.6秒或更短来提供氨的脉冲输入。
TDMAT和硅烷的脉冲输入之间可暂停约1.0秒或更短,优选暂停约0.5秒或更短,更优选暂停约0.1秒或更短。硅烷和氨的脉冲输入之间可暂停约1.0秒或更短,优选暂停约0.5秒或更短,更优选暂停约0.1秒或更短。脉冲输入氨之后也暂停约1.0秒或更短,约0.5秒或更短,或者约0.1秒或更短。一方面,当硅烷的脉冲进入时,TDMAT的脉冲可能仍在室内,且当氨的脉冲进入时,硅烷的脉冲可能仍在室内。
室压约1.0-5.0Torr下,加热器的温度维持在约100℃-300℃。由TDMAT的脉冲输入、暂停、硅烷的脉冲输入、暂停、氨的脉冲输入和暂停组成的每个循环周期提供了每循环厚度在约之间的钛硅氮化物层。可重复这个交替的工艺顺序,直至达到所期望的厚度,即少于约列如约因此,该沉积方法需要10-70个循环周期。
另一方面,厚度约为或更少,例如约的α相钽(α-Ta)层可沉积在至少一部分已先沉积的二元层(TaN)或三元层(TiSiN)上。可利用传统技术,例如PVD和CVD来沉积α-Ta层,以形成双层叠层。例如,双层叠层可包括通过上述循环层沉积而沉积的TaN部分,和通过高密等离子物理气相沉积(HDP-PVD)而沉积的α-Ta部分。相对于β相钽,由于α相钽的电阻较低,所以α相是优选的。
进一步说明,叠层的α-Ta部分可利用离子化金属等离子(IMP)室沉积,例如可从加利福尼亚的圣克拉拉的应用材料公司获得的VectraTM室。IMP室包括目标材料、线圈和偏置衬底支架部件,并也可被集成进也可从应用材料公司获得的EnduraTM平台内。约0.5kW-5kW的功率应用在目标材料上,约0.5kW-3kW的功率应用在线圈上。频率约13.56MHz,约200W-500W的功率还应用在衬底支架部件以使衬底偏置。氩气以约35sccm-85sccm的速率流进室内,并以约5sccm-100sccm的速率向室内加入氮气。室压通常在约5mTorr-100mTorr之间,而室温在约20℃-300℃之间。
上述阻挡层膜可从后沉积处理工艺中受益,例如等离子处理工艺或化学处理工艺。等离子处理工艺可降低电阻,提高产率。典型的等离子处理可包括氩等离子、氮等离子、或氮和氢等离子。等离子处理可在发生阻挡层沉积的同一沉积室中或不同的室中进行。如果等离子处理在同一室中发生,则等离子体可以是原位等离子体或由远程等离子源发送的等离子体,例如远程感应耦合源或微波源。
虽然不希望被理论所限,但我们相信例如氮化钽膜的等离子处理通过喷溅出氮而降低了一个或多个亚层的氮含量,从而降低了电阻率。例如,我们认为相对于非等离子处理过的氮化钽层,等离子处理使得氮化钽层含有更多的钽。换句话说,利用等离子处理工艺,1:1的Ta:N膜可转变为2:1的Ta:N膜。可获得对于0.004微米(40埃)厚的膜,其层电阻约等于1200微欧姆厘米或更低的氮化钽膜。
因此,其他非化学反应性气体可用来从阻挡层物理地置换氮,例如氖气(Ne)、氙气(Xe)、氦气(He)和氢气(H2)。一般地,为了优先喷射出N,更希望等离子气体原子或分子的原子质量接近N的原子质量,而不是接近Ta。但是,如果特定气体优先进行反应来去除N,而留下Ta时,则可利用化学反应工艺过程。
化学处理工艺过程也能降低电阻,提高产率。典型的化学处理可包括暴露于铝化合物或硅化合物。这些化合物可包括但不限于DMAH、TMA、硅烷、二甲基硅烷、三甲基硅烷和其他有机硅烷化合物。化学处理通常在约1Torr-10Torr的压力下、约200℃-400℃的温度下进行。化学处理之后,已观察到根据上述方法沉积的氮化钽膜相对于未进行化学处理的膜,其去湿能力得以改善。
后续沉积处理工艺过程可在形成阻挡层之后进行。或者,该处理可在各个单层的沉积之间进行,或在每个循环周期的沉积之间进行。例如,处理工艺过程可在每形成约0.003-0.005微米(30-50埃)的层之后进行,或在约每7-10个循环周期后进行。
而且,在沉积阻挡层130之前,可清洗已图案化的或蚀刻过的衬底介电层112来去除表面的自然氧化物或其他污染物。例如,在远程等离子源(例如可从位于加利福尼亚的圣克拉拉的应用材料公司获得的反应预清洗室)内,反应性气体被激发成等离子体。通过将远程等离子源连接到金属CVD或PVD室,也可在这些室内完成预清洗。或者,具有输气装置的金属沉积室可改为通过现有的气体入口(例如位于衬底上方的气体分配喷头)来传送预清洗气体等离子体。
一方面,反应预清洗工艺过程形成来自一种或多种反应性气体的等离子体的自由基,例如氩气、氦气、氢气、氮气、含氟化合物及其组合。例如,反应性气体可包括四氟化碳(CF4)和氧气(O2)的混合物,或氦气(He)和三氟化氮(NF3)的混合物。更优选地,反应性气体是氦气和三氟化氮的混合物。
氩气等离子处理之后,室压增加到约140mTorr,基本由氢气和氦气组成的处理气体被引入处理区。优选地,这种处理气体包括约5%的氢气和约95%的氦气。通过应用约50瓦-500瓦的功率来产生氢等离子体。将氢等离子体维持约10秒-300秒。
再参照图2C,可利用高密等离子物理气相沉积(HDP-PVD)来沉积晶种层140,以能实现较好的保形覆盖。HDP-PVD室的一个实例是可从加利福尼亚的圣克拉拉的应用材料公司获得的自离子化等离子SIPTM室,可将其集成进从应用材料公司获得的EnduraTM平台。当然,也可利用其他技术,例如物理气相沉积、化学气相沉积、无电镀和电镀。
典型的SIPTM室包括目标材料、线圈和偏置衬底支架部件。为形成铜晶种层,约0.5kW-5kW的功率应用在目标材料上,约0.5kW-3kW的功率应用在线圈上。并应用频率约13.56MHz下约200W-500W的功率来偏置衬底。氩气以约35sccm-85sccm的速率流进室内,并以约5sccm-100sccm的速率向室内加入氮气。室压通常在约5mTorr-100mTorr之间。
或者,可通过任意合适技术,例如物理气相沉积、化学气相沉积、无电沉积或其组合技术,来沉积含有铜合金的晶种层140。优选地,铜合金晶种层140含有铝,且是利用上述PVD技术沉积的。在沉积过程中,处理室的压力维持在约0.1mtorr-10mtorr之间。目标材料包括铜和原子重量百分比在约2-10之间的铝。目标材料可以是在约5kW-100kW的功率下直流偏置的。支架可以是在约10W-1000W的功率下射频偏置的。铜合金晶种层140的沉积厚度至少约且在约之间。
参照图2D,可利用化学气相沉积(CVD)、物理气相沉积(PVD)、电镀或其组合来形成金属层142。例如,由含有二甲基氢化铝(DMAH)和氢气(H2)或氩气(Ar)的气体混合物或其他含DMAH的混合物的反应可沉积铝(Al)层,由含有Cu+2(hfac)2(六氟乙酰丙酮铜)、Cu+2(fod)2(七氟二甲基辛二烯烃铜)、Cu+1hfac TMVS(六氟乙酰丙酮铜三甲基乙烯基硅烷)或其组合的气体混合物可沉积CVD铜层,并且由含有六氟化钨(WF6)和还原气体的气体混合物可沉积CVD钨层。由铜目标材料、铝目标材料或钨目标材料可沉积PVD层。
而且,金属层142可以是难熔金属化合物,包括但不限于钛(Ti)、钨(W)、钽(Ta)、锆(Zr)、铪(Hf)、钼(Mo)、铌(Nb)、钒(V)和铬(Cr)等。通常,难熔金属与反应组分结合,例如氯(Cl)或氟(F),并与另一种气体一起提供以形成难熔金属化合物。例如,四氯化钛(TiCl4)、六氟化钨(WF6)、五氯化钽(TaCl5)、四氯化锆(ZrCl4)、四氯化铪(HfCl4)、五氯化钼(MoCl5)、五氯化铌(NbCl5)、五氯化钒(VCl5)或四氯化铬(CrCl4)可作为含难熔金属的化合物气体。
优选地,金属层142是铜,在电镀单元内形成,例如可从加利福尼亚的圣克拉拉的应用材料公司获得的ElectraTM Cu ECP装置。ElectraTM CuECP装置也可集成进也可从应用材料公司获得的EnduraTM平台。
在题为“Electro-deposition Chemistry”的共同受让的美国专利No.6,113,771中描述了铜电解质溶液和铜电镀技术,作为参考文献这里引用了其内容。一般地,电镀浴的铜浓度大于约0.7M,硫酸铜浓度约为0.85,pH值约为1.75。电镀浴也可包含各种本领域公知的添加剂。浴温在约15℃-25℃之间。偏压在约-15伏到15伏之间。一方面,正偏压在约0.1伏到10伏之间,而负偏压在约-0.1到-10伏之间。
可选地,金属层142沉积之后,可进行热退火工艺过程,使晶片处于约100℃-400℃温度下约10分钟到1小时,优选约30分钟。以约100sccm-10000sccm的速率引入例如氦气、氢气、氮气或其混合物的载气/清洗气。室压维持在约2Torr-10Torr之间。射频功率在约13.56MHz的频率下约为200W-1000W,且衬底间距优选在约300密尔-800密尔之间。
沉积之后,可对所得结构的顶部进行平坦化。可用化学机械抛光(CMP)装置,例如可从加利福尼亚的圣克拉拉的应用材料公司获得的MirraTM装置。可选地,在上述后续层的沉积之间可对结构的中间表面进行平坦化。
图4是示例性多室处理装置600的示意性俯视图,其适于用来进行以上公开的沉积序列。这种处理装置600可以是加利福尼亚的圣克拉拉的应用材料公司市售的EnduraTM装置。在1993年2月16日授权的题为“StageVacuum Wafer Processing System and Method”的美国专利No.5,186,718中公开了类似的多室处理装置,作为参考文献这里引用了其内容。
装置600一般包括用来将衬底送入装置600和从装置600中取出衬底的负荷固定室602、604。通常,由于装置600处于真空条件下,所以负荷固定室602、604可将引入装置600内的衬底“吸出”(pump down)。第一机械手610可在负荷固定室602、604与第一套一个或多个衬底处理室612、614、616、618(所图示的有四个)之间传送衬底。每个处理室612、614、616、618可配备成能进行许多衬底处理操作,例如循环层沉积、化学气相沉积(CVD)、物理气相沉积(PVD)、蚀刻、预清洗、脱气、定位和其他衬底工艺过程。第一机械手610还能将衬底传递到一个或多个传送室622、624,并从传送室中取出衬底。
传送室622、624在允许将衬底在装置600内传递的同时,并被用来维持超高真空状态。第二机械手630可在传送室622、624与第二套一个或多个衬底处理室632、634、636、638之间传送衬底。类似于处理室612、614、616、618,处理室632、634、636、638可配备成能进行多种不同衬底处理操作,例如循环层沉积、化学气相沉积(CVD)、物理气相沉积(PVD)、蚀刻、预清洗、脱气、定位。衬底处理室612、614、616、618、632、634、636、638中的任一个如果对于装置600进行的具体工艺过程是不需要的,都可从装置600中除去。
在一种结构方案中,每个处理室632和638可以是适于沉积晶种层的物理气相沉积室、化学气相沉积室或循环沉积室;每个处理室634和636可以是适于沉积阻挡层的循环沉积室、化学气相沉积室或物理气相沉积室;每个处理室612和614可以是适于沉积介电层的物理气相沉积室、化学气相沉积室或循环沉积室;并且每个处理室616和618可以是配备成能够为互连构造蚀刻孔或开口的蚀刻室。装置600的这种具体结构方案是用来说明本发明,并非用来限制本发明的范围。
我们相信厚度大于约20埃的难熔金属氮化物层将终止下层金属互连的生长模式。厚度大于或等于约20埃的难熔金属氮化物层将建立其自身独特的生长模式,这种模式将被更高层的互连首先采用,直至更高层的互连达到特定厚度并建立其自身的模式,从而形成不同的晶体结构。这种现象发生是因为:在初始沉积阶段,后续沉积的层的生长模式通常类似于其底层的生长模式,但是一旦后续层达到特定厚度,则所述后续层将呈现其自身的内在模式。
例如氮化钽自然趋向于形成厚度不小于20埃的无定形结构。在厚度小于约20埃时,TaN类似其底层的生长模式。因此,后续铜互连层令人惊讶地穿过阻挡层而生长,所述阻挡层是根据本发明的方法沉积的,呈现类似于底层铜互连的生长模式。换句话说,厚度小于或等于约20埃的TaN阻挡层使得铜粒能够较好地生长,使得铜粒能够穿过TaN阻挡层延伸生长,或简单地说,就是铜在氮化钽阻挡层上呈现出外延生长。
图5是构造300的透射电子显微镜(TEM)图像,构造300具有根据上述沉积技术而在其内沉积的氮化钽阻挡层310。构造300的高宽比为5:1,并在200mm的晶片上形成。阻挡层310由氮化钽组成,并是在250℃、2Torr下沉积出的。每个循环周期持续约2秒,并进行了30个循环周期。氮化钽阻挡层310的厚度约为15埃。如所示的,阻挡层310是保形的(conformal),表明在整个构造300中阶梯覆盖较好。
图6是表示多层互连结构400的部分横截面的TEM图像。多层互连结构400包括下层铜互连405、氮化钽阻挡层410和上层铜互连420。下层铜互连405的铜粒生长穿过阻挡层410,延伸进上层铜互连420内,从而表明氮化钽阻挡层410的外延生长。阻挡层410由氮化钽组成,并是在250℃、2Torr下沉积出的。每个循环周期持续约2秒,并进行了30个循环周期。阻挡层410的厚度约为10埃,这个厚度足以抑制铜迁移进介电层内。
利用TEM仪器测量参照图3和4所示和描述的阻挡层310和410。应该理解,这种测量技术及任意其他用来测量沉积层厚度的技术都存在边缘误差。因此,这里所提供的厚度是近似的,且是根据目前已知的最好技术来量化的,但并非意在限制本发明的范围。
下面的实例意在提供本发明的一个实施例的非限制性说明。
实例:
利用循环沉积在下层铜层上沉积出厚约为的TaN层。通过物理气相沉积在TaN层上沉积出厚约为的铜合金晶种层。铜合金晶种层含有浓度约为2.0个原子百分比的铝,并且是通过PVD,利用由浓度约为2.0个原子百分比的铝组成的铜-铝目标材料而沉积出的。然后利用ECP沉积本体铜层来填满构造。接着在氮气(N2)和氢气(H2)的环境中,约380℃的温度下将衬底热退火约15分钟。
构造总电阻显著降低,上层铜层令人惊讶地呈现出类似于下层铜层的晶粒生长。与的PVD Ta相比较,TaN层的阻挡性能呈现出更长的无故障工作时间(TTF)。另外,TaN层表现出更低的接触电阻和紧密的扩散分布。TaN层还呈现出优异的形态学特性,包括光滑的表面形态和针孔自由表面。
此外,根据这里所描述的PDMAT和氨工艺过程沉积的TaN膜表现出不同寻常的膜均匀性。膜厚度与沉积循环次数成线性比例,从而保证了精确的厚度控制。并发现在200mm的衬底上,对于10埃,厚度均匀性是1.8%;对于100埃,厚度均匀性是2.1%。沉积膜呈现出非同寻常的保形覆盖,至少在某些结果中接近100%。
最后,铜合金晶种层表现出对TaN层优异的粘合/润湿性能。(PVD)铜晶种层在沉积的阻挡层上呈现出优选的{111}取向。{111}晶体取向是优选的,这是因为这种取向提供了较大的晶粒尺寸,并由于更大的晶粒尺寸从而呈现出较好的电迁移电阻。
虽然前述部分涉及本发明的实施例,但在不偏离本发明的基本范围以及由所附的权利要求所确定的范围的情况下,可设计本发明的其他进一步实施例。
Claims (17)
1.一种在衬底上形成金属互连的方法,包括:
在衬底表面上沉积第一金属层;
通过交替地引入一次或多次含金属化合物的脉冲和一次或多次含氮化合物的脉冲,在至少一部分第一金属层上沉积含难熔金属的阻挡层,直至所述含难熔金属的阻挡层的厚度小于20埃,该阻挡层的厚度使得所述阻挡层呈现类晶体结构,并足以抑制原子迁移;
在至少一部分所述阻挡层上沉积铜合金晶种层;以及
在至少一部分所述铜合金晶种层上沉积第二金属层,其中所述第一金属层的晶粒生长延伸穿过所述阻挡层进入所述第二金属层内。
2.如权利要求1所述的方法,其中所述含难熔金属的阻挡层包括氮化钽。
3.如权利要求1所述的方法,其中重复每次脉冲输入,直至所述含难熔金属的阻挡层的厚度小于10埃。
4.如权利要求1所述的方法,其中所述交替的脉冲输入被重复10-70次来形成所述含难熔金属的阻挡层。
5.如权利要求1所述的方法,还包括在每次含金属化合物的脉冲和每次含氮化合物的脉冲过程中连续地流入清洗气。
6.如权利要求5所述的方法,其中所述清洗气包括氩气、氮气、氦气或其组合。
7.如权利要求1所述的方法,其中通过一时间延迟把每次含金属化合物的脉冲输入和含氮化合物的脉冲输入分开。
8.如权利要求7所述的方法,其中每次时间延迟对于一定量的含金属化合物或一定量的含氮化合物吸附在所述衬底表面上是足够长的。
9.如权利要求8所述的方法,其中所述时间延迟足够长以去除所述衬底表面上的未吸附的分子。
10.如权利要求1所述的方法,其中所述含氮化合物选自氨;肼;甲基肼;二甲基肼;t-丁基肼;苯肼;偶氮基异丁烷;叠氮基乙烷;其衍生物;及其组合。
11.如权利要求1所述的方法,其中所述含金属化合物选自四(二甲基氨基)钛;四(乙基甲基氨基)钛;四(二乙基氨基)钛;四氯化钛);四碘化钛;四溴化钛;t-丁基亚氨基-三(二乙基氨基)钽;五(乙基甲基氨基)钽;五(二甲基氨基)钽;五(二乙基氨基)钽;t-丁基亚氨基-三(二乙基甲基氨基)钽;t-丁基亚氨基-三(二甲基氨基)钽;二(环戊二烯基)钽化三氢;二(甲基环戊二烯基)钽化三氢;其衍生物;及其组合。
12.如权利要求1所述的方法,其中所述第一金属层和第二金属层各个都包括钨或铜。
13.如权利要求1所述的方法,其中所述铜合金晶种层包括沉积在所述阻挡层上的第一晶种层和沉积在所述第一晶种层上的第二晶种层。
14.如权利要求13所述的方法,其中所述第一晶种层包括铜和选自铝、镁、钛、锆、锡及其组合的金属,且所述第二晶种层包括铜。
15.一种在衬底上形成金属互连的方法,包括:
在衬底表面上沉积第一金属层;
通过交替地引入一次或多次含钛化合物的脉冲、一次或多次含硅化合物的脉冲和一次或多次含氮化合物的脉冲,在至少一部分所述第一金属层上沉积厚度小于20埃的钛硅氮化物层;
沉积铜合金晶种层;以及
在至少一部分所述铜合金晶种层上沉积第二金属层,其中所述第一金属层的晶粒生长延伸穿过所述钛硅氮化物层进入所述第二金属层内。
16.一种在衬底上形成金属互连的方法,包括:
在至少一部分金属层上沉积厚度小于20埃的双层阻挡层,所述双层阻挡层包括:
通过交替地引入一次或多次含钽化合物的脉冲和一次或多次含氮
化合物的脉冲而沉积的第一氮化钽层;和
第二α相钽层;
沉积铜合金晶种层;以及
在至少一部分所述铜合金晶种层上沉积第二金属层,其中所述金属层的晶粒生长延伸穿过所述双层阻挡层进入所述第二金属层内。
17.一种在衬底上形成金属互连的方法,包括:
在衬底表面上沉积第一金属层;
通过交替地引入一次或多次含钽化合物的脉冲和一次或多次含氮化合物的脉冲,在至少一部分所述第一金属层上沉积厚度小于20埃的氮化钽阻挡层;
沉积铜合金晶种层;以及
在至少一部分所述铜合金晶种层上沉积第二金属层,其中所述第一金属层的晶粒生长延伸穿过所述氮化钽阻挡层进入所述第二金属层内。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US34608601P | 2001-10-26 | 2001-10-26 | |
US60/346,086 | 2001-10-26 | ||
US10/193,333 | 2002-07-10 | ||
US10/199,415 | 2002-07-18 |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CNB02821269XA Division CN1319146C (zh) | 2001-10-26 | 2002-10-25 | 作为用于铜金属化的阻挡层的原子层沉积氮化钽和α相钽 |
Publications (2)
Publication Number | Publication Date |
---|---|
CN101174577A CN101174577A (zh) | 2008-05-07 |
CN100524692C true CN100524692C (zh) | 2009-08-05 |
Family
ID=36760924
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CNB2007101017141A Expired - Lifetime CN100524692C (zh) | 2001-10-26 | 2002-10-25 | 在衬底上形成金属互连的方法 |
CN028239032A Expired - Lifetime CN1774525B (zh) | 2001-10-26 | 2002-10-25 | 用于原子层淀积的气体输送装置 |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN028239032A Expired - Lifetime CN1774525B (zh) | 2001-10-26 | 2002-10-25 | 用于原子层淀积的气体输送装置 |
Country Status (3)
Country | Link |
---|---|
US (10) | US6916398B2 (zh) |
KR (1) | KR20040058239A (zh) |
CN (2) | CN100524692C (zh) |
Families Citing this family (807)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6974766B1 (en) | 1998-10-01 | 2005-12-13 | Applied Materials, Inc. | In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application |
US10047430B2 (en) | 1999-10-08 | 2018-08-14 | Applied Materials, Inc. | Self-ionized and inductively-coupled plasma for sputtering and resputtering |
US8696875B2 (en) | 1999-10-08 | 2014-04-15 | Applied Materials, Inc. | Self-ionized and inductively-coupled plasma for sputtering and resputtering |
US6620723B1 (en) * | 2000-06-27 | 2003-09-16 | Applied Materials, Inc. | Formation of boride barrier layers using chemisorption techniques |
US6936538B2 (en) | 2001-07-16 | 2005-08-30 | Applied Materials, Inc. | Method and apparatus for depositing tungsten after surface treatment to improve film characteristics |
US7732327B2 (en) | 2000-06-28 | 2010-06-08 | Applied Materials, Inc. | Vapor deposition of tungsten materials |
US7405158B2 (en) | 2000-06-28 | 2008-07-29 | Applied Materials, Inc. | Methods for depositing tungsten layers employing atomic layer deposition techniques |
US6551929B1 (en) | 2000-06-28 | 2003-04-22 | Applied Materials, Inc. | Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques |
US7964505B2 (en) | 2005-01-19 | 2011-06-21 | Applied Materials, Inc. | Atomic layer deposition of tungsten materials |
US7101795B1 (en) * | 2000-06-28 | 2006-09-05 | Applied Materials, Inc. | Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer |
US6451692B1 (en) * | 2000-08-18 | 2002-09-17 | Micron Technology, Inc. | Preheating of chemical vapor deposition precursors |
US20020036780A1 (en) * | 2000-09-27 | 2002-03-28 | Hiroaki Nakamura | Image processing apparatus |
US6951804B2 (en) | 2001-02-02 | 2005-10-04 | Applied Materials, Inc. | Formation of a tantalum-nitride layer |
US6878206B2 (en) | 2001-07-16 | 2005-04-12 | Applied Materials, Inc. | Lid assembly for a processing system to facilitate sequential deposition techniques |
US6596643B2 (en) * | 2001-05-07 | 2003-07-22 | Applied Materials, Inc. | CVD TiSiN barrier for copper integration |
US7211144B2 (en) | 2001-07-13 | 2007-05-01 | Applied Materials, Inc. | Pulsed nucleation deposition of tungsten layers |
TW581822B (en) * | 2001-07-16 | 2004-04-01 | Applied Materials Inc | Formation of composite tungsten films |
US9051641B2 (en) | 2001-07-25 | 2015-06-09 | Applied Materials, Inc. | Cobalt deposition on barrier surfaces |
US8110489B2 (en) | 2001-07-25 | 2012-02-07 | Applied Materials, Inc. | Process for forming cobalt-containing materials |
WO2003030224A2 (en) * | 2001-07-25 | 2003-04-10 | Applied Materials, Inc. | Barrier formation using novel sputter-deposition method |
US20030029715A1 (en) * | 2001-07-25 | 2003-02-13 | Applied Materials, Inc. | An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems |
US20090004850A1 (en) | 2001-07-25 | 2009-01-01 | Seshadri Ganguli | Process for forming cobalt and cobalt silicide materials in tungsten contact applications |
US7085616B2 (en) | 2001-07-27 | 2006-08-01 | Applied Materials, Inc. | Atomic layer deposition apparatus |
US6718126B2 (en) * | 2001-09-14 | 2004-04-06 | Applied Materials, Inc. | Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition |
US6936906B2 (en) * | 2001-09-26 | 2005-08-30 | Applied Materials, Inc. | Integration of barrier layer and seed layer |
US7049226B2 (en) * | 2001-09-26 | 2006-05-23 | Applied Materials, Inc. | Integration of ALD tantalum nitride for copper metallization |
TW589684B (en) * | 2001-10-10 | 2004-06-01 | Applied Materials Inc | Method for depositing refractory metal layers employing sequential deposition techniques |
US7780789B2 (en) * | 2001-10-26 | 2010-08-24 | Applied Materials, Inc. | Vortex chamber lids for atomic layer deposition |
US6916398B2 (en) * | 2001-10-26 | 2005-07-12 | Applied Materials, Inc. | Gas delivery apparatus and method for atomic layer deposition |
US20080102203A1 (en) * | 2001-10-26 | 2008-05-01 | Dien-Yeh Wu | Vortex chamber lids for atomic layer deposition |
US7780785B2 (en) | 2001-10-26 | 2010-08-24 | Applied Materials, Inc. | Gas delivery apparatus for atomic layer deposition |
US7081271B2 (en) * | 2001-12-07 | 2006-07-25 | Applied Materials, Inc. | Cyclical deposition of refractory metal silicon nitride |
US6939801B2 (en) * | 2001-12-21 | 2005-09-06 | Applied Materials, Inc. | Selective deposition of a barrier layer on a dielectric material |
AU2003238853A1 (en) * | 2002-01-25 | 2003-09-02 | Applied Materials, Inc. | Apparatus for cyclical deposition of thin films |
US6866746B2 (en) * | 2002-01-26 | 2005-03-15 | Applied Materials, Inc. | Clamshell and small volume chamber with fixed substrate support |
US6998014B2 (en) * | 2002-01-26 | 2006-02-14 | Applied Materials, Inc. | Apparatus and method for plasma assisted deposition |
US6911391B2 (en) | 2002-01-26 | 2005-06-28 | Applied Materials, Inc. | Integration of titanium and titanium nitride layers |
US6833161B2 (en) | 2002-02-26 | 2004-12-21 | Applied Materials, Inc. | Cyclical deposition of tungsten nitride for metal oxide gate electrode |
US6972267B2 (en) | 2002-03-04 | 2005-12-06 | Applied Materials, Inc. | Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor |
US7220312B2 (en) * | 2002-03-13 | 2007-05-22 | Micron Technology, Inc. | Methods for treating semiconductor substrates |
US6846516B2 (en) * | 2002-04-08 | 2005-01-25 | Applied Materials, Inc. | Multiple precursor cyclical deposition system |
US7279432B2 (en) | 2002-04-16 | 2007-10-09 | Applied Materials, Inc. | System and method for forming an integrated barrier layer |
US20030235961A1 (en) * | 2002-04-17 | 2003-12-25 | Applied Materials, Inc. | Cyclical sequential deposition of multicomponent films |
US6861094B2 (en) * | 2002-04-25 | 2005-03-01 | Micron Technology, Inc. | Methods for forming thin layers of materials on micro-device workpieces |
US6838114B2 (en) * | 2002-05-24 | 2005-01-04 | Micron Technology, Inc. | Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces |
US7041335B2 (en) * | 2002-06-04 | 2006-05-09 | Applied Materials, Inc. | Titanium tantalum nitride silicide layer |
US6858547B2 (en) * | 2002-06-14 | 2005-02-22 | Applied Materials, Inc. | System and method for forming a gate dielectric |
US20030232501A1 (en) * | 2002-06-14 | 2003-12-18 | Kher Shreyas S. | Surface pre-treatment for enhancement of nucleation of high dielectric constant materials |
US7067439B2 (en) * | 2002-06-14 | 2006-06-27 | Applied Materials, Inc. | ALD metal oxide deposition process using direct oxidation |
US7118783B2 (en) * | 2002-06-26 | 2006-10-10 | Micron Technology, Inc. | Methods and apparatus for vapor processing of micro-device workpieces |
US6821347B2 (en) * | 2002-07-08 | 2004-11-23 | Micron Technology, Inc. | Apparatus and method for depositing materials onto microelectronic workpieces |
US6838125B2 (en) * | 2002-07-10 | 2005-01-04 | Applied Materials, Inc. | Method of film deposition using activated precursor gases |
US7524374B2 (en) | 2002-07-17 | 2009-04-28 | Applied Materials, Inc. | Method and apparatus for generating a precursor for a semiconductor processing system |
US7186385B2 (en) * | 2002-07-17 | 2007-03-06 | Applied Materials, Inc. | Apparatus for providing gas to a processing chamber |
KR100476370B1 (ko) * | 2002-07-19 | 2005-03-16 | 주식회사 하이닉스반도체 | 배치형 원자층증착장치 및 그의 인시튜 세정 방법 |
US6915592B2 (en) * | 2002-07-29 | 2005-07-12 | Applied Materials, Inc. | Method and apparatus for generating gas to a processing chamber |
US7504006B2 (en) | 2002-08-01 | 2009-03-17 | Applied Materials, Inc. | Self-ionized and capacitively-coupled plasma for sputtering and resputtering |
US6753271B2 (en) * | 2002-08-15 | 2004-06-22 | Micron Technology, Inc. | Atomic layer deposition methods |
US20050181212A1 (en) * | 2004-02-17 | 2005-08-18 | General Electric Company | Composite articles having diffusion barriers and devices incorporating the same |
US20040069227A1 (en) * | 2002-10-09 | 2004-04-15 | Applied Materials, Inc. | Processing chamber configured for uniform gas flow |
US6905737B2 (en) * | 2002-10-11 | 2005-06-14 | Applied Materials, Inc. | Method of delivering activated species for rapid cyclical deposition |
US7540920B2 (en) * | 2002-10-18 | 2009-06-02 | Applied Materials, Inc. | Silicon-containing layer deposition with silicon compounds |
EP1420080A3 (en) * | 2002-11-14 | 2005-11-09 | Applied Materials, Inc. | Apparatus and method for hybrid chemical deposition processes |
JP3866655B2 (ja) * | 2002-12-26 | 2007-01-10 | 励起 渡辺 | 処理装置及び処理方法 |
US7262133B2 (en) * | 2003-01-07 | 2007-08-28 | Applied Materials, Inc. | Enhancement of copper line reliability using thin ALD tan film to cap the copper line |
US7244683B2 (en) * | 2003-01-07 | 2007-07-17 | Applied Materials, Inc. | Integration of ALD/CVD barriers with porous low k materials |
US20040175926A1 (en) * | 2003-03-07 | 2004-09-09 | Advanced Micro Devices, Inc. | Method for manufacturing a semiconductor component having a barrier-lined opening |
US20040177813A1 (en) | 2003-03-12 | 2004-09-16 | Applied Materials, Inc. | Substrate support lift mechanism |
US20040180551A1 (en) * | 2003-03-13 | 2004-09-16 | Biles Peter John | Carbon hard mask for aluminum interconnect fabrication |
US7342984B1 (en) | 2003-04-03 | 2008-03-11 | Zilog, Inc. | Counting clock cycles over the duration of a first character and using a remainder value to determine when to sample a bit of a second character |
US20040198069A1 (en) * | 2003-04-04 | 2004-10-07 | Applied Materials, Inc. | Method for hafnium nitride deposition |
JP5342110B2 (ja) * | 2003-05-27 | 2013-11-13 | アプライド マテリアルズ インコーポレイテッド | 前駆物質を含むソースキャニスタ及びこれを用いて特徴部を充填する方法 |
JP2007502551A (ja) * | 2003-06-13 | 2007-02-08 | アプライド マテリアルズ インコーポレイテッド | 銅メタライゼーションのためのald窒化タンタルの集積 |
KR100724181B1 (ko) * | 2003-06-16 | 2007-05-31 | 동경 엘렉트론 주식회사 | 성막 방법, 반도체 장치의 제조 방법, 반도체 장치 및 성막장치 |
JP2007523994A (ja) * | 2003-06-18 | 2007-08-23 | アプライド マテリアルズ インコーポレイテッド | バリヤ物質の原子層堆積 |
US6880592B2 (en) * | 2003-06-26 | 2005-04-19 | Advanced Technology Materials, Inc. | Canister guard |
US20050037613A1 (en) * | 2003-08-14 | 2005-02-17 | Stephan Grunow | Diffusion barrier for copper lines in integrated circuits |
US8152922B2 (en) * | 2003-08-29 | 2012-04-10 | Asm America, Inc. | Gas mixer and manifold assembly for ALD reactor |
US7282239B2 (en) * | 2003-09-18 | 2007-10-16 | Micron Technology, Inc. | Systems and methods for depositing material onto microfeature workpieces in reaction chambers |
US7169713B2 (en) * | 2003-09-26 | 2007-01-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Atomic layer deposition (ALD) method with enhanced deposition rate |
US8501594B2 (en) * | 2003-10-10 | 2013-08-06 | Applied Materials, Inc. | Methods for forming silicon germanium layers |
US7166528B2 (en) * | 2003-10-10 | 2007-01-23 | Applied Materials, Inc. | Methods of selective deposition of heavily doped epitaxial SiGe |
US7647886B2 (en) * | 2003-10-15 | 2010-01-19 | Micron Technology, Inc. | Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers |
US8536492B2 (en) * | 2003-10-27 | 2013-09-17 | Applied Materials, Inc. | Processing multilayer semiconductors with multiple heat sources |
US20050095859A1 (en) * | 2003-11-03 | 2005-05-05 | Applied Materials, Inc. | Precursor delivery system with rate control |
TW200524018A (en) * | 2003-11-20 | 2005-07-16 | Ulvac Inc | Method of cleaning surface of semiconductor substrate, method of manufacturing film, method of manufacturing semiconductor device and semiconductor device |
US20050109276A1 (en) * | 2003-11-25 | 2005-05-26 | Applied Materials, Inc. | Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber |
US7258892B2 (en) | 2003-12-10 | 2007-08-21 | Micron Technology, Inc. | Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition |
US7906393B2 (en) | 2004-01-28 | 2011-03-15 | Micron Technology, Inc. | Methods for forming small-scale capacitor structures |
US20060051966A1 (en) * | 2004-02-26 | 2006-03-09 | Applied Materials, Inc. | In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber |
US7780793B2 (en) * | 2004-02-26 | 2010-08-24 | Applied Materials, Inc. | Passivation layer formation by plasma clean process to reduce native oxide growth |
US20050230350A1 (en) * | 2004-02-26 | 2005-10-20 | Applied Materials, Inc. | In-situ dry clean chamber for front end of line fabrication |
US7445810B2 (en) * | 2004-04-15 | 2008-11-04 | Hewlett-Packard Development Company, L.P. | Method of making a tantalum layer and apparatus using a tantalum layer |
US8133554B2 (en) | 2004-05-06 | 2012-03-13 | Micron Technology, Inc. | Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces |
US20050252449A1 (en) | 2004-05-12 | 2005-11-17 | Nguyen Son T | Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system |
US8119210B2 (en) | 2004-05-21 | 2012-02-21 | Applied Materials, Inc. | Formation of a silicon oxynitride layer on a high-k dielectric material |
US8323754B2 (en) | 2004-05-21 | 2012-12-04 | Applied Materials, Inc. | Stabilization of high-k dielectric materials |
US7211507B2 (en) * | 2004-06-02 | 2007-05-01 | International Business Machines Corporation | PE-ALD of TaN diffusion barrier region on low-k materials |
US7699932B2 (en) | 2004-06-02 | 2010-04-20 | Micron Technology, Inc. | Reactors, systems and methods for depositing thin films onto microfeature workpieces |
US8202575B2 (en) * | 2004-06-28 | 2012-06-19 | Cambridge Nanotech, Inc. | Vapor deposition systems and methods |
US7605469B2 (en) * | 2004-06-30 | 2009-10-20 | Intel Corporation | Atomic layer deposited tantalum containing adhesion layer |
US7241686B2 (en) * | 2004-07-20 | 2007-07-10 | Applied Materials, Inc. | Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA |
US20060019032A1 (en) * | 2004-07-23 | 2006-01-26 | Yaxin Wang | Low thermal budget silicon nitride formation for advance transistor fabrication |
KR100552820B1 (ko) * | 2004-09-17 | 2006-02-21 | 동부아남반도체 주식회사 | 반도체 소자의 제조 방법 |
JP4783561B2 (ja) * | 2004-09-27 | 2011-09-28 | 株式会社アルバック | 銅配線の形成方法 |
KR100909195B1 (ko) * | 2004-09-27 | 2009-07-23 | 가부시키가이샤 알박 | 구리 함유막 형성 방법 |
US20060084283A1 (en) * | 2004-10-20 | 2006-04-20 | Paranjpe Ajit P | Low temperature sin deposition methods |
US7312128B2 (en) * | 2004-12-01 | 2007-12-25 | Applied Materials, Inc. | Selective epitaxy process with alternating gas supply |
US7682940B2 (en) * | 2004-12-01 | 2010-03-23 | Applied Materials, Inc. | Use of Cl2 and/or HCl during silicon epitaxial film formation |
US7078810B2 (en) * | 2004-12-01 | 2006-07-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and fabrication method thereof |
US7560352B2 (en) * | 2004-12-01 | 2009-07-14 | Applied Materials, Inc. | Selective deposition |
US7429402B2 (en) * | 2004-12-10 | 2008-09-30 | Applied Materials, Inc. | Ruthenium as an underlayer for tungsten film deposition |
US20060199386A1 (en) * | 2004-12-27 | 2006-09-07 | Jim-Jey Huang | Semiconductor device with low-resistance inlaid copper/barrier interconnects and method for manufacturing the same |
JP4934595B2 (ja) | 2005-01-18 | 2012-05-16 | エーエスエム アメリカ インコーポレイテッド | 薄膜成長用反応装置 |
US7235492B2 (en) * | 2005-01-31 | 2007-06-26 | Applied Materials, Inc. | Low temperature etchant for treatment of silicon-containing surfaces |
US20080070017A1 (en) * | 2005-02-10 | 2008-03-20 | Naoki Yoshii | Layered Thin Film Structure, Layered Thin Film Forming Method, Film Forming System and Storage Medium |
US7265048B2 (en) | 2005-03-01 | 2007-09-04 | Applied Materials, Inc. | Reduction of copper dewetting by transition metal deposition |
JP4931173B2 (ja) * | 2005-03-03 | 2012-05-16 | 株式会社アルバック | タンタル窒化物膜の形成方法 |
JP4931174B2 (ja) * | 2005-03-03 | 2012-05-16 | 株式会社アルバック | タンタル窒化物膜の形成方法 |
US20060246699A1 (en) * | 2005-03-18 | 2006-11-02 | Weidman Timothy W | Process for electroless copper deposition on a ruthenium seed |
US7651934B2 (en) | 2005-03-18 | 2010-01-26 | Applied Materials, Inc. | Process for electroless copper deposition |
US8486845B2 (en) * | 2005-03-21 | 2013-07-16 | Tokyo Electron Limited | Plasma enhanced atomic layer deposition system and method |
US7351285B2 (en) * | 2005-03-29 | 2008-04-01 | Tokyo Electron Limited | Method and system for forming a variable thickness seed layer |
CN100595974C (zh) * | 2005-03-30 | 2010-03-24 | 松下电器产业株式会社 | 传输线 |
US8298336B2 (en) * | 2005-04-01 | 2012-10-30 | Lam Research Corporation | High strip rate downstream chamber |
US7662729B2 (en) | 2005-04-28 | 2010-02-16 | Micron Technology, Inc. | Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer |
US20060286774A1 (en) * | 2005-06-21 | 2006-12-21 | Applied Materials. Inc. | Method for forming silicon-containing materials during a photoexcitation deposition process |
US7651955B2 (en) * | 2005-06-21 | 2010-01-26 | Applied Materials, Inc. | Method for forming silicon-containing materials during a photoexcitation deposition process |
US7648927B2 (en) | 2005-06-21 | 2010-01-19 | Applied Materials, Inc. | Method for forming silicon-containing materials during a photoexcitation deposition process |
US7550381B2 (en) * | 2005-07-18 | 2009-06-23 | Applied Materials, Inc. | Contact clean by remote plasma and repair of silicide surface |
US20070020890A1 (en) * | 2005-07-19 | 2007-01-25 | Applied Materials, Inc. | Method and apparatus for semiconductor processing |
US20070049043A1 (en) * | 2005-08-23 | 2007-03-01 | Applied Materials, Inc. | Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement |
US7402534B2 (en) | 2005-08-26 | 2008-07-22 | Applied Materials, Inc. | Pretreatment processes within a batch ALD reactor |
US20070054046A1 (en) * | 2005-09-06 | 2007-03-08 | Tokyo Electron Limited | Method of forming a tantalum-containing layer from a metalorganic precursor |
US20070054047A1 (en) * | 2005-09-06 | 2007-03-08 | Tokyo Electron Limited | Method of forming a tantalum-containing layer from a metalorganic precursor |
US20070065576A1 (en) * | 2005-09-09 | 2007-03-22 | Vikram Singh | Technique for atomic layer deposition |
US20070082507A1 (en) * | 2005-10-06 | 2007-04-12 | Applied Materials, Inc. | Method and apparatus for the low temperature deposition of doped silicon nitride films |
US7464917B2 (en) * | 2005-10-07 | 2008-12-16 | Appiled Materials, Inc. | Ampoule splash guard apparatus |
US8460519B2 (en) * | 2005-10-28 | 2013-06-11 | Applied Materials Inc. | Protective offset sputtering |
US8454804B2 (en) * | 2005-10-28 | 2013-06-04 | Applied Materials Inc. | Protective offset sputtering |
US7884032B2 (en) * | 2005-10-28 | 2011-02-08 | Applied Materials, Inc. | Thin film deposition |
US20070119371A1 (en) | 2005-11-04 | 2007-05-31 | Paul Ma | Apparatus and process for plasma-enhanced atomic layer deposition |
US7942970B2 (en) * | 2005-12-20 | 2011-05-17 | Momentive Performance Materials Inc. | Apparatus for making crystalline composition |
US8216374B2 (en) * | 2005-12-22 | 2012-07-10 | Applied Materials, Inc. | Gas coupler for substrate processing chamber |
KR100717501B1 (ko) * | 2005-12-29 | 2007-05-14 | 동부일렉트로닉스 주식회사 | 반도체 소자의 금속 배선 형성 방법 |
WO2007084493A2 (en) * | 2006-01-19 | 2007-07-26 | Asm America, Inc. | High temperature ald inlet manifold |
US20070169687A1 (en) * | 2006-01-26 | 2007-07-26 | Caracal, Inc. | Silicon carbide formation by alternating pulses |
US7709402B2 (en) | 2006-02-16 | 2010-05-04 | Micron Technology, Inc. | Conductive layers for hafnium silicon oxynitride films |
US20070193637A1 (en) * | 2006-02-23 | 2007-08-23 | Micron Technology, Inc. | Systems and methods for controlling fluid flow |
US7645484B2 (en) * | 2006-03-31 | 2010-01-12 | Tokyo Electron Limited | Method of forming a metal carbide or metal carbonitride film having improved adhesion |
US7674337B2 (en) * | 2006-04-07 | 2010-03-09 | Applied Materials, Inc. | Gas manifolds for use during epitaxial film formation |
US20070252299A1 (en) * | 2006-04-27 | 2007-11-01 | Applied Materials, Inc. | Synchronization of precursor pulsing and wafer rotation |
US7798096B2 (en) | 2006-05-05 | 2010-09-21 | Applied Materials, Inc. | Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool |
US20070259111A1 (en) * | 2006-05-05 | 2007-11-08 | Singh Kaushal K | Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film |
US20080026149A1 (en) * | 2006-05-31 | 2008-01-31 | Asm America, Inc. | Methods and systems for selectively depositing si-containing films using chloropolysilanes |
US7501355B2 (en) * | 2006-06-29 | 2009-03-10 | Applied Materials, Inc. | Decreasing the etch rate of silicon nitride by carbon addition |
WO2008005892A2 (en) * | 2006-06-30 | 2008-01-10 | Applied Materials, Inc. | Nanocrystal formation |
JP2008034648A (ja) * | 2006-07-28 | 2008-02-14 | Dainippon Screen Mfg Co Ltd | 基板処理装置 |
CN103981568A (zh) | 2006-07-31 | 2014-08-13 | 应用材料公司 | 形成含碳外延硅层的方法 |
CN101496150B (zh) * | 2006-07-31 | 2012-07-18 | 应用材料公司 | 控制外延层形成期间形态的方法 |
US7727908B2 (en) | 2006-08-03 | 2010-06-01 | Micron Technology, Inc. | Deposition of ZrA1ON films |
JP5125031B2 (ja) * | 2006-08-29 | 2013-01-23 | 東京エレクトロン株式会社 | 真空処理装置及び真空処理方法 |
US7759747B2 (en) | 2006-08-31 | 2010-07-20 | Micron Technology, Inc. | Tantalum aluminum oxynitride high-κ dielectric |
US7605030B2 (en) | 2006-08-31 | 2009-10-20 | Micron Technology, Inc. | Hafnium tantalum oxynitride high-k dielectric and metal gates |
US7432548B2 (en) | 2006-08-31 | 2008-10-07 | Micron Technology, Inc. | Silicon lanthanide oxynitride films |
US7563730B2 (en) | 2006-08-31 | 2009-07-21 | Micron Technology, Inc. | Hafnium lanthanide oxynitride films |
US7776765B2 (en) | 2006-08-31 | 2010-08-17 | Micron Technology, Inc. | Tantalum silicon oxynitride high-k dielectrics and metal gates |
US7544604B2 (en) * | 2006-08-31 | 2009-06-09 | Micron Technology, Inc. | Tantalum lanthanide oxynitride films |
US7521379B2 (en) * | 2006-10-09 | 2009-04-21 | Applied Materials, Inc. | Deposition and densification process for titanium nitride barrier layers |
CN101528973B (zh) * | 2006-10-24 | 2012-04-25 | 应用材料公司 | 用于原子层沉积的涡流室盖 |
US7775508B2 (en) * | 2006-10-31 | 2010-08-17 | Applied Materials, Inc. | Ampoule for liquid draw and vapor draw with a continuous level sensor |
US7692222B2 (en) * | 2006-11-07 | 2010-04-06 | Raytheon Company | Atomic layer deposition in the formation of gate structures for III-V semiconductor |
US7741200B2 (en) * | 2006-12-01 | 2010-06-22 | Applied Materials, Inc. | Formation and treatment of epitaxial layer containing silicon and carbon |
US7837790B2 (en) * | 2006-12-01 | 2010-11-23 | Applied Materials, Inc. | Formation and treatment of epitaxial layer containing silicon and carbon |
US20080132039A1 (en) * | 2006-12-01 | 2008-06-05 | Yonah Cho | Formation and treatment of epitaxial layer containing silicon and carbon |
US20080138955A1 (en) * | 2006-12-12 | 2008-06-12 | Zhiyuan Ye | Formation of epitaxial layer containing silicon |
US8394196B2 (en) * | 2006-12-12 | 2013-03-12 | Applied Materials, Inc. | Formation of in-situ phosphorus doped epitaxial layer containing silicon and carbon |
US7897495B2 (en) * | 2006-12-12 | 2011-03-01 | Applied Materials, Inc. | Formation of epitaxial layer containing silicon and carbon |
US7960236B2 (en) * | 2006-12-12 | 2011-06-14 | Applied Materials, Inc. | Phosphorus containing Si epitaxial layers in N-type source/drain junctions |
US20080145536A1 (en) * | 2006-12-13 | 2008-06-19 | Applied Materials, Inc. | METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION |
US8026605B2 (en) * | 2006-12-14 | 2011-09-27 | Lam Research Corporation | Interconnect structure and method of manufacturing a damascene structure |
US8821637B2 (en) | 2007-01-29 | 2014-09-02 | Applied Materials, Inc. | Temperature controlled lid assembly for tungsten nitride deposition |
US9064960B2 (en) * | 2007-01-31 | 2015-06-23 | Applied Materials, Inc. | Selective epitaxy process control |
US7776733B2 (en) * | 2007-05-02 | 2010-08-17 | Tokyo Electron Limited | Method for depositing titanium nitride films for semiconductor manufacturing |
US7589020B2 (en) * | 2007-05-02 | 2009-09-15 | Tokyo Electron Limited | Method for depositing titanium nitride films for semiconductor manufacturing |
KR20090018290A (ko) * | 2007-08-17 | 2009-02-20 | 에이에스엠지니텍코리아 주식회사 | 증착 장치 |
KR101046520B1 (ko) * | 2007-09-07 | 2011-07-04 | 어플라이드 머티어리얼스, 인코포레이티드 | 내부 챔버 상의 부산물 막 증착을 제어하기 위한 pecvd 시스템에서의 소스 가스 흐름 경로 제어 |
US7678298B2 (en) | 2007-09-25 | 2010-03-16 | Applied Materials, Inc. | Tantalum carbide nitride materials by vapor deposition processes |
US7585762B2 (en) | 2007-09-25 | 2009-09-08 | Applied Materials, Inc. | Vapor deposition processes for tantalum carbide nitride materials |
US8182608B2 (en) * | 2007-09-26 | 2012-05-22 | Eastman Kodak Company | Deposition system for thin film formation |
US20090087550A1 (en) * | 2007-09-27 | 2009-04-02 | Tokyo Electron Limited | Sequential flow deposition of a tungsten silicide gate electrode film |
US7824743B2 (en) | 2007-09-28 | 2010-11-02 | Applied Materials, Inc. | Deposition processes for titanium nitride barrier and aluminum |
US7776698B2 (en) | 2007-10-05 | 2010-08-17 | Applied Materials, Inc. | Selective formation of silicon carbon epitaxial layer |
JP2011500961A (ja) * | 2007-10-11 | 2011-01-06 | バレンス プロセス イクウィップメント,インコーポレイテッド | 化学気相成長反応器 |
CN101939812B (zh) * | 2007-10-19 | 2013-05-01 | Mks仪器股份有限公司 | 用于高气体流速处理的环形等离子体室 |
US20090107955A1 (en) * | 2007-10-26 | 2009-04-30 | Tiner Robin L | Offset liner for chamber evacuation |
US8137463B2 (en) * | 2007-12-19 | 2012-03-20 | Applied Materials, Inc. | Dual zone gas injection nozzle |
US7659158B2 (en) | 2008-03-31 | 2010-02-09 | Applied Materials, Inc. | Atomic layer deposition processes for non-volatile memory devices |
US8291857B2 (en) * | 2008-07-03 | 2012-10-23 | Applied Materials, Inc. | Apparatuses and methods for atomic layer deposition |
US8187381B2 (en) * | 2008-08-22 | 2012-05-29 | Applied Materials, Inc. | Process gas delivery for semiconductor process chamber |
US20100062149A1 (en) * | 2008-09-08 | 2010-03-11 | Applied Materials, Inc. | Method for tuning a deposition rate during an atomic layer deposition process |
US8491967B2 (en) | 2008-09-08 | 2013-07-23 | Applied Materials, Inc. | In-situ chamber treatment and deposition process |
US8425977B2 (en) * | 2008-09-29 | 2013-04-23 | Applied Materials, Inc. | Substrate processing chamber with off-center gas delivery funnel |
US8146896B2 (en) | 2008-10-31 | 2012-04-03 | Applied Materials, Inc. | Chemical precursor ampoule for vapor deposition processes |
US9328417B2 (en) * | 2008-11-01 | 2016-05-03 | Ultratech, Inc. | System and method for thin film deposition |
US9175388B2 (en) * | 2008-11-01 | 2015-11-03 | Ultratech, Inc. | Reaction chamber with removable liner |
US20100120245A1 (en) * | 2008-11-07 | 2010-05-13 | Agus Sofian Tjandra | Plasma and thermal anneal treatment to improve oxidation resistance of metal-containing films |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US20100183825A1 (en) * | 2008-12-31 | 2010-07-22 | Cambridge Nanotech Inc. | Plasma atomic layer deposition system and method |
US8557702B2 (en) * | 2009-02-02 | 2013-10-15 | Asm America, Inc. | Plasma-enhanced atomic layers deposition of conductive material over dielectric layers |
FI123539B (fi) * | 2009-02-09 | 2013-06-28 | Beneq Oy | ALD-reaktori, menetelmä ALD-reaktorin lataamiseksi ja tuotantolinja |
US20110045182A1 (en) * | 2009-03-13 | 2011-02-24 | Tokyo Electron Limited | Substrate processing apparatus, trap device, control method for substrate processing apparatus, and control method for trap device |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8307854B1 (en) | 2009-05-14 | 2012-11-13 | Vistadeltek, Inc. | Fluid delivery substrates for building removable standard fluid delivery sticks |
TWI534922B (zh) | 2009-06-10 | 2016-05-21 | 威士塔戴爾泰克有限責任公司 | 極端流量和/或高溫流體輸送基板 |
US8107274B2 (en) * | 2009-07-30 | 2012-01-31 | Chrong-Jung Lin | Variable and reversible resistive element, non-volatile memory device and methods for operating and manufacturing the non-volatile memory device |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US20110097487A1 (en) * | 2009-10-27 | 2011-04-28 | Kerr Roger S | Fluid distribution manifold including bonded plates |
FI20096380A0 (fi) * | 2009-12-22 | 2009-12-22 | Beneq Oy | Ohutkalvoaurinkokenno, valmistusmenetelmä ja käyttö |
JP5601974B2 (ja) * | 2010-01-19 | 2014-10-08 | パナソニック株式会社 | 半導体装置及びその製造方法 |
JP5445252B2 (ja) * | 2010-03-16 | 2014-03-19 | 東京エレクトロン株式会社 | 成膜装置 |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US9443753B2 (en) * | 2010-07-30 | 2016-09-13 | Applied Materials, Inc. | Apparatus for controlling the flow of a gas in a process chamber |
WO2012039833A2 (en) | 2010-09-24 | 2012-03-29 | Applied Materials, Inc. | Low temperature silicon carbide deposition process |
CN102002666B (zh) * | 2010-10-22 | 2012-06-27 | 哈尔滨工业大学 | 一种铜互联用氮化钽扩散阻挡层的制备方法 |
US8835308B2 (en) * | 2010-12-21 | 2014-09-16 | Applied Materials, Inc. | Methods for depositing materials in high aspect ratio features |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
JP5661523B2 (ja) * | 2011-03-18 | 2015-01-28 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
US8524600B2 (en) | 2011-03-31 | 2013-09-03 | Applied Materials, Inc. | Post deposition treatments for CVD cobalt films |
US9695510B2 (en) * | 2011-04-21 | 2017-07-04 | Kurt J. Lesker Company | Atomic layer deposition apparatus and process |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US8679982B2 (en) | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
US8679983B2 (en) | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9574268B1 (en) | 2011-10-28 | 2017-02-21 | Asm America, Inc. | Pulsed valve manifold for atomic layer deposition |
WO2013070436A1 (en) | 2011-11-08 | 2013-05-16 | Applied Materials, Inc. | Methods of reducing substrate dislocation during gapfill processing |
US9941100B2 (en) | 2011-12-16 | 2018-04-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Adjustable nozzle for plasma deposition and a method of controlling the adjustable nozzle |
US9388492B2 (en) | 2011-12-27 | 2016-07-12 | Asm America, Inc. | Vapor flow control apparatus for atomic layer deposition |
US8815344B2 (en) * | 2012-03-14 | 2014-08-26 | Applied Materials, Inc. | Selective atomic layer depositions |
US9076661B2 (en) | 2012-04-13 | 2015-07-07 | Applied Materials, Inc. | Methods for manganese nitride integration |
US9048294B2 (en) | 2012-04-13 | 2015-06-02 | Applied Materials, Inc. | Methods for depositing manganese and manganese nitrides |
TWI554636B (zh) | 2012-04-25 | 2016-10-21 | 應用材料股份有限公司 | 由金屬脒鹽前驅物製造介電膜的方法 |
US9598766B2 (en) | 2012-05-27 | 2017-03-21 | Air Products And Chemicals, Inc. | Vessel with filter |
US10233541B2 (en) | 2012-06-29 | 2019-03-19 | Applied Materials, Inc. | Deposition of films containing alkaline earth metals |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US8736056B2 (en) * | 2012-07-31 | 2014-05-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Device for reducing contact resistance of a metal |
US8911826B2 (en) * | 2012-08-02 | 2014-12-16 | Asm Ip Holding B.V. | Method of parallel shift operation of multiple reactors |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
TWI480417B (zh) | 2012-11-02 | 2015-04-11 | Ind Tech Res Inst | 具氣幕之氣體噴灑裝置及其薄膜沉積裝置 |
US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
JP6117588B2 (ja) * | 2012-12-12 | 2017-04-19 | 東京エレクトロン株式会社 | Cu配線の形成方法 |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
JP6017396B2 (ja) * | 2012-12-18 | 2016-11-02 | 東京エレクトロン株式会社 | 薄膜形成方法および薄膜形成装置 |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US8735280B1 (en) | 2012-12-21 | 2014-05-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of semiconductor integrated circuit fabrication |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
US9018108B2 (en) | 2013-01-25 | 2015-04-28 | Applied Materials, Inc. | Low shrinkage dielectric films |
JP6046752B2 (ja) * | 2013-01-30 | 2016-12-21 | 京セラ株式会社 | ガスノズルおよびこれを用いたプラズマ装置 |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9659814B2 (en) | 2013-02-01 | 2017-05-23 | Applied Materials, Inc. | Doping control of metal nitride films |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US9005704B2 (en) | 2013-03-06 | 2015-04-14 | Applied Materials, Inc. | Methods for depositing films comprising cobalt and cobalt nitrides |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
US20150001720A1 (en) * | 2013-06-27 | 2015-01-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Interconnect Structure and Method for Forming Interconnect Structure |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
KR20150050638A (ko) * | 2013-10-29 | 2015-05-11 | 에이에스엠 아이피 홀딩 비.브이. | 증착 장치 |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US20150125628A1 (en) * | 2013-11-06 | 2015-05-07 | Asm Ip Holding B.V. | Method of depositing thin film |
US9460932B2 (en) | 2013-11-11 | 2016-10-04 | Applied Materials, Inc. | Surface poisoning using ALD for high selectivity deposition of high aspect ratio features |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
CN104805418B (zh) * | 2014-01-23 | 2018-05-08 | 北京北方华创微电子装备有限公司 | 常压化学气相淀积中的工艺流程控制方法及系统 |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US10683571B2 (en) * | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US9425078B2 (en) * | 2014-02-26 | 2016-08-23 | Lam Research Corporation | Inhibitor plasma mediated atomic layer deposition for seamless feature fill |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
CN104979276B (zh) * | 2014-04-09 | 2018-05-08 | 中芯国际集成电路制造(上海)有限公司 | 一种半导体器件的制造方法 |
US9177858B1 (en) | 2014-05-08 | 2015-11-03 | GlobalFoundries, Inc. | Methods for fabricating integrated circuits including barrier layers for interconnect structures |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
JP5837962B1 (ja) * | 2014-07-08 | 2015-12-24 | 株式会社日立国際電気 | 基板処理装置、半導体装置の製造方法およびガス整流部 |
KR102247560B1 (ko) | 2014-07-14 | 2021-05-03 | 삼성전자 주식회사 | Rps에서의 플라즈마 생성방법, 및 그 플라즈마 생성방법을 포함한 반도체 소자 제조방법 |
EP3169432B1 (en) | 2014-07-17 | 2020-05-27 | Reliance Industries Limited | Process for modifying a heterogeneous catalyst with an organometallic compound, heterogeneous catalyst and use of the catalyst for preventing coke formation |
JP6446881B2 (ja) * | 2014-07-17 | 2019-01-09 | 東京エレクトロン株式会社 | ガス供給装置及びバルブ装置 |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
JP5792364B1 (ja) * | 2014-07-31 | 2015-10-07 | 株式会社日立国際電気 | 基板処理装置、チャンバリッドアセンブリ、半導体装置の製造方法、プログラム及び記録媒体 |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US10465288B2 (en) * | 2014-08-15 | 2019-11-05 | Applied Materials, Inc. | Nozzle for uniform plasma processing |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9355862B2 (en) | 2014-09-24 | 2016-05-31 | Applied Materials, Inc. | Fluorine-based hardmask removal |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
TW201634738A (zh) * | 2015-01-22 | 2016-10-01 | 應用材料股份有限公司 | 用於在空間上分離之原子層沉積腔室的經改良注射器 |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US11384432B2 (en) * | 2015-04-22 | 2022-07-12 | Applied Materials, Inc. | Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
TWI723024B (zh) | 2015-06-26 | 2021-04-01 | 美商應用材料股份有限公司 | 用於改良的氣體分配的遞迴注入設備 |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
WO2017052905A1 (en) * | 2015-09-22 | 2017-03-30 | Applied Materials, Inc. | Apparatus and method for selective deposition |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9905420B2 (en) | 2015-12-01 | 2018-02-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium tin films and structures and devices including the films |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10176999B2 (en) | 2015-12-31 | 2019-01-08 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor device having a multi-layer, metal-containing film |
FR3046878B1 (fr) * | 2016-01-19 | 2018-05-18 | Kobus Sas | Procede de fabrication d'une interconnexion comprenant un via s'etendant au travers d'un substrat |
CN107026113B (zh) * | 2016-02-02 | 2020-03-31 | 中芯国际集成电路制造(上海)有限公司 | 半导体装置的制造方法和系统 |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10662527B2 (en) | 2016-06-01 | 2020-05-26 | Asm Ip Holding B.V. | Manifolds for uniform vapor deposition |
KR102553629B1 (ko) * | 2016-06-17 | 2023-07-11 | 삼성전자주식회사 | 플라즈마 처리 장치 |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US20180076065A1 (en) * | 2016-09-15 | 2018-03-15 | Applied Materials, Inc. | Integrated system for semiconductor process |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
CN108063117B (zh) * | 2016-11-09 | 2020-12-01 | 中芯国际集成电路制造(上海)有限公司 | 互连结构及其形成方法 |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
TW201823501A (zh) | 2016-11-16 | 2018-07-01 | 美商陶氏全球科技有限責任公司 | 用於製造膜上之薄塗層之方法 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11694911B2 (en) * | 2016-12-20 | 2023-07-04 | Lam Research Corporation | Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
RU2673515C2 (ru) * | 2017-02-02 | 2018-11-27 | Общество С Ограниченной Ответственностью "Монолюм" | Способ подачи газов в реактор для выращивания эпитаксиальных структур на основе нитридов металлов iii группы и устройство для его осуществления |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US9972501B1 (en) | 2017-03-14 | 2018-05-15 | Nano-Master, Inc. | Techniques and systems for continuous-flow plasma enhanced atomic layer deposition (PEALD) |
DE102017106410A1 (de) * | 2017-03-24 | 2018-09-27 | Osram Opto Semiconductors Gmbh | Verfahren zur Herstellung eines optoelektronischen Bauelements und optoelektronisches Bauelement |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD876504S1 (en) | 2017-04-03 | 2020-02-25 | Asm Ip Holding B.V. | Exhaust flow control ring for semiconductor deposition apparatus |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10157785B2 (en) | 2017-05-01 | 2018-12-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
DE17908402T1 (de) * | 2017-05-02 | 2020-06-25 | Picosun Oy | Ald-vorrichtung, verfahren und ventil |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10622214B2 (en) | 2017-05-25 | 2020-04-14 | Applied Materials, Inc. | Tungsten defluorination by high pressure treatment |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11004722B2 (en) | 2017-07-20 | 2021-05-11 | Applied Materials, Inc. | Lift pin assembly |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US10276411B2 (en) | 2017-08-18 | 2019-04-30 | Applied Materials, Inc. | High pressure and high temperature anneal chamber |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
JP6964473B2 (ja) * | 2017-09-14 | 2021-11-10 | 東京エレクトロン株式会社 | ガス供給装置及び成膜装置 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10872803B2 (en) | 2017-11-03 | 2020-12-22 | Asm Ip Holding B.V. | Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination |
US10872804B2 (en) | 2017-11-03 | 2020-12-22 | Asm Ip Holding B.V. | Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
WO2019103610A1 (en) | 2017-11-27 | 2019-05-31 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
JP7214724B2 (ja) | 2017-11-27 | 2023-01-30 | エーエスエム アイピー ホールディング ビー.ブイ. | バッチ炉で利用されるウェハカセットを収納するための収納装置 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
CN108060410B (zh) * | 2017-12-15 | 2023-08-18 | 浙江晶盛机电股份有限公司 | 用于平板式pecvd的进气管道保护结构 |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
CN108415354A (zh) * | 2018-01-31 | 2018-08-17 | 深圳市方瑞科技有限公司 | 一种宽幅等离子处理机及其控制方法 |
CN108415353A (zh) * | 2018-01-31 | 2018-08-17 | 深圳市方瑞科技有限公司 | 一种线型等离子机及其控制方法 |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
JP7124098B2 (ja) | 2018-02-14 | 2022-08-23 | エーエスエム・アイピー・ホールディング・ベー・フェー | 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法 |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
SG11202008268RA (en) | 2018-03-19 | 2020-10-29 | Applied Materials Inc | Methods for depositing coatings on aerospace components |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
WO2019209401A1 (en) | 2018-04-27 | 2019-10-31 | Applied Materials, Inc. | Protection of components from corrosion |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
TWI843623B (zh) | 2018-05-08 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
EP3785494A4 (en) | 2018-06-14 | 2022-01-26 | MKS Instruments, Inc. | REMOTE PLASMA SOURCE RADICAL OUTPUT MONITOR AND METHOD OF USE |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
TW202409324A (zh) | 2018-06-27 | 2024-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料之循環沉積製程 |
WO2020003000A1 (en) | 2018-06-27 | 2020-01-02 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11009339B2 (en) | 2018-08-23 | 2021-05-18 | Applied Materials, Inc. | Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
TWI844567B (zh) | 2018-10-01 | 2024-06-11 | 荷蘭商Asm Ip私人控股有限公司 | 基材保持裝置、含有此裝置之系統及其使用之方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11124874B2 (en) | 2018-10-25 | 2021-09-21 | Applied Materials, Inc. | Methods for depositing metallic iridium and iridium silicide |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
KR102601581B1 (ko) * | 2018-10-31 | 2023-11-14 | 삼성전자주식회사 | 플라즈마 챔버의 가스 공급 장치 및 이를 적용한 플라즈마 처리 장치 |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US20220013332A1 (en) * | 2018-11-02 | 2022-01-13 | Nihon University | Magnetized plasmoid injection device |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US10636705B1 (en) | 2018-11-29 | 2020-04-28 | Applied Materials, Inc. | High pressure annealing of metal gate structures |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
CN113196444B (zh) * | 2018-12-20 | 2024-07-02 | 应用材料公司 | 用于供应改良的气流至处理腔室的处理空间的方法和设备 |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
JP2020126881A (ja) * | 2019-02-01 | 2020-08-20 | 東京エレクトロン株式会社 | 基板処理装置およびクリーニング方法 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
JP2020136678A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
KR20200102357A (ko) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
US11492701B2 (en) | 2019-03-19 | 2022-11-08 | Asm Ip Holding B.V. | Reactor manifolds |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
KR20200123380A (ko) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | 층 형성 방법 및 장치 |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
EP3959356A4 (en) | 2019-04-26 | 2023-01-18 | Applied Materials, Inc. | METHODS FOR PROTECTING AEROSPACE ELEMENTS AGAINST CORROSION AND OXIDATION |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
US11794382B2 (en) | 2019-05-16 | 2023-10-24 | Applied Materials, Inc. | Methods for depositing anti-coking protective coatings on aerospace components |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
CN111948907B (zh) * | 2019-05-16 | 2022-01-28 | 上海微电子装备(集团)股份有限公司 | 掩模板温度控制装置和掩模曝光装置 |
CN110112096A (zh) * | 2019-05-17 | 2019-08-09 | 长江存储科技有限责任公司 | 金属互连结构及其形成方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
US11697879B2 (en) | 2019-06-14 | 2023-07-11 | Applied Materials, Inc. | Methods for depositing sacrificial coatings on aerospace components |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN118422165A (zh) | 2019-08-05 | 2024-08-02 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11466364B2 (en) | 2019-09-06 | 2022-10-11 | Applied Materials, Inc. | Methods for forming protective coatings containing crystallized aluminum oxide |
TW202117068A (zh) * | 2019-09-19 | 2021-05-01 | 美商應用材料股份有限公司 | 用於在ald程序中控制脈衝形狀的方法 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
US11205589B2 (en) * | 2019-10-06 | 2021-12-21 | Applied Materials, Inc. | Methods and apparatuses for forming interconnection structures |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210048408A (ko) | 2019-10-22 | 2021-05-03 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 증착 반응기 매니폴드 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
CN111029299A (zh) * | 2019-12-18 | 2020-04-17 | 华虹半导体(无锡)有限公司 | 金属互连结构的形成方法 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
TW202140135A (zh) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體供應總成以及閥板總成 |
KR20210089079A (ko) | 2020-01-06 | 2021-07-15 | 에이에스엠 아이피 홀딩 비.브이. | 채널형 리프트 핀 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
US11087959B2 (en) | 2020-01-09 | 2021-08-10 | Nano-Master, Inc. | Techniques for a hybrid design for efficient and economical plasma enhanced atomic layer deposition (PEALD) and plasma enhanced chemical vapor deposition (PECVD) |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11640900B2 (en) | 2020-02-12 | 2023-05-02 | Nano-Master, Inc. | Electron cyclotron rotation (ECR)-enhanced hollow cathode plasma source (HCPS) |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
JP2021172884A (ja) | 2020-04-24 | 2021-11-01 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体 |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
US11519066B2 (en) | 2020-05-21 | 2022-12-06 | Applied Materials, Inc. | Nitride protective coatings on aerospace components and methods for making the same |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
WO2022005696A1 (en) | 2020-07-03 | 2022-01-06 | Applied Materials, Inc. | Methods for refurbishing aerospace components |
KR102707957B1 (ko) | 2020-07-08 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
US12054823B2 (en) * | 2020-09-17 | 2024-08-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Apparatus and method for manufacturing metal gate structures |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
KR20220053482A (ko) | 2020-10-22 | 2022-04-29 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
CN112378603B (zh) * | 2020-11-18 | 2023-01-10 | 北京自动化控制设备研究所 | 原子气室的漏率检测方法及系统 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
US11976002B2 (en) | 2021-01-05 | 2024-05-07 | Applied Materials, Inc. | Methods for encapsulating silver mirrors on optical structures |
CN112956012B (zh) * | 2021-01-27 | 2024-02-23 | 长江存储科技有限责任公司 | 用于在半导体结构中形成阻挡层的方法 |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
TWI806532B (zh) * | 2022-03-31 | 2023-06-21 | 景碩科技股份有限公司 | 電路板結構 |
Family Cites Families (583)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US187256A (en) * | 1877-02-13 | Improvement in windmills | ||
US76837A (en) * | 1868-04-14 | John somerville and egbert elsdon | ||
US54769A (en) * | 1866-05-15 | Iiviproveivient in churn-dashers | ||
US124262A (en) * | 1872-03-05 | Improvement in oscillating-swings | ||
US31807A (en) * | 1861-03-26 | Improved culinary boiler | ||
US86507A (en) * | 1869-02-02 | Improvement in whip-sockets | ||
US224578A (en) * | 1880-02-17 | Fence-post driver | ||
US224600A (en) * | 1880-02-17 | Steam-trap | ||
US622893A (en) * | 1899-04-11 | Electric igniter for gas-engines | ||
US76507A (en) * | 1868-04-07 | Egbert o br | ||
US28924A (en) * | 1860-06-26 | Vapor-lamp | ||
US14320A (en) * | 1856-02-26 | Process of painting or varnishing woven wire | ||
US213987A (en) * | 1879-04-08 | Improvement in bevels | ||
US172872A (en) * | 1876-02-01 | Improvement in colters | ||
US41250A (en) * | 1864-01-12 | Improvement in burning, roasting,, and smelting ores | ||
US31618A (en) * | 1861-03-05 | Water-elevator | ||
FI117944B (fi) | 1999-10-15 | 2007-04-30 | Asm Int | Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi |
FI118158B (sv) | 1999-10-15 | 2007-07-31 | Asm Int | Förfarande för modifiering av utgångsämneskemikalierna i en ALD-prosess |
US3291456A (en) | 1964-07-01 | 1966-12-13 | Combustion Eng | Self-agitating, stabilized flow mixing vessel |
SE393967B (sv) | 1974-11-29 | 1977-05-31 | Sateko Oy | Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket |
FI57975C (fi) | 1979-02-28 | 1980-11-10 | Lohja Ab Oy | Foerfarande och anordning vid uppbyggande av tunna foereningshinnor |
US4389973A (en) | 1980-03-18 | 1983-06-28 | Oy Lohja Ab | Apparatus for performing growth of compound thin films |
US4415275A (en) | 1981-12-21 | 1983-11-15 | Dietrich David E | Swirl mixing device |
FI64878C (fi) | 1982-05-10 | 1984-01-10 | Lohja Ab Oy | Kombinationsfilm foer isynnerhet tunnfilmelektroluminensstrukturer |
US4732110A (en) | 1983-04-29 | 1988-03-22 | Hughes Aircraft Company | Inverted positive vertical flow chemical vapor deposition reactor chamber |
US5259881A (en) | 1991-05-17 | 1993-11-09 | Materials Research Corporation | Wafer processing cluster tool batch preheating and degassing apparatus |
US5693139A (en) | 1984-07-26 | 1997-12-02 | Research Development Corporation Of Japan | Growth of doped semiconductor monolayers |
US5294286A (en) | 1984-07-26 | 1994-03-15 | Research Development Corporation Of Japan | Process for forming a thin film of silicon |
GB2162207B (en) | 1984-07-26 | 1989-05-10 | Japan Res Dev Corp | Semiconductor crystal growth apparatus |
JPH0766910B2 (ja) | 1984-07-26 | 1995-07-19 | 新技術事業団 | 半導体単結晶成長装置 |
US4614639A (en) * | 1985-04-26 | 1986-09-30 | Tegal Corporation | Compound flow plasma reactor |
US5250148A (en) | 1985-05-15 | 1993-10-05 | Research Development Corporation | Process for growing GaAs monocrystal film |
US4829022A (en) | 1985-12-09 | 1989-05-09 | Nippon Telegraph And Telephone Corporation | Method for forming thin films of compound semiconductors by flow rate modulation epitaxy |
US4917556A (en) | 1986-04-28 | 1990-04-17 | Varian Associates, Inc. | Modular wafer transport and processing system |
US4761269A (en) | 1986-06-12 | 1988-08-02 | Crystal Specialties, Inc. | Apparatus for depositing material on a substrate |
US4838983A (en) | 1986-07-03 | 1989-06-13 | Emcore, Inc. | Gas treatment apparatus and method |
US4767494A (en) | 1986-07-04 | 1988-08-30 | Nippon Telegraph & Telephone Corporation | Preparation process of compound semiconductor |
JPH0834180B2 (ja) | 1986-08-26 | 1996-03-29 | セイコー電子工業株式会社 | 化合物半導体薄膜の成長方法 |
JPH0639357B2 (ja) | 1986-09-08 | 1994-05-25 | 新技術開発事業団 | 元素半導体単結晶薄膜の成長方法 |
US5246536A (en) | 1986-09-08 | 1993-09-21 | Research Development Corporation Of Japan | Method for growing single crystal thin films of element semiconductor |
JP2587623B2 (ja) | 1986-11-22 | 1997-03-05 | 新技術事業団 | 化合物半導体のエピタキシヤル結晶成長方法 |
JP2929291B2 (ja) | 1986-12-04 | 1999-08-03 | セイコーインスツルメンツ株式会社 | 絶縁ゲート電界効果トランジスタの製造方法 |
US5882165A (en) * | 1986-12-19 | 1999-03-16 | Applied Materials, Inc. | Multiple chamber integrated process system |
US4951601A (en) | 1986-12-19 | 1990-08-28 | Applied Materials, Inc. | Multi-chamber integrated process system |
US5000113A (en) | 1986-12-19 | 1991-03-19 | Applied Materials, Inc. | Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process |
US5923985A (en) | 1987-01-05 | 1999-07-13 | Seiko Instruments Inc. | MOS field effect transistor and its manufacturing method |
DE3704505A1 (de) | 1987-02-13 | 1988-08-25 | Leybold Ag | Einlegegeraet fuer vakuumanlagen |
JPS63227011A (ja) * | 1987-03-17 | 1988-09-21 | Fujitsu Ltd | 化学気相成長装置 |
JPH0727861B2 (ja) | 1987-03-27 | 1995-03-29 | 富士通株式会社 | ▲iii▼−▲v▼族化合物半導体結晶の成長方法 |
JPH0812844B2 (ja) | 1987-03-27 | 1996-02-07 | 日本電気株式会社 | ▲iii▼−v族化合物半導体およびその形成方法 |
DE3721637A1 (de) | 1987-06-30 | 1989-01-12 | Aixtron Gmbh | Gaseinlass fuer eine mehrzahl verschiedener reaktionsgase in reaktionsgefaesse |
US5348911A (en) | 1987-06-30 | 1994-09-20 | Aixtron Gmbh | Material-saving process for fabricating mixed crystals |
EP0297867B1 (en) | 1987-07-01 | 1993-10-06 | Nec Corporation | A process for the growth of iii-v group compound semiconductor crystal on a si substrate |
JPH0666274B2 (ja) | 1987-07-01 | 1994-08-24 | 日本電気株式会社 | ▲iii▼−v族化合物半導体の形成方法 |
FI81926C (fi) | 1987-09-29 | 1990-12-10 | Nokia Oy Ab | Foerfarande foer uppbyggning av gaas-filmer pao si- och gaas-substrater. |
WO1989003587A1 (en) | 1987-10-14 | 1989-04-20 | The Furukawa Electric Co., Ltd. | Method and apparatus for thin film formation by plasma cvd |
DE3743938C2 (de) | 1987-12-23 | 1995-08-31 | Cs Halbleiter Solartech | Verfahren zum Atomschicht-Epitaxie-Aufwachsen einer III/V-Verbindungshalbleiter-Dünnschicht |
FR2626110A1 (fr) | 1988-01-19 | 1989-07-21 | Thomson Csf | Procede de realisation par epitaxie d'une couche d'un materiau supraconducteur |
US5166092A (en) | 1988-01-28 | 1992-11-24 | Fujitsu Limited | Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy |
US5229081A (en) * | 1988-02-12 | 1993-07-20 | Regal Joint Co., Ltd. | Apparatus for semiconductor process including photo-excitation process |
FR2628985B1 (fr) | 1988-03-22 | 1990-12-28 | Labo Electronique Physique | Reacteur d'epitaxie a paroi protegee contre les depots |
US5130269A (en) | 1988-04-27 | 1992-07-14 | Fujitsu Limited | Hetero-epitaxially grown compound semiconductor substrate and a method of growing the same |
US5261959A (en) | 1988-05-26 | 1993-11-16 | General Electric Company | Diamond crystal growth apparatus |
DE3851701T2 (de) | 1988-06-03 | 1995-03-30 | Ibm | Verfahren zur Herstellung künstlicher Hochtemperatur-Supraleiter mit mehrschichtiger Struktur. |
US4927670A (en) | 1988-06-22 | 1990-05-22 | Georgia Tech Research Corporation | Chemical vapor deposition of mixed metal oxide coatings |
US5234561A (en) | 1988-08-25 | 1993-08-10 | Hauzer Industries Bv | Physical vapor deposition dual coating process |
US4931132A (en) | 1988-10-07 | 1990-06-05 | Bell Communications Research, Inc. | Optical control of deposition of crystal monolayers |
US4917566A (en) * | 1988-11-17 | 1990-04-17 | Contractors Crane Service, Inc. | Crane attachment for backhoe |
US4907534A (en) * | 1988-12-09 | 1990-03-13 | Siemens Aktiengesellschaft | Gas distributor for OMVPE Growth |
US5013683A (en) | 1989-01-23 | 1991-05-07 | The Regents Of The University Of California | Method for growing tilted superlattices |
JPH0824191B2 (ja) | 1989-03-17 | 1996-03-06 | 富士通株式会社 | 薄膜トランジスタ |
US5186718A (en) | 1989-05-19 | 1993-02-16 | Applied Materials, Inc. | Staged-vacuum wafer processing system and method |
US4987856A (en) | 1989-05-22 | 1991-01-29 | Advanced Semiconductor Materials America, Inc. | High throughput multi station processor for multiple single wafers |
US5134965A (en) * | 1989-06-16 | 1992-08-04 | Hitachi, Ltd. | Processing apparatus and method for plasma processing |
EP0413982B1 (en) | 1989-07-27 | 1997-05-14 | Junichi Nishizawa | Impurity doping method with adsorbed diffusion source |
JP2506451B2 (ja) | 1989-08-18 | 1996-06-12 | 富士通株式会社 | 化学気相成長装置及び化学気相成長法 |
US5028565A (en) | 1989-08-25 | 1991-07-02 | Applied Materials, Inc. | Process for CVD deposition of tungsten layer on semiconductor wafer |
JP2926798B2 (ja) | 1989-11-20 | 1999-07-28 | 国際電気株式会社 | 連続処理エッチング方法及びその装置 |
CA2031253A1 (en) | 1989-12-01 | 1991-06-02 | Kenji Aoki | Method of producing bipolar transistor |
FI84562C (fi) | 1990-01-16 | 1991-12-27 | Neste Oy | Foerfarande och anordning foer framstaellning av heterogena katalysatorer. |
US5290748A (en) | 1990-01-16 | 1994-03-01 | Neste Oy | Polymerization catalyst for olefines |
FI87892C (fi) | 1991-07-16 | 1993-03-10 | Neste Oy | Foerfarande foer framstaellning av heterogena katalysatorer med oenskad metallhalt |
US5338389A (en) | 1990-01-19 | 1994-08-16 | Research Development Corporation Of Japan | Method of epitaxially growing compound crystal and doping method therein |
JPH07105497B2 (ja) | 1990-01-31 | 1995-11-13 | 新技術事業団 | 半導体デバイス及びその製造方法 |
JP2822536B2 (ja) | 1990-02-14 | 1998-11-11 | 住友電気工業株式会社 | 立方晶窒化ホウ素薄膜の形成方法 |
US5316615A (en) | 1990-03-23 | 1994-05-31 | International Business Machines Corporation | Surfactant-enhanced epitaxy |
JPH042699A (ja) | 1990-04-18 | 1992-01-07 | Mitsubishi Electric Corp | 結晶成長方法 |
US5173474A (en) | 1990-04-18 | 1992-12-22 | Xerox Corporation | Silicon substrate having an epitaxial superconducting layer thereon and method of making same |
US5091320A (en) | 1990-06-15 | 1992-02-25 | Bell Communications Research, Inc. | Ellipsometric control of material growth |
US5225366A (en) | 1990-06-22 | 1993-07-06 | The United States Of America As Represented By The Secretary Of The Navy | Apparatus for and a method of growing thin films of elemental semiconductors |
US5483919A (en) | 1990-08-31 | 1996-01-16 | Nippon Telegraph And Telephone Corporation | Atomic layer epitaxy method and apparatus |
DE4027628A1 (de) | 1990-08-31 | 1992-03-05 | Wolters Peter Fa | Vorrichtung zur steuerung oder regelung von laepp-, hon- oder poliermaschinen |
US5085887A (en) * | 1990-09-07 | 1992-02-04 | Applied Materials, Inc. | Wafer reactor vessel window with pressure-thermal compensation |
US5085885A (en) | 1990-09-10 | 1992-02-04 | University Of Delaware | Plasma-induced, in-situ generation, transport and use or collection of reactive precursors |
JPH0819516B2 (ja) | 1990-10-26 | 1996-02-28 | インターナシヨナル・ビジネス・マシーンズ・コーポレーシヨン | 薄膜状のアルファTaを形成するための方法および構造 |
US5221449A (en) | 1990-10-26 | 1993-06-22 | International Business Machines Corporation | Method of making Alpha-Ta thin films |
EP0491521B1 (en) * | 1990-12-15 | 1997-03-12 | Fujitsu Limited | Process for producing diamond film |
US5286296A (en) | 1991-01-10 | 1994-02-15 | Sony Corporation | Multi-chamber wafer process equipment having plural, physically communicating transfer means |
US5178681A (en) | 1991-01-29 | 1993-01-12 | Applied Materials, Inc. | Suspension system for semiconductor reactors |
US5204145A (en) * | 1991-03-04 | 1993-04-20 | General Electric Company | Apparatus for producing diamonds by chemical vapor deposition and articles produced therefrom |
US5705224A (en) | 1991-03-20 | 1998-01-06 | Kokusai Electric Co., Ltd. | Vapor depositing method |
US5316793A (en) | 1992-07-27 | 1994-05-31 | Texas Instruments Incorporated | Directed effusive beam atomic layer epitaxy system and method |
US5224513A (en) * | 1991-06-04 | 1993-07-06 | Cselt - Centro Studi E Laboratori Telecomunicazioni S.P.A. | Device for introducing reagents into an organometallic vapor phase deposition apparatus |
US5173327A (en) | 1991-06-18 | 1992-12-22 | Micron Technology, Inc. | LPCVD process for depositing titanium films for semiconductor devices |
US5270247A (en) | 1991-07-12 | 1993-12-14 | Fujitsu Limited | Atomic layer epitaxy of compound semiconductor |
US6001669A (en) | 1991-09-09 | 1999-12-14 | Philips Electronics North America Corporation | Method for producing II-VI compound semiconductor epitaxial layers having low defects |
US5311055A (en) | 1991-11-22 | 1994-05-10 | The United States Of America As Represented By The Secretary Of The Navy | Trenched bipolar transistor structures |
JP2987379B2 (ja) | 1991-11-30 | 1999-12-06 | 科学技術振興事業団 | 半導体結晶のエピタキシャル成長方法 |
US5336324A (en) | 1991-12-04 | 1994-08-09 | Emcore Corporation | Apparatus for depositing a coating on a substrate |
JP2763222B2 (ja) * | 1991-12-13 | 1998-06-11 | 三菱電機株式会社 | 化学気相成長方法ならびにそのための化学気相成長処理システムおよび化学気相成長装置 |
US5397428A (en) | 1991-12-20 | 1995-03-14 | The University Of North Carolina At Chapel Hill | Nucleation enhancement for chemical vapor deposition of diamond |
US5256244A (en) | 1992-02-10 | 1993-10-26 | General Electric Company | Production of diffuse reflective coatings by atomic layer epitaxy |
US5480818A (en) | 1992-02-10 | 1996-01-02 | Fujitsu Limited | Method for forming a film and method for manufacturing a thin film transistor |
JP2987663B2 (ja) * | 1992-03-10 | 1999-12-06 | 株式会社日立製作所 | 基板処理装置 |
US5458084A (en) | 1992-04-16 | 1995-10-17 | Moxtek, Inc. | X-ray wave diffraction optics constructed by atomic layer epitaxy |
AU4378893A (en) | 1992-05-22 | 1993-12-30 | Minnesota Mining And Manufacturing Company | Ii-vi laser diodes with quantum wells grown by atomic layer epitaxy and migration enhanced epitaxy |
US5285885A (en) * | 1992-05-29 | 1994-02-15 | Fishburne International, Inc. | Tobacco container sorting conveyor |
US5278435A (en) | 1992-06-08 | 1994-01-11 | Apa Optics, Inc. | High responsivity ultraviolet gallium nitride detector |
FI91422C (fi) | 1992-06-18 | 1994-06-27 | Mikrokemia Oy | Menetelmä ja laitteisto nestemäisten reagenssien syöttämiseksi kemialliseen reaktoriin |
US5306666A (en) | 1992-07-24 | 1994-04-26 | Nippon Steel Corporation | Process for forming a thin metal film by chemical vapor deposition |
JPH0750690B2 (ja) | 1992-08-21 | 1995-05-31 | 日本電気株式会社 | ハロゲン化物を用いる半導体結晶のエピタキシャル成長方法とその装置 |
US5381485A (en) * | 1992-08-29 | 1995-01-10 | Adaptive Control Limited | Active sound control systems and sound reproduction systems |
US5338362A (en) | 1992-08-29 | 1994-08-16 | Tokyo Electron Limited | Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments |
JP3405466B2 (ja) | 1992-09-17 | 2003-05-12 | 富士通株式会社 | 流体切替弁および半導体装置の製造装置 |
US5532511A (en) | 1992-10-23 | 1996-07-02 | Research Development Corp. Of Japan | Semiconductor device comprising a highspeed static induction transistor |
US5455072A (en) | 1992-11-18 | 1995-10-03 | Bension; Rouvain M. | Initiation and bonding of diamond and other thin films |
JPH06177349A (ja) * | 1992-12-02 | 1994-06-24 | Matsushita Electric Ind Co Ltd | 高密度dramの製造方法および高密度dram |
US5607009A (en) | 1993-01-28 | 1997-03-04 | Applied Materials, Inc. | Method of heating and cooling large area substrates and apparatus therefor |
JP3265042B2 (ja) | 1993-03-18 | 2002-03-11 | 東京エレクトロン株式会社 | 成膜方法 |
JP3124861B2 (ja) | 1993-03-24 | 2001-01-15 | 富士通株式会社 | 薄膜成長方法および半導体装置の製造方法 |
US5443647A (en) | 1993-04-28 | 1995-08-22 | The United States Of America As Represented By The Secretary Of The Army | Method and apparatus for depositing a refractory thin film by chemical vapor deposition |
US5526244A (en) * | 1993-05-24 | 1996-06-11 | Bishop; Vernon R. | Overhead luminaire |
US5330610A (en) | 1993-05-28 | 1994-07-19 | Martin Marietta Energy Systems, Inc. | Method of digital epilaxy by externally controlled closed-loop feedback |
JPH0729897A (ja) | 1993-06-25 | 1995-01-31 | Nec Corp | 半導体装置の製造方法 |
US5643366A (en) | 1994-01-31 | 1997-07-01 | Applied Materials, Inc. | Wafer handling within a vacuum chamber using vacuum |
US6130147A (en) | 1994-04-07 | 2000-10-10 | Sdl, Inc. | Methods for forming group III-V arsenide-nitride semiconductor materials |
JP3181171B2 (ja) * | 1994-05-20 | 2001-07-03 | シャープ株式会社 | 気相成長装置および気相成長方法 |
US5665640A (en) * | 1994-06-03 | 1997-09-09 | Sony Corporation | Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor |
US5580421A (en) * | 1994-06-14 | 1996-12-03 | Fsi International | Apparatus for surface conditioning |
US5916395A (en) * | 1994-07-05 | 1999-06-29 | Murata Manufacturing Co., Ltd. | Method for fabricating ceramic electronic parts |
JP3008782B2 (ja) | 1994-07-15 | 2000-02-14 | 信越半導体株式会社 | 気相成長方法およびその装置 |
US5796116A (en) | 1994-07-27 | 1998-08-18 | Sharp Kabushiki Kaisha | Thin-film semiconductor device including a semiconductor film with high field-effect mobility |
US5641984A (en) * | 1994-08-19 | 1997-06-24 | General Electric Company | Hermetically sealed radiation imager |
TW295677B (zh) | 1994-08-19 | 1997-01-11 | Tokyo Electron Co Ltd | |
US5730801A (en) * | 1994-08-23 | 1998-03-24 | Applied Materials, Inc. | Compartnetalized substrate processing chamber |
US5644128A (en) | 1994-08-25 | 1997-07-01 | Ionwerks | Fast timing position sensitive detector |
US6158446A (en) | 1994-11-14 | 2000-12-12 | Fsi International | Ultra-low particle semiconductor cleaner |
JPH08148431A (ja) | 1994-11-24 | 1996-06-07 | Mitsubishi Electric Corp | Mbe装置、及びガス分岐配管装置 |
FI97730C (fi) * | 1994-11-28 | 1997-02-10 | Mikrokemia Oy | Laitteisto ohutkalvojen valmistamiseksi |
FI100409B (fi) | 1994-11-28 | 1997-11-28 | Asm Int | Menetelmä ja laitteisto ohutkalvojen valmistamiseksi |
FI97731C (fi) * | 1994-11-28 | 1997-02-10 | Mikrokemia Oy | Menetelmä ja laite ohutkalvojen valmistamiseksi |
US5558717A (en) | 1994-11-30 | 1996-09-24 | Applied Materials | CVD Processing chamber |
WO1996018756A1 (en) | 1994-12-16 | 1996-06-20 | Nkt Research Center A/S | A PA-CVD PROCESS FOR DEPOSITION OF A SOLID METAL-CONTAINING FILM ONTO A SUBSTRATE CONTAINING AT LEAST 50 % of Fe or WC |
US5542452A (en) * | 1995-02-07 | 1996-08-06 | Cdc Technologies, Inc. | Valve assembly |
DE69625265T2 (de) * | 1995-03-28 | 2003-09-04 | Texas Instruments Inc., Dallas | Halbleiterstrukturen |
JPH08288965A (ja) | 1995-04-18 | 1996-11-01 | Hitachi Ltd | スイッチングシステム |
US5573566A (en) * | 1995-05-26 | 1996-11-12 | Advanced Semiconductor Materials America, Inc. | Method of making a quartz dome reactor chamber |
TW434745B (en) * | 1995-06-07 | 2001-05-16 | Tokyo Electron Ltd | Plasma processing apparatus |
JP3288200B2 (ja) | 1995-06-09 | 2002-06-04 | 東京エレクトロン株式会社 | 真空処理装置 |
JPH0922896A (ja) | 1995-07-07 | 1997-01-21 | Toshiba Corp | 金属膜の選択的形成方法 |
TW283250B (en) * | 1995-07-10 | 1996-08-11 | Watkins Johnson Co | Plasma enhanced chemical processing reactor and method |
TW294820B (en) * | 1995-07-10 | 1997-01-01 | Watkins Johnson Co | Gas distribution apparatus |
KR0167248B1 (ko) | 1995-07-24 | 1999-02-01 | 문정환 | 반도체 기판의 전처리방법 |
KR100310249B1 (ko) | 1995-08-05 | 2001-12-17 | 엔도 마코토 | 기판처리장치 |
US5804488A (en) | 1995-08-24 | 1998-09-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming a tungsten silicide capacitor having a high breakdown voltage |
US6066358A (en) * | 1995-11-21 | 2000-05-23 | Applied Materials, Inc. | Blanket-selective chemical vapor deposition using an ultra-thin nucleation layer |
US5672054A (en) | 1995-12-07 | 1997-09-30 | Carrier Corporation | Rotary compressor with reduced lubrication sensitivity |
US6084302A (en) * | 1995-12-26 | 2000-07-04 | Micron Technologies, Inc. | Barrier layer cladding around copper interconnect lines |
FI107533B (fi) | 1996-04-03 | 2001-08-31 | Fortum Oil & Gas Oy | Kemiallisten reaktioiden suorittamiseen tarkoitetut funktionaaliset pinnat ja menetelmä niiden valmistamiseksi |
US5667592A (en) | 1996-04-16 | 1997-09-16 | Gasonics International | Process chamber sleeve with ring seals for isolating individual process modules in a common cluster |
US5906683A (en) | 1996-04-16 | 1999-05-25 | Applied Materials, Inc. | Lid assembly for semiconductor processing chamber |
US6313035B1 (en) * | 1996-05-31 | 2001-11-06 | Micron Technology, Inc. | Chemical vapor deposition using organometallic precursors |
US5788799A (en) | 1996-06-11 | 1998-08-04 | Applied Materials, Inc. | Apparatus and method for cleaning of semiconductor process chamber surfaces |
US6062798A (en) | 1996-06-13 | 2000-05-16 | Brooks Automation, Inc. | Multi-level substrate processing apparatus |
US6534133B1 (en) * | 1996-06-14 | 2003-03-18 | Research Foundation Of State University Of New York | Methodology for in-situ doping of aluminum coatings |
US6342277B1 (en) * | 1996-08-16 | 2002-01-29 | Licensee For Microelectronics: Asm America, Inc. | Sequential chemical vapor deposition |
US5996528A (en) * | 1996-07-02 | 1999-12-07 | Novellus Systems, Inc. | Method and apparatus for flowing gases into a manifold at high potential |
US5846332A (en) | 1996-07-12 | 1998-12-08 | Applied Materials, Inc. | Thermally floating pedestal collar in a chemical vapor deposition chamber |
AUPO129096A0 (en) | 1996-07-26 | 1996-08-22 | Boc Gases Australia Limited | Oxygen dissolver for pipelines or pipe outlets |
US5747113A (en) | 1996-07-29 | 1998-05-05 | Tsai; Charles Su-Chang | Method of chemical vapor deposition for producing layer variation by planetary susceptor rotation |
US5830270A (en) | 1996-08-05 | 1998-11-03 | Lockheed Martin Energy Systems, Inc. | CaTiO3 Interfacial template structure on semiconductor-based material and the growth of electroceramic thin-films in the perovskite class |
JP3901252B2 (ja) * | 1996-08-13 | 2007-04-04 | キヤノンアネルバ株式会社 | 化学蒸着装置 |
US5916365A (en) * | 1996-08-16 | 1999-06-29 | Sherman; Arthur | Sequential chemical vapor deposition |
JP2923753B2 (ja) * | 1996-08-21 | 1999-07-26 | 工業技術院長 | Iii族原子層の形成方法 |
KR100216542B1 (ko) | 1996-08-27 | 1999-08-16 | 정선종 | 펄스 레이저 증착장치용 멀티 타겟 구동장치 |
FI100758B (fi) | 1996-09-11 | 1998-02-13 | Planar Internat Oy Ltd | Menetelmä ZnS:Mn-loisteainekerroksen kasvattamiseksi ohutkalvoelektrol uminenssikomponentteja varten |
US5951771A (en) | 1996-09-30 | 1999-09-14 | Celestech, Inc. | Plasma jet system |
US5835677A (en) | 1996-10-03 | 1998-11-10 | Emcore Corporation | Liquid vaporizer system and method |
US5923056A (en) * | 1996-10-10 | 1999-07-13 | Lucent Technologies Inc. | Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials |
US6071572A (en) | 1996-10-15 | 2000-06-06 | Applied Materials, Inc. | Forming tin thin films using remote activated specie generation |
US5928389A (en) | 1996-10-21 | 1999-07-27 | Applied Materials, Inc. | Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool |
US5882411A (en) | 1996-10-21 | 1999-03-16 | Applied Materials, Inc. | Faceplate thermal choke in a CVD plasma reactor |
US5807792A (en) | 1996-12-18 | 1998-09-15 | Siemens Aktiengesellschaft | Uniform distribution of reactants in a device layer |
US6335280B1 (en) * | 1997-01-13 | 2002-01-01 | Asm America, Inc. | Tungsten silicide deposition process |
US6043177A (en) * | 1997-01-21 | 2000-03-28 | University Technology Corporation | Modification of zeolite or molecular sieve membranes using atomic layer controlled chemical vapor deposition |
US5913147A (en) * | 1997-01-21 | 1999-06-15 | Advanced Micro Devices, Inc. | Method for fabricating copper-aluminum metallization |
US6051286A (en) | 1997-02-12 | 2000-04-18 | Applied Materials, Inc. | High temperature, high deposition rate process and apparatus for depositing titanium layers |
US6174509B1 (en) * | 1997-02-11 | 2001-01-16 | Corning Incorporated | Pure fused silica, furnace and method |
DE19706789C2 (de) * | 1997-02-20 | 1999-10-21 | Siemens Ag | CMOS-Schaltung mit teilweise dielektrisch isolierten Source-Drain-Bereichen und Verfahren zu ihrer Herstellung |
DE19707929C1 (de) * | 1997-02-27 | 1998-09-03 | Schroff Gmbh | Schrank zur Aufnahme von elektrischen und elektronischen Komponenten |
US6174377B1 (en) * | 1997-03-03 | 2001-01-16 | Genus, Inc. | Processing chamber for atomic layer deposition processes |
US5879459A (en) * | 1997-08-29 | 1999-03-09 | Genus, Inc. | Vertically-stacked process reactor and cluster tool system for atomic layer deposition |
US5855675A (en) * | 1997-03-03 | 1999-01-05 | Genus, Inc. | Multipurpose processing chamber for chemical vapor deposition processes |
JPH10308283A (ja) * | 1997-03-04 | 1998-11-17 | Denso Corp | El素子およびその製造方法 |
US5866795A (en) * | 1997-03-17 | 1999-02-02 | Applied Materials, Inc. | Liquid flow rate estimation and verification by direct liquid measurement |
US6153519A (en) | 1997-03-31 | 2000-11-28 | Motorola, Inc. | Method of forming a barrier layer |
US5888303A (en) * | 1997-04-07 | 1999-03-30 | R.E. Dixon Inc. | Gas inlet apparatus and method for chemical vapor deposition reactors |
USRE39969E1 (en) * | 1997-04-11 | 2008-01-01 | Tokyo Electron Limited | Processing system |
US6026762A (en) | 1997-04-23 | 2000-02-22 | Applied Materials, Inc. | Apparatus for improved remote microwave plasma source for use with substrate processing systems |
TW417249B (en) * | 1997-05-14 | 2001-01-01 | Applied Materials Inc | Reliability barrier integration for cu application |
US6156382A (en) | 1997-05-16 | 2000-12-05 | Applied Materials, Inc. | Chemical vapor deposition process for depositing tungsten |
US5851849A (en) | 1997-05-22 | 1998-12-22 | Lucent Technologies Inc. | Process for passivating semiconductor laser structures with severe steps in surface topography |
US6140237A (en) | 1997-06-16 | 2000-10-31 | Chartered Semiconductor Manufacturing Ltd. | Damascene process for forming coplanar top surface of copper connector isolated by barrier layers in an insulating layer |
US5846330A (en) * | 1997-06-26 | 1998-12-08 | Celestech, Inc. | Gas injection disc assembly for CVD applications |
US6162715A (en) | 1997-06-30 | 2000-12-19 | Applied Materials, Inc. | Method of forming gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride |
US6309713B1 (en) | 1997-06-30 | 2001-10-30 | Applied Materials, Inc. | Deposition of tungsten nitride by plasma enhanced chemical vapor deposition |
FI972874A0 (fi) | 1997-07-04 | 1997-07-04 | Mikrokemia Oy | Foerfarande och anordning foer framstaellning av tunnfilmer |
US6073366A (en) | 1997-07-11 | 2000-06-13 | Asm America, Inc. | Substrate cooling system and method |
US5882413A (en) * | 1997-07-11 | 1999-03-16 | Brooks Automation, Inc. | Substrate processing apparatus having a substrate transport with a front end extension and an internal substrate buffer |
US5904565A (en) | 1997-07-17 | 1999-05-18 | Sharp Microelectronics Technology, Inc. | Low resistance contact between integrated circuit metal levels and method for same |
US6287965B1 (en) | 1997-07-28 | 2001-09-11 | Samsung Electronics Co, Ltd. | Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor |
KR100385946B1 (ko) * | 1999-12-08 | 2003-06-02 | 삼성전자주식회사 | 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자 |
KR100269306B1 (ko) | 1997-07-31 | 2000-10-16 | 윤종용 | 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법 |
US7393561B2 (en) * | 1997-08-11 | 2008-07-01 | Applied Materials, Inc. | Method and apparatus for layer by layer deposition of thin films |
KR100261017B1 (ko) | 1997-08-19 | 2000-08-01 | 윤종용 | 반도체 장치의 금속 배선층을 형성하는 방법 |
US5904569A (en) | 1997-09-03 | 1999-05-18 | National Semiconductor Corporation | Method for forming self-aligned vias in multi-metal integrated circuits |
US5801634A (en) | 1997-09-08 | 1998-09-01 | Sony Corporation | Signal tower controller |
US6197683B1 (en) * | 1997-09-29 | 2001-03-06 | Samsung Electronics Co., Ltd. | Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same |
US6348376B2 (en) * | 1997-09-29 | 2002-02-19 | Samsung Electronics Co., Ltd. | Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same |
KR100274603B1 (ko) | 1997-10-01 | 2001-01-15 | 윤종용 | 반도체장치의제조방법및그의제조장치 |
JPH11117071A (ja) * | 1997-10-09 | 1999-04-27 | Anelva Corp | Cvd装置 |
US6110556A (en) | 1997-10-17 | 2000-08-29 | Applied Materials, Inc. | Lid assembly for a process chamber employing asymmetric flow geometries |
KR100252049B1 (ko) | 1997-11-18 | 2000-04-15 | 윤종용 | 원자층 증착법에 의한 알루미늄층의 제조방법 |
US5972430A (en) | 1997-11-26 | 1999-10-26 | Advanced Technology Materials, Inc. | Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer |
JP3550985B2 (ja) * | 1997-12-02 | 2004-08-04 | 株式会社デンソー | 神経回路網の検証方法,検証装置及び記録媒体 |
US6079356A (en) | 1997-12-02 | 2000-06-27 | Applied Materials, Inc. | Reactor optimized for chemical vapor deposition of titanium |
US6099904A (en) * | 1997-12-02 | 2000-08-08 | Applied Materials, Inc. | Low resistivity W using B2 H6 nucleation step |
FI104383B (fi) | 1997-12-09 | 2000-01-14 | Fortum Oil & Gas Oy | Menetelmä laitteistojen sisäpintojen päällystämiseksi |
US6107192A (en) * | 1997-12-30 | 2000-08-22 | Applied Materials, Inc. | Reactive preclean prior to metallization for sub-quarter micron application |
TW439151B (en) | 1997-12-31 | 2001-06-07 | Samsung Electronics Co Ltd | Method for forming conductive layer using atomic layer deposition process |
KR100269328B1 (ko) * | 1997-12-31 | 2000-10-16 | 윤종용 | 원자층 증착 공정을 이용하는 도전층 형성방법 |
KR100275727B1 (ko) * | 1998-01-06 | 2001-01-15 | 윤종용 | 반도체 장치의 커패시터 형성방법 |
US6140234A (en) | 1998-01-20 | 2000-10-31 | International Business Machines Corporation | Method to selectively fill recesses with conductive metal |
US6015917A (en) * | 1998-01-23 | 2000-01-18 | Advanced Technology Materials, Inc. | Tantalum amide precursors for deposition of tantalum nitride on a substrate |
US6303523B2 (en) | 1998-02-11 | 2001-10-16 | Applied Materials, Inc. | Plasma processes for depositing low dielectric constant films |
US6117244A (en) | 1998-03-24 | 2000-09-12 | Applied Materials, Inc. | Deposition resistant lining for CVD chamber |
US6316098B1 (en) | 1998-03-27 | 2001-11-13 | Yissum Research Development Company Of The Hebrew University Of Jerusalem | Molecular layer epitaxy method and compositions |
KR20010042296A (ko) | 1998-03-31 | 2001-05-25 | 로즈 암스트롱, 크리스틴 에이. 트러트웨인 | 세린 프로테아제 억제제로서의 벤즈옥사지논/벤조티아지논 |
JPH11297681A (ja) * | 1998-04-07 | 1999-10-29 | Mitsubishi Electric Corp | 高誘電率薄膜形成用cvd装置および高誘電率薄膜の形成方法 |
US6433314B1 (en) | 1998-04-08 | 2002-08-13 | Applied Materials, Inc. | Direct temperature control for a component of a substrate processing chamber |
JP3955386B2 (ja) * | 1998-04-09 | 2007-08-08 | 富士通株式会社 | 半導体装置及びその製造方法 |
US6181012B1 (en) * | 1998-04-27 | 2001-01-30 | International Business Machines Corporation | Copper interconnection structure incorporating a metal seed layer |
KR100267885B1 (ko) * | 1998-05-18 | 2000-11-01 | 서성기 | 반도체 박막증착장치 |
KR100282853B1 (ko) | 1998-05-18 | 2001-04-02 | 서성기 | 연속기체분사에의한반도체박막증착장치 |
US6025627A (en) * | 1998-05-29 | 2000-02-15 | Micron Technology, Inc. | Alternate method and structure for improved floating gate tunneling devices |
FI105313B (fi) | 1998-06-03 | 2000-07-14 | Planar Systems Oy | Menetelmä ohutkalvo-elektroluminesenssirakenteiden kasvattamiseksi |
NL1009327C2 (nl) | 1998-06-05 | 1999-12-10 | Asm Int | Werkwijze en inrichting voor het overbrengen van wafers. |
US6086677A (en) | 1998-06-16 | 2000-07-11 | Applied Materials, Inc. | Dual gas faceplate for a showerhead in a semiconductor wafer processing system |
KR100319888B1 (ko) * | 1998-06-16 | 2002-01-10 | 윤종용 | 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법 |
KR100278657B1 (ko) | 1998-06-24 | 2001-02-01 | 윤종용 | 반도체장치의금속배선구조및그제조방법 |
JP2000031387A (ja) | 1998-07-14 | 2000-01-28 | Fuji Electric Co Ltd | 誘電体薄膜コンデンサの製造方法 |
US6358323B1 (en) * | 1998-07-21 | 2002-03-19 | Applied Materials, Inc. | Method and apparatus for improved control of process and purge material in a substrate processing system |
US6218302B1 (en) | 1998-07-21 | 2001-04-17 | Motorola Inc. | Method for forming a semiconductor device |
KR100275738B1 (ko) | 1998-08-07 | 2000-12-15 | 윤종용 | 원자층 증착법을 이용한 박막 제조방법 |
KR20000013654A (ko) | 1998-08-12 | 2000-03-06 | 윤종용 | 원자층 증착 방법으로 형성한 알루미나/알루미늄나이트라이드복합 유전체막을 갖는 캐패시터와 그제조 방법 |
KR100327105B1 (ko) | 1998-08-14 | 2002-03-09 | 오길록 | 고휘도 형광체 및 그 제조방법 |
US6291876B1 (en) | 1998-08-20 | 2001-09-18 | The United States Of America As Represented By The Secretary Of The Navy | Electronic devices with composite atomic barrier film and process for making same |
FI105643B (fi) | 1998-08-21 | 2000-09-15 | Planar Systems Oy | Ohutkalvo-elektroluminesenssilaite ja menetelmä sen valmistamiseksi |
US6520218B1 (en) * | 1998-09-03 | 2003-02-18 | Advanced Technology Materials, Inc. | Container chemical guard |
KR20000022003A (ko) | 1998-09-10 | 2000-04-25 | 이경수 | 금속과규소를포함한3성분질화물막의형성방법 |
FI108375B (fi) | 1998-09-11 | 2002-01-15 | Asm Microchemistry Oy | Menetelmõ eristõvien oksidiohutkalvojen valmistamiseksi |
KR100273474B1 (ko) | 1998-09-14 | 2000-12-15 | 이경수 | 화학기상 증착장치의 가스 공급장치와 그 제어방법 |
KR100287180B1 (ko) * | 1998-09-17 | 2001-04-16 | 윤종용 | 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법 |
US6251759B1 (en) * | 1998-10-03 | 2001-06-26 | Applied Materials, Inc. | Method and apparatus for depositing material upon a semiconductor wafer using a transition chamber of a multiple chamber semiconductor wafer processing system |
US6143082A (en) | 1998-10-08 | 2000-11-07 | Novellus Systems, Inc. | Isolation of incompatible processes in a multi-station processing chamber |
US6445701B1 (en) * | 1998-10-09 | 2002-09-03 | Microsoft Corporation | Channel access scheme for use in network communications |
KR100327328B1 (ko) * | 1998-10-13 | 2002-05-09 | 윤종용 | 부분적으로다른두께를갖는커패시터의유전막형성방버뵤 |
KR100297719B1 (ko) * | 1998-10-16 | 2001-08-07 | 윤종용 | 박막제조방법 |
US6454860B2 (en) | 1998-10-27 | 2002-09-24 | Applied Materials, Inc. | Deposition reactor having vaporizing, mixing and cleaning capabilities |
US20030101938A1 (en) | 1998-10-27 | 2003-06-05 | Applied Materials, Inc. | Apparatus for the deposition of high dielectric constant films |
US6409904B1 (en) * | 1998-12-01 | 2002-06-25 | Nutool, Inc. | Method and apparatus for depositing and controlling the texture of a thin film |
KR100331544B1 (ko) | 1999-01-18 | 2002-04-06 | 윤종용 | 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드 |
JP2995300B1 (ja) | 1999-02-03 | 1999-12-27 | 工業技術院長 | 機械要素部品の表面改善方法 |
US6087726A (en) * | 1999-03-01 | 2000-07-11 | Lsi Logic Corporation | Metal interconnect stack for integrated circuit structure |
US6200893B1 (en) * | 1999-03-11 | 2001-03-13 | Genus, Inc | Radical-assisted sequential CVD |
US6305314B1 (en) | 1999-03-11 | 2001-10-23 | Genvs, Inc. | Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition |
US6540838B2 (en) | 2000-11-29 | 2003-04-01 | Genus, Inc. | Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition |
US20020000665A1 (en) * | 1999-04-05 | 2002-01-03 | Alexander L. Barr | Semiconductor device conductive bump and interconnect barrier |
KR100273473B1 (ko) | 1999-04-06 | 2000-11-15 | 이경수 | 박막 형성 방법 |
JP2000290777A (ja) * | 1999-04-07 | 2000-10-17 | Tokyo Electron Ltd | ガス処理装置、バッフル部材、及びガス処理方法 |
JP2000319773A (ja) | 1999-04-30 | 2000-11-21 | Shindengen Electric Mfg Co Ltd | X線検出器におけるセレン層の製造方法 |
KR100347379B1 (ko) * | 1999-05-01 | 2002-08-07 | 주식회사 피케이엘 | 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치 |
FI118342B (fi) | 1999-05-10 | 2007-10-15 | Asm Int | Laite ohutkalvojen valmistamiseksi |
TW512448B (en) * | 1999-05-11 | 2002-12-01 | Applied Materials Inc | Sequential sputter and reactive precleans of vias and contacts |
US6218298B1 (en) | 1999-05-19 | 2001-04-17 | Infineon Technologies North America Corp. | Tungsten-filled deep trenches |
JP2000340883A (ja) | 1999-05-27 | 2000-12-08 | Fujitsu Ltd | 多波長発振光半導体装置 |
US6254602B1 (en) | 1999-05-28 | 2001-07-03 | Sdgi Holdings, Inc. | Advanced coupling device using shape-memory technology |
US6124158A (en) | 1999-06-08 | 2000-09-26 | Lucent Technologies Inc. | Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants |
JP2000353666A (ja) | 1999-06-11 | 2000-12-19 | Matsushita Electric Ind Co Ltd | 半導体薄膜およびその製造方法 |
DE60035948T2 (de) | 1999-06-19 | 2008-05-15 | Asm Genitech Korea Ltd. | Chemischer abscheidungsreaktor und dessen verwendung für die abscheidung eines dünnen films |
US6071808A (en) | 1999-06-23 | 2000-06-06 | Lucent Technologies Inc. | Method of passivating copper interconnects in a semiconductor |
WO2000079019A1 (en) | 1999-06-24 | 2000-12-28 | Prasad Narhar Gadgil | Apparatus for atomic layer chemical vapor deposition |
US6524952B1 (en) * | 1999-06-25 | 2003-02-25 | Applied Materials, Inc. | Method of forming a titanium silicide layer on a substrate |
US6309964B1 (en) * | 1999-07-08 | 2001-10-30 | Taiwan Semiconductor Manufacturing Company | Method for forming a copper damascene structure over tungsten plugs with improved adhesion, oxidation resistance, and diffusion barrier properties using nitridation of the tungsten plug |
KR100319494B1 (ko) | 1999-07-15 | 2002-01-09 | 김용일 | 원자층 에피택시 공정을 위한 반도체 박막 증착장치 |
FI110311B (fi) | 1999-07-20 | 2002-12-31 | Asm Microchemistry Oy | Menetelmä ja laitteisto aineiden poistamiseksi kaasuista |
KR20010017820A (ko) | 1999-08-14 | 2001-03-05 | 윤종용 | 반도체 소자 및 그 제조방법 |
US6328871B1 (en) | 1999-08-16 | 2001-12-11 | Applied Materials, Inc. | Barrier layer for electroplating processes |
KR200167993Y1 (ko) | 1999-08-17 | 2000-02-15 | 박함규 | 자수기의 윗실 공급장치 |
US6984415B2 (en) * | 1999-08-20 | 2006-01-10 | International Business Machines Corporation | Delivery systems for gases for gases via the sublimation of solid precursors |
US6391785B1 (en) | 1999-08-24 | 2002-05-21 | Interuniversitair Microelektronica Centrum (Imec) | Method for bottomless deposition of barrier layers in integrated circuit metallization schemes |
EP1081751A3 (en) * | 1999-09-02 | 2003-03-19 | Applied Materials, Inc. | Methods of pre-cleaning dielectric layers of substrates |
EP1207967B1 (de) | 1999-09-03 | 2003-08-27 | ABB Fläkt Aktiebolag | Verfahren zum ein- und ausbringen von werkstücken in bzw. aus einem oberflächenbehandlungsbereich, oberflächenbehandlungsvorrichtung und anlage zur oberflächenbehandlung |
US6184138B1 (en) * | 1999-09-07 | 2001-02-06 | Chartered Semiconductor Manufacturing Ltd. | Method to create a controllable and reproducible dual copper damascene structure |
US6511539B1 (en) * | 1999-09-08 | 2003-01-28 | Asm America, Inc. | Apparatus and method for growth of a thin film |
US6383330B1 (en) * | 1999-09-10 | 2002-05-07 | Asm America, Inc. | Quartz wafer processing chamber |
US6610151B1 (en) | 1999-10-02 | 2003-08-26 | Uri Cohen | Seed layers for interconnects and methods and apparatus for their fabrication |
DE10049257B4 (de) | 1999-10-06 | 2015-05-13 | Samsung Electronics Co., Ltd. | Verfahren zur Dünnfilmerzeugung mittels atomarer Schichtdeposition |
FI117942B (fi) | 1999-10-14 | 2007-04-30 | Asm Int | Menetelmä oksidiohutkalvojen kasvattamiseksi |
US6902763B1 (en) | 1999-10-15 | 2005-06-07 | Asm International N.V. | Method for depositing nanolaminate thin films on sensitive surfaces |
US6475276B1 (en) * | 1999-10-15 | 2002-11-05 | Asm Microchemistry Oy | Production of elemental thin films using a boron-containing reducing agent |
KR100737901B1 (ko) | 1999-10-15 | 2007-07-10 | 에이에스엠 인터내셔널 엔.브이. | 민감한 표면에 나노적층박막을 증착하는 방법 |
ATE247632T1 (de) | 1999-10-15 | 2003-09-15 | Lonza Ag | Verfahren zur herstellung von cyanessigsäureestern |
DE60028394T2 (de) | 1999-10-15 | 2007-03-29 | Asm International N.V. | Konforme auskleidungsschichten für damaszenmetallisierungen |
US6203613B1 (en) * | 1999-10-19 | 2001-03-20 | International Business Machines Corporation | Atomic layer deposition with nitrate containing precursors |
KR100304714B1 (ko) | 1999-10-20 | 2001-11-02 | 윤종용 | 금속 할로겐 가스를 사용한 반도체 소자의 금속 박막 형성방법 |
TW468212B (en) | 1999-10-25 | 2001-12-11 | Motorola Inc | Method for fabricating a semiconductor structure including a metal oxide interface with silicon |
US6548112B1 (en) | 1999-11-18 | 2003-04-15 | Tokyo Electron Limited | Apparatus and method for delivery of precursor vapor from low vapor pressure liquid sources to a CVD chamber |
KR20010047128A (ko) | 1999-11-18 | 2001-06-15 | 이경수 | 액체원료 기화방법 및 그에 사용되는 장치 |
US6558509B2 (en) * | 1999-11-30 | 2003-05-06 | Applied Materials, Inc. | Dual wafer load lock |
FI118804B (fi) | 1999-12-03 | 2008-03-31 | Asm Int | Menetelmä oksidikalvojen kasvattamiseksi |
US6780704B1 (en) | 1999-12-03 | 2004-08-24 | Asm International Nv | Conformal thin films over textured capacitor electrodes |
KR100364257B1 (ko) * | 1999-12-06 | 2002-12-11 | 삼성전자 주식회사 | 텅스텐 화학 기상 퇴적방법 및 텅스텐 플러그 형성방법 |
KR100330749B1 (ko) | 1999-12-17 | 2002-04-03 | 서성기 | 반도체 박막증착장치 |
KR100624903B1 (ko) | 1999-12-22 | 2006-09-19 | 주식회사 하이닉스반도체 | 반도체 소자의 캐패시터 제조방법 |
KR100705926B1 (ko) * | 1999-12-22 | 2007-04-11 | 주식회사 하이닉스반도체 | 반도체 소자의 캐패시터 제조방법 |
FI118474B (fi) | 1999-12-28 | 2007-11-30 | Asm Int | Laite ohutkalvojen valmistamiseksi |
FI118343B (fi) | 1999-12-28 | 2007-10-15 | Asm Int | Laite ohutkalvojen valmistamiseksi |
KR100390951B1 (ko) | 1999-12-29 | 2003-07-10 | 주식회사 하이닉스반도체 | 반도체 소자의 구리 배선 형성 방법 |
JP4817210B2 (ja) | 2000-01-06 | 2011-11-16 | 東京エレクトロン株式会社 | 成膜装置および成膜方法 |
FI20000099A0 (fi) | 2000-01-18 | 2000-01-18 | Asm Microchemistry Ltd | Menetelmä metalliohutkalvojen kasvattamiseksi |
US6277249B1 (en) | 2000-01-21 | 2001-08-21 | Applied Materials Inc. | Integrated process for copper via filling using a magnetron and target producing highly energetic ions |
JP4362919B2 (ja) | 2000-02-04 | 2009-11-11 | 株式会社デンソー | 原子層エピタキシャル成長法による成膜方法 |
JP4776054B2 (ja) | 2000-02-04 | 2011-09-21 | 株式会社デンソー | 原子層成長による薄膜形成方法 |
KR100378871B1 (ko) | 2000-02-16 | 2003-04-07 | 주식회사 아펙스 | 라디칼 증착을 위한 샤워헤드장치 |
US6492283B2 (en) | 2000-02-22 | 2002-12-10 | Asm Microchemistry Oy | Method of forming ultrathin oxide layer |
JP4211185B2 (ja) | 2000-02-29 | 2009-01-21 | 株式会社デンソー | Cvd,ale装置用ガラス基板収納治具 |
AU2001245388A1 (en) * | 2000-03-07 | 2001-09-17 | Asm America, Inc. | Graded thin films |
JP3423665B2 (ja) * | 2000-03-31 | 2003-07-07 | 理想科学工業株式会社 | 領域判別方法および装置 |
JP4556282B2 (ja) | 2000-03-31 | 2010-10-06 | 株式会社デンソー | 有機el素子およびその製造方法 |
US7060132B2 (en) | 2000-04-14 | 2006-06-13 | Asm International N.V. | Method and apparatus of growing a thin film |
TW576873B (en) | 2000-04-14 | 2004-02-21 | Asm Int | Method of growing a thin film onto a substrate |
TW496907B (en) | 2000-04-14 | 2002-08-01 | Asm Microchemistry Oy | Method and apparatus of growing a thin film onto a substrate |
FI117979B (fi) * | 2000-04-14 | 2007-05-15 | Asm Int | Menetelmä oksidiohutkalvojen valmistamiseksi |
KR100363088B1 (ko) * | 2000-04-20 | 2002-12-02 | 삼성전자 주식회사 | 원자층 증착방법을 이용한 장벽 금속막의 제조방법 |
TW508658B (en) * | 2000-05-15 | 2002-11-01 | Asm Microchemistry Oy | Process for producing integrated circuits |
US6482733B2 (en) | 2000-05-15 | 2002-11-19 | Asm Microchemistry Oy | Protective layers prior to alternating layer deposition |
US6759325B2 (en) | 2000-05-15 | 2004-07-06 | Asm Microchemistry Oy | Sealing porous structures |
JP2001328900A (ja) | 2000-05-15 | 2001-11-27 | Denso Corp | 薄膜の形成方法 |
FI118805B (fi) | 2000-05-15 | 2008-03-31 | Asm Int | Menetelmä ja kokoonpano kaasufaasireaktantin syöttämiseksi reaktiokammioon |
KR100427423B1 (ko) * | 2000-05-25 | 2004-04-13 | 가부시키가이샤 고베 세이코쇼 | Cvd용 인너튜브 |
KR100647442B1 (ko) | 2000-06-07 | 2006-11-17 | 주성엔지니어링(주) | 원자층 증착법을 이용한 박막 형성방법 |
KR100403611B1 (ko) * | 2000-06-07 | 2003-11-01 | 삼성전자주식회사 | 금속-절연체-금속 구조의 커패시터 및 그 제조방법 |
US7253076B1 (en) * | 2000-06-08 | 2007-08-07 | Micron Technologies, Inc. | Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers |
EP2293322A1 (en) * | 2000-06-08 | 2011-03-09 | Genitech, Inc. | Method for forming a metal nitride layer |
KR100387255B1 (ko) * | 2000-06-20 | 2003-06-11 | 주식회사 하이닉스반도체 | 반도체 소자의 금속 배선 형성 방법 |
KR100332314B1 (ko) * | 2000-06-24 | 2002-04-12 | 서성기 | 박막증착용 반응용기 |
KR100332313B1 (ko) | 2000-06-24 | 2002-04-12 | 서성기 | Ald 박막증착장치 및 증착방법 |
US6620723B1 (en) | 2000-06-27 | 2003-09-16 | Applied Materials, Inc. | Formation of boride barrier layers using chemisorption techniques |
US6551929B1 (en) * | 2000-06-28 | 2003-04-22 | Applied Materials, Inc. | Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques |
US6936538B2 (en) * | 2001-07-16 | 2005-08-30 | Applied Materials, Inc. | Method and apparatus for depositing tungsten after surface treatment to improve film characteristics |
US6818250B2 (en) * | 2000-06-29 | 2004-11-16 | The Regents Of The University Of Colorado | Method for forming SIO2 by chemical vapor deposition at room temperature |
US6585823B1 (en) | 2000-07-07 | 2003-07-01 | Asm International, N.V. | Atomic layer deposition |
US6592942B1 (en) | 2000-07-07 | 2003-07-15 | Asm International N.V. | Method for vapour deposition of a film onto a substrate |
FI20001694A0 (fi) | 2000-07-20 | 2000-07-20 | Asm Microchemistry Oy | Menetelmä ohutkalvon kasvattamiseksi substraatille |
KR100444149B1 (ko) * | 2000-07-22 | 2004-08-09 | 주식회사 아이피에스 | Ald 박막증착설비용 클리닝방법 |
US6368954B1 (en) * | 2000-07-28 | 2002-04-09 | Advanced Micro Devices, Inc. | Method of copper interconnect formation using atomic layer copper deposition |
KR100630666B1 (ko) | 2000-08-09 | 2006-10-02 | 삼성전자주식회사 | 금속 콘택 및 커패시터를 포함하는 반도체 소자 제조방법 |
KR100396879B1 (ko) * | 2000-08-11 | 2003-09-02 | 삼성전자주식회사 | 동일 물질로 이루어진 이중막을 포함하는 다중막으로캡슐화된 캐패시터를 구비한 반도체 메모리 소자 및 그의제조 방법 |
US6302965B1 (en) | 2000-08-15 | 2001-10-16 | Applied Materials, Inc. | Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces |
JP4013022B2 (ja) | 2000-09-13 | 2007-11-28 | 日産自動車株式会社 | ジェットポンプ |
JP4304854B2 (ja) * | 2000-09-21 | 2009-07-29 | 宇部興産株式会社 | 多層ポリイミドフィルムおよび積層体 |
DE10064944A1 (de) * | 2000-09-22 | 2002-04-11 | Aixtron Ag | Verfahren zum Abscheiden von insbesondere kristallinen Schichten, Gaseinlassorgan sowie Vorrichtung zur Durchführung des Verfahrens |
US6685823B2 (en) * | 2000-10-16 | 2004-02-03 | Uniroyal Chemical Company, Inc. | C-nitrosoaniline compounds and their blends as polymerization inhibitors |
US6428847B1 (en) * | 2000-10-16 | 2002-08-06 | Primaxx, Inc. | Vortex based CVD reactor |
US6498091B1 (en) | 2000-11-01 | 2002-12-24 | Applied Materials, Inc. | Method of using a barrier sputter reactor to remove an underlying barrier layer |
KR100436941B1 (ko) | 2000-11-07 | 2004-06-23 | 주성엔지니어링(주) | 박막 증착 장치 및 그 방법 |
US6355561B1 (en) | 2000-11-21 | 2002-03-12 | Micron Technology, Inc. | ALD method to improve surface coverage |
US6613695B2 (en) | 2000-11-24 | 2003-09-02 | Asm America, Inc. | Surface preparation prior to deposition |
WO2002045167A2 (en) | 2000-11-30 | 2002-06-06 | Asm International N.V. | Thin films for magnetic devices |
KR100385947B1 (ko) | 2000-12-06 | 2003-06-02 | 삼성전자주식회사 | 원자층 증착 방법에 의한 박막 형성 방법 |
US6949450B2 (en) * | 2000-12-06 | 2005-09-27 | Novellus Systems, Inc. | Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber |
US6416822B1 (en) | 2000-12-06 | 2002-07-09 | Angstrom Systems, Inc. | Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD) |
US6878402B2 (en) | 2000-12-06 | 2005-04-12 | Novellus Systems, Inc. | Method and apparatus for improved temperature control in atomic layer deposition |
WO2002045871A1 (en) | 2000-12-06 | 2002-06-13 | Angstron Systems, Inc. | System and method for modulated ion-induced atomic layer deposition (mii-ald) |
KR100386034B1 (ko) | 2000-12-06 | 2003-06-02 | 에이에스엠 마이크로케미스트리 리미티드 | 확산 방지막의 결정립계를 금속산화물로 충진한 구리 배선구조의 반도체 소자 제조 방법 |
US20020104481A1 (en) | 2000-12-06 | 2002-08-08 | Chiang Tony P. | System and method for modulated ion-induced atomic layer deposition (MII-ALD) |
US20020197402A1 (en) | 2000-12-06 | 2002-12-26 | Chiang Tony P. | System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD) |
US6428859B1 (en) | 2000-12-06 | 2002-08-06 | Angstron Systems, Inc. | Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD) |
US6368950B1 (en) * | 2000-12-12 | 2002-04-09 | Advanced Micro Devices, Inc. | Silicide gate transistors |
US20020076481A1 (en) * | 2000-12-15 | 2002-06-20 | Chiang Tony P. | Chamber pressure state-based control for a reactor |
US6630201B2 (en) | 2001-04-05 | 2003-10-07 | Angstron Systems, Inc. | Adsorption process for atomic layer deposition |
US20020073924A1 (en) * | 2000-12-15 | 2002-06-20 | Chiang Tony P. | Gas introduction system for a reactor |
US20020076507A1 (en) * | 2000-12-15 | 2002-06-20 | Chiang Tony P. | Process sequence for atomic layer deposition |
US6800173B2 (en) | 2000-12-15 | 2004-10-05 | Novellus Systems, Inc. | Variable gas conductance control for a process chamber |
TW554191B (en) * | 2000-12-16 | 2003-09-21 | Au Optronics Corp | Laminating structure and its forming method |
KR20020049875A (ko) * | 2000-12-20 | 2002-06-26 | 윤종용 | 반도체 메모리 소자의 강유전체 커패시터 및 그 제조방법 |
JP3963078B2 (ja) | 2000-12-25 | 2007-08-22 | 株式会社高純度化学研究所 | ターシャリーアミルイミドトリス(ジメチルアミド)タンタルとその製造方法及びそれを用いたmocvd用原料溶液並びにそれを用いた窒化タンタル膜の形成方法 |
KR20020056260A (ko) * | 2000-12-29 | 2002-07-10 | 박종섭 | 반도체 소자의 금속 게이트 형성방법 |
US20020086111A1 (en) * | 2001-01-03 | 2002-07-04 | Byun Jeong Soo | Method of forming refractory metal nitride layers using chemisorption techniques |
US20020127336A1 (en) | 2001-01-16 | 2002-09-12 | Applied Materials, Inc. | Method for growing thin films by catalytic enhancement |
KR100434487B1 (ko) | 2001-01-17 | 2004-06-05 | 삼성전자주식회사 | 샤워 헤드 및 이를 포함하는 박막 형성 장비 |
KR100400031B1 (ko) | 2001-01-17 | 2003-09-29 | 삼성전자주식회사 | 반도체 소자의 콘택 플러그 및 그 형성 방법 |
JP2002222934A (ja) | 2001-01-29 | 2002-08-09 | Nec Corp | 半導体装置およびその製造方法 |
US6844604B2 (en) | 2001-02-02 | 2005-01-18 | Samsung Electronics Co., Ltd. | Dielectric layer for semiconductor device and method of manufacturing the same |
US6951804B2 (en) * | 2001-02-02 | 2005-10-04 | Applied Materials, Inc. | Formation of a tantalum-nitride layer |
KR100400033B1 (ko) | 2001-02-08 | 2003-09-29 | 삼성전자주식회사 | 다층 배선 구조를 갖는 반도체 소자 및 그의 제조방법 |
KR100395766B1 (ko) | 2001-02-12 | 2003-08-25 | 삼성전자주식회사 | 강유전체 기억 소자 및 그 형성 방법 |
EP1421607A2 (en) * | 2001-02-12 | 2004-05-26 | ASM America, Inc. | Improved process for deposition of semiconductor films |
US6613656B2 (en) | 2001-02-13 | 2003-09-02 | Micron Technology, Inc. | Sequential pulse deposition |
US20020117399A1 (en) | 2001-02-23 | 2002-08-29 | Applied Materials, Inc. | Atomically thin highly resistive barrier layer in a copper via |
US20020121241A1 (en) | 2001-03-02 | 2002-09-05 | Nguyen Anh N. | Processing chamber and method of distributing process fluids therein to facilitate sequential deposition of films |
US6878206B2 (en) * | 2001-07-16 | 2005-04-12 | Applied Materials, Inc. | Lid assembly for a processing system to facilitate sequential deposition techniques |
US6660126B2 (en) | 2001-03-02 | 2003-12-09 | Applied Materials, Inc. | Lid assembly for a processing system to facilitate sequential deposition techniques |
US6734020B2 (en) | 2001-03-07 | 2004-05-11 | Applied Materials, Inc. | Valve control system for atomic layer deposition chamber |
FI109770B (fi) * | 2001-03-16 | 2002-10-15 | Asm Microchemistry Oy | Menetelmä metallinitridiohutkalvojen valmistamiseksi |
US7348042B2 (en) | 2001-03-19 | 2008-03-25 | Novellus Systems, Inc. | Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD) |
US6369430B1 (en) | 2001-04-02 | 2002-04-09 | Motorola, Inc. | Method of preventing two neighboring contacts from a short-circuit caused by a void between them and device having the same |
WO2002082554A1 (fr) | 2001-04-02 | 2002-10-17 | Matsushita Electric Industrial Co., Ltd. | Dispositif a semi-conducteur et son procede de fabrication |
US20020144657A1 (en) | 2001-04-05 | 2002-10-10 | Chiang Tony P. | ALD reactor employing electrostatic chuck |
US20020144655A1 (en) | 2001-04-05 | 2002-10-10 | Chiang Tony P. | Gas valve system for a reactor |
US6561498B2 (en) | 2001-04-09 | 2003-05-13 | Lorex Industries, Inc. | Bubbler for use in vapor generation systems |
US6420189B1 (en) | 2001-04-27 | 2002-07-16 | Advanced Micro Devices, Inc. | Superconducting damascene interconnected for integrated circuit |
US20030019428A1 (en) * | 2001-04-28 | 2003-01-30 | Applied Materials, Inc. | Chemical vapor deposition chamber |
US6447933B1 (en) | 2001-04-30 | 2002-09-10 | Advanced Micro Devices, Inc. | Formation of alloy material using alternating depositions of alloy doping element and bulk material |
KR100363332B1 (en) | 2001-05-23 | 2002-12-05 | Samsung Electronics Co Ltd | Method for forming semiconductor device having gate all-around type transistor |
TW530739U (en) * | 2001-05-26 | 2003-05-01 | Salida Industry Co Ltd | Improved structure for carpentry corner chiseling machine |
US6828218B2 (en) * | 2001-05-31 | 2004-12-07 | Samsung Electronics Co., Ltd. | Method of forming a thin film using atomic layer deposition |
US6528884B1 (en) * | 2001-06-01 | 2003-03-04 | Advanced Micro Devices, Inc. | Conformal atomic liner layer in an integrated circuit interconnect |
DE10128573A1 (de) | 2001-06-13 | 2003-01-02 | Infineon Technologies Ag | Verhindern der unerwünschten externen Erfassung von Operationen in integrierten Digitalschaltungen |
US6686278B2 (en) * | 2001-06-19 | 2004-02-03 | United Microelectronics Corp. | Method for forming a plug metal layer |
US6849545B2 (en) | 2001-06-20 | 2005-02-01 | Applied Materials, Inc. | System and method to form a composite film stack utilizing sequential deposition techniques |
US6861334B2 (en) * | 2001-06-21 | 2005-03-01 | Asm International, N.V. | Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition |
JP4680429B2 (ja) * | 2001-06-26 | 2011-05-11 | Okiセミコンダクタ株式会社 | テキスト音声変換装置における高速読上げ制御方法 |
TW539822B (en) | 2001-07-03 | 2003-07-01 | Asm Inc | Source chemical container assembly |
US20030198754A1 (en) | 2001-07-16 | 2003-10-23 | Ming Xi | Aluminum oxide chamber and process |
US7098131B2 (en) | 2001-07-19 | 2006-08-29 | Samsung Electronics Co., Ltd. | Methods for forming atomic layers and thin films including tantalum nitride and devices including the same |
US20030017697A1 (en) | 2001-07-19 | 2003-01-23 | Kyung-In Choi | Methods of forming metal layers using metallic precursors |
US7105444B2 (en) | 2001-07-19 | 2006-09-12 | Samsung Electronics Co., Ltd. | Method for forming a wiring of a semiconductor device, method for forming a metal layer of a semiconductor device and apparatus for performing the same |
WO2003030224A2 (en) | 2001-07-25 | 2003-04-10 | Applied Materials, Inc. | Barrier formation using novel sputter-deposition method |
US20030029715A1 (en) * | 2001-07-25 | 2003-02-13 | Applied Materials, Inc. | An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems |
US7085616B2 (en) | 2001-07-27 | 2006-08-01 | Applied Materials, Inc. | Atomic layer deposition apparatus |
US6820570B2 (en) | 2001-08-15 | 2004-11-23 | Nobel Biocare Services Ag | Atomic layer deposition reactor |
US6548906B2 (en) * | 2001-08-22 | 2003-04-15 | Agere Systems Inc. | Method for reducing a metal seam in an interconnect structure and a device manufactured thereby |
US6806145B2 (en) * | 2001-08-31 | 2004-10-19 | Asm International, N.V. | Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer |
US20030042630A1 (en) * | 2001-09-05 | 2003-03-06 | Babcoke Jason E. | Bubbler for gas delivery |
US6635544B2 (en) | 2001-09-07 | 2003-10-21 | Power Intergrations, Inc. | Method of fabricating a high-voltage transistor with a multi-layered extended drain structure |
WO2003025243A2 (en) * | 2001-09-14 | 2003-03-27 | Asm International N.V. | Metal nitride deposition by ald using gettering reactant |
US6718126B2 (en) * | 2001-09-14 | 2004-04-06 | Applied Materials, Inc. | Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition |
US20030049931A1 (en) * | 2001-09-19 | 2003-03-13 | Applied Materials, Inc. | Formation of refractory metal nitrides using chemisorption techniques |
KR20030025494A (ko) | 2001-09-21 | 2003-03-29 | 삼성전자주식회사 | 루테늄막과 금속층간의 콘택을 포함하는 반도체 장치 및그의 제조 방법 |
US6607976B2 (en) | 2001-09-25 | 2003-08-19 | Applied Materials, Inc. | Copper interconnect barrier layer structure and formation method |
US7049226B2 (en) | 2001-09-26 | 2006-05-23 | Applied Materials, Inc. | Integration of ALD tantalum nitride for copper metallization |
US6936906B2 (en) * | 2001-09-26 | 2005-08-30 | Applied Materials, Inc. | Integration of barrier layer and seed layer |
US20030057526A1 (en) * | 2001-09-26 | 2003-03-27 | Applied Materials, Inc. | Integration of barrier layer and seed layer |
US20030059538A1 (en) * | 2001-09-26 | 2003-03-27 | Applied Materials, Inc. | Integration of barrier layer and seed layer |
US6960537B2 (en) * | 2001-10-02 | 2005-11-01 | Asm America, Inc. | Incorporation of nitrogen into high k dielectric film |
US6797108B2 (en) | 2001-10-05 | 2004-09-28 | Applied Materials, Inc. | Apparatus and method for evenly flowing processing gas onto a semiconductor wafer |
TW589684B (en) | 2001-10-10 | 2004-06-01 | Applied Materials Inc | Method for depositing refractory metal layers employing sequential deposition techniques |
TW512504B (en) | 2001-10-12 | 2002-12-01 | Advanced Semiconductor Eng | Package substrate having protruded and recessed side edge |
US20030072884A1 (en) * | 2001-10-15 | 2003-04-17 | Applied Materials, Inc. | Method of titanium and titanium nitride layer deposition |
US7780785B2 (en) * | 2001-10-26 | 2010-08-24 | Applied Materials, Inc. | Gas delivery apparatus for atomic layer deposition |
US7780789B2 (en) | 2001-10-26 | 2010-08-24 | Applied Materials, Inc. | Vortex chamber lids for atomic layer deposition |
US6916398B2 (en) * | 2001-10-26 | 2005-07-12 | Applied Materials, Inc. | Gas delivery apparatus and method for atomic layer deposition |
US20080102203A1 (en) | 2001-10-26 | 2008-05-01 | Dien-Yeh Wu | Vortex chamber lids for atomic layer deposition |
US20080102208A1 (en) | 2001-10-26 | 2008-05-01 | Dien-Yeh Wu | Vortex chamber lids for atomic layer deposition |
US6902624B2 (en) | 2001-10-29 | 2005-06-07 | Genus, Inc. | Massively parallel atomic layer deposition/chemical vapor deposition system |
US6743681B2 (en) * | 2001-11-09 | 2004-06-01 | Micron Technology, Inc. | Methods of Fabricating Gate and Storage Dielectric Stacks having Silicon-Rich-Nitride |
US6620956B2 (en) * | 2001-11-16 | 2003-09-16 | Applied Materials, Inc. | Nitrogen analogs of copper II β-diketonates as source reagents for semiconductor processing |
US6423619B1 (en) | 2001-11-30 | 2002-07-23 | Motorola, Inc. | Transistor metal gate structure that minimizes non-planarity effects and method of formation |
US6773507B2 (en) * | 2001-12-06 | 2004-08-10 | Applied Materials, Inc. | Apparatus and method for fast-cycle atomic layer deposition |
US7081271B2 (en) | 2001-12-07 | 2006-07-25 | Applied Materials, Inc. | Cyclical deposition of refractory metal silicon nitride |
US6729824B2 (en) * | 2001-12-14 | 2004-05-04 | Applied Materials, Inc. | Dual robot processing system |
US20030116087A1 (en) * | 2001-12-21 | 2003-06-26 | Nguyen Anh N. | Chamber hardware design for titanium nitride atomic layer deposition |
US6939801B2 (en) | 2001-12-21 | 2005-09-06 | Applied Materials, Inc. | Selective deposition of a barrier layer on a dielectric material |
US6560111B1 (en) * | 2001-12-28 | 2003-05-06 | Fang Tien Huang | Bracket for CPU cooler |
US6674138B1 (en) | 2001-12-31 | 2004-01-06 | Advanced Micro Devices, Inc. | Use of high-k dielectric materials in modified ONO structure for semiconductor devices |
US6827815B2 (en) | 2002-01-15 | 2004-12-07 | Applied Materials, Inc. | Showerhead assembly for a processing chamber |
AU2003238853A1 (en) * | 2002-01-25 | 2003-09-02 | Applied Materials, Inc. | Apparatus for cyclical deposition of thin films |
US6911391B2 (en) | 2002-01-26 | 2005-06-28 | Applied Materials, Inc. | Integration of titanium and titanium nitride layers |
US6998014B2 (en) * | 2002-01-26 | 2006-02-14 | Applied Materials, Inc. | Apparatus and method for plasma assisted deposition |
US6866746B2 (en) | 2002-01-26 | 2005-03-15 | Applied Materials, Inc. | Clamshell and small volume chamber with fixed substrate support |
US6824816B2 (en) | 2002-01-29 | 2004-11-30 | Asm International N.V. | Process for producing metal thin films by ALD |
US7063981B2 (en) | 2002-01-30 | 2006-06-20 | Asm International N.V. | Active pulse monitoring in a chemical reactor |
US6777352B2 (en) | 2002-02-11 | 2004-08-17 | Applied Materials, Inc. | Variable flow deposition apparatus and method in semiconductor substrate processing |
US6972267B2 (en) * | 2002-03-04 | 2005-12-06 | Applied Materials, Inc. | Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor |
US6753618B2 (en) | 2002-03-11 | 2004-06-22 | Micron Technology, Inc. | MIM capacitor with metal nitride electrode materials and method of formation |
US20030216981A1 (en) | 2002-03-12 | 2003-11-20 | Michael Tillman | Method and system for hosting centralized online point-of-sale activities for a plurality of distributed customers and vendors |
TW552501B (en) | 2002-03-22 | 2003-09-11 | Taiwan Semiconductor Mfg | Version recording and tracking method |
US6846516B2 (en) * | 2002-04-08 | 2005-01-25 | Applied Materials, Inc. | Multiple precursor cyclical deposition system |
US6720027B2 (en) | 2002-04-08 | 2004-04-13 | Applied Materials, Inc. | Cyclical deposition of a variable content titanium silicon nitride layer |
US6875271B2 (en) | 2002-04-09 | 2005-04-05 | Applied Materials, Inc. | Simultaneous cyclical deposition in different processing regions |
US7279432B2 (en) | 2002-04-16 | 2007-10-09 | Applied Materials, Inc. | System and method for forming an integrated barrier layer |
US6932871B2 (en) | 2002-04-16 | 2005-08-23 | Applied Materials, Inc. | Multi-station deposition apparatus and method |
US20030235961A1 (en) | 2002-04-17 | 2003-12-25 | Applied Materials, Inc. | Cyclical sequential deposition of multicomponent films |
US6778762B1 (en) | 2002-04-17 | 2004-08-17 | Novellus Systems, Inc. | Sloped chamber top for substrate processing |
US6659543B2 (en) | 2002-04-18 | 2003-12-09 | 9110-3309 Quebec Inc. | Convertible bench and table assembly |
US20030213560A1 (en) | 2002-05-16 | 2003-11-20 | Yaxin Wang | Tandem wafer processing system and process |
US7164165B2 (en) * | 2002-05-16 | 2007-01-16 | Micron Technology, Inc. | MIS capacitor |
KR100505043B1 (ko) | 2002-05-25 | 2005-07-29 | 삼성전자주식회사 | 커패시터 형성 방법 |
US7404985B2 (en) | 2002-06-04 | 2008-07-29 | Applied Materials, Inc. | Noble metal layer formation for copper film deposition |
US7910165B2 (en) | 2002-06-04 | 2011-03-22 | Applied Materials, Inc. | Ruthenium layer formation for copper film deposition |
US7041335B2 (en) | 2002-06-04 | 2006-05-09 | Applied Materials, Inc. | Titanium tantalum nitride silicide layer |
US7264846B2 (en) | 2002-06-04 | 2007-09-04 | Applied Materials, Inc. | Ruthenium layer formation for copper film deposition |
US7183604B2 (en) * | 2002-06-10 | 2007-02-27 | Interuniversitair Microelektronica Centrum (Imec Vzw) | High dielectric constant device |
US7067439B2 (en) * | 2002-06-14 | 2006-06-27 | Applied Materials, Inc. | ALD metal oxide deposition process using direct oxidation |
KR100476926B1 (ko) * | 2002-07-02 | 2005-03-17 | 삼성전자주식회사 | 반도체 소자의 듀얼 게이트 형성방법 |
US6838125B2 (en) * | 2002-07-10 | 2005-01-04 | Applied Materials, Inc. | Method of film deposition using activated precursor gases |
WO2004008491A2 (en) | 2002-07-15 | 2004-01-22 | Aviza Technology, Inc. | Thermal processing system and configurable vertical chamber |
US20040013803A1 (en) * | 2002-07-16 | 2004-01-22 | Applied Materials, Inc. | Formation of titanium nitride films using a cyclical deposition process |
US7186385B2 (en) | 2002-07-17 | 2007-03-06 | Applied Materials, Inc. | Apparatus for providing gas to a processing chamber |
US7524374B2 (en) | 2002-07-17 | 2009-04-28 | Applied Materials, Inc. | Method and apparatus for generating a precursor for a semiconductor processing system |
US7081409B2 (en) | 2002-07-17 | 2006-07-25 | Samsung Electronics Co., Ltd. | Methods of producing integrated circuit devices utilizing tantalum amine derivatives |
US6955211B2 (en) * | 2002-07-17 | 2005-10-18 | Applied Materials, Inc. | Method and apparatus for gas temperature control in a semiconductor processing system |
US7066194B2 (en) | 2002-07-19 | 2006-06-27 | Applied Materials, Inc. | Valve design and configuration for fast delivery system |
KR100468852B1 (ko) * | 2002-07-20 | 2005-01-29 | 삼성전자주식회사 | 캐패시터 구조체 형성 방법 |
US6772072B2 (en) * | 2002-07-22 | 2004-08-03 | Applied Materials, Inc. | Method and apparatus for monitoring solid precursor delivery |
US7300038B2 (en) * | 2002-07-23 | 2007-11-27 | Advanced Technology Materials, Inc. | Method and apparatus to help promote contact of gas with vaporized material |
US6921062B2 (en) * | 2002-07-23 | 2005-07-26 | Advanced Technology Materials, Inc. | Vaporizer delivery ampoule |
TWI230544B (en) * | 2002-07-25 | 2005-04-01 | Veutron Corp | Light source control method and apparatus of image scanner |
US6915592B2 (en) * | 2002-07-29 | 2005-07-12 | Applied Materials, Inc. | Method and apparatus for generating gas to a processing chamber |
KR100542736B1 (ko) * | 2002-08-17 | 2006-01-11 | 삼성전자주식회사 | 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법 |
US7222636B2 (en) | 2002-08-20 | 2007-05-29 | Applied Materials, Inc. | Electronically actuated valve |
US6958300B2 (en) * | 2002-08-28 | 2005-10-25 | Micron Technology, Inc. | Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides |
US6790773B1 (en) | 2002-08-28 | 2004-09-14 | Novellus Systems, Inc. | Process for forming barrier/seed structures for integrated circuits |
JP3925360B2 (ja) * | 2002-08-30 | 2007-06-06 | ブリヂストンスポーツ株式会社 | ゴルフクラブヘッド |
US6875678B2 (en) * | 2002-09-10 | 2005-04-05 | Samsung Electronics Co., Ltd. | Post thermal treatment methods of forming high dielectric layers in integrated circuit devices |
US6784096B2 (en) | 2002-09-11 | 2004-08-31 | Applied Materials, Inc. | Methods and apparatus for forming barrier layers in high aspect ratio vias |
JP2004111447A (ja) * | 2002-09-13 | 2004-04-08 | Handotai Rikougaku Kenkyu Center:Kk | 半導体装置及びその製造方法 |
US6946033B2 (en) | 2002-09-16 | 2005-09-20 | Applied Materials Inc. | Heated gas distribution plate for a processing chamber |
US6759286B2 (en) * | 2002-09-16 | 2004-07-06 | Ajay Kumar | Method of fabricating a gate structure of a field effect transistor using a hard mask |
US6821563B2 (en) | 2002-10-02 | 2004-11-23 | Applied Materials, Inc. | Gas distribution system for cyclical layer deposition |
US20040065255A1 (en) | 2002-10-02 | 2004-04-08 | Applied Materials, Inc. | Cyclical layer deposition system |
US20040069227A1 (en) | 2002-10-09 | 2004-04-15 | Applied Materials, Inc. | Processing chamber configured for uniform gas flow |
US6905737B2 (en) * | 2002-10-11 | 2005-06-14 | Applied Materials, Inc. | Method of delivering activated species for rapid cyclical deposition |
US6716287B1 (en) | 2002-10-18 | 2004-04-06 | Applied Materials Inc. | Processing chamber with flow-restricting ring |
EP1420080A3 (en) * | 2002-11-14 | 2005-11-09 | Applied Materials, Inc. | Apparatus and method for hybrid chemical deposition processes |
US7262133B2 (en) | 2003-01-07 | 2007-08-28 | Applied Materials, Inc. | Enhancement of copper line reliability using thin ALD tan film to cap the copper line |
US7244683B2 (en) | 2003-01-07 | 2007-07-17 | Applied Materials, Inc. | Integration of ALD/CVD barriers with porous low k materials |
US6994319B2 (en) * | 2003-01-29 | 2006-02-07 | Applied Materials, Inc. | Membrane gas valve for pulsing a gas |
US6868859B2 (en) | 2003-01-29 | 2005-03-22 | Applied Materials, Inc. | Rotary gas valve for pulsing a gas |
US6818094B2 (en) | 2003-01-29 | 2004-11-16 | Applied Materials, Inc. | Reciprocating gas valve for pulsing a gas |
US7442415B2 (en) | 2003-04-11 | 2008-10-28 | Sharp Laboratories Of America, Inc. | Modulated temperature method of atomic layer deposition (ALD) of high dielectric constant films |
TW200506093A (en) | 2003-04-21 | 2005-02-16 | Aviza Tech Inc | System and method for forming multi-component films |
US20050070126A1 (en) | 2003-04-21 | 2005-03-31 | Yoshihide Senzaki | System and method for forming multi-component dielectric films |
US6919250B2 (en) * | 2003-05-21 | 2005-07-19 | Advanced Micro Devices, Inc. | Multiple-gate MOS device and method for making the same |
JP5342110B2 (ja) | 2003-05-27 | 2013-11-13 | アプライド マテリアルズ インコーポレイテッド | 前駆物質を含むソースキャニスタ及びこれを用いて特徴部を充填する方法 |
US6911093B2 (en) | 2003-06-02 | 2005-06-28 | Lsi Logic Corporation | Lid liner for chemical vapor deposition chamber |
US6881437B2 (en) | 2003-06-16 | 2005-04-19 | Blue29 Llc | Methods and system for processing a microelectronic topography |
JP2007523994A (ja) * | 2003-06-18 | 2007-08-23 | アプライド マテリアルズ インコーポレイテッド | バリヤ物質の原子層堆積 |
JP4087323B2 (ja) | 2003-09-25 | 2008-05-21 | 株式会社東芝 | 液体タンクおよび燃料電池 |
US7408225B2 (en) | 2003-10-09 | 2008-08-05 | Asm Japan K.K. | Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms |
US8536492B2 (en) * | 2003-10-27 | 2013-09-17 | Applied Materials, Inc. | Processing multilayer semiconductors with multiple heat sources |
US20050095859A1 (en) | 2003-11-03 | 2005-05-05 | Applied Materials, Inc. | Precursor delivery system with rate control |
US20050104142A1 (en) | 2003-11-13 | 2005-05-19 | Vijav Narayanan | CVD tantalum compounds for FET get electrodes |
US20050153571A1 (en) | 2003-11-17 | 2005-07-14 | Yoshihide Senzaki | Nitridation of high-k dielectric films |
US6983892B2 (en) * | 2004-02-05 | 2006-01-10 | Applied Materials, Inc. | Gas distribution showerhead for semiconductor processing |
US7067422B2 (en) | 2004-03-31 | 2006-06-27 | Tokyo Electron Limited | Method of forming a tantalum-containing gate electrode structure |
US20050252449A1 (en) * | 2004-05-12 | 2005-11-17 | Nguyen Son T | Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system |
US8323754B2 (en) | 2004-05-21 | 2012-12-04 | Applied Materials, Inc. | Stabilization of high-k dielectric materials |
US20060153995A1 (en) | 2004-05-21 | 2006-07-13 | Applied Materials, Inc. | Method for fabricating a dielectric stack |
US20060062917A1 (en) | 2004-05-21 | 2006-03-23 | Shankar Muthukrishnan | Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane |
US20060019033A1 (en) * | 2004-05-21 | 2006-01-26 | Applied Materials, Inc. | Plasma treatment of hafnium-containing materials |
US7241686B2 (en) * | 2004-07-20 | 2007-07-10 | Applied Materials, Inc. | Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA |
WO2006055984A2 (en) | 2004-11-22 | 2006-05-26 | Applied Materials, Inc. | Substrate processing apparatus using a batch processing chamber |
US7429402B2 (en) | 2004-12-10 | 2008-09-30 | Applied Materials, Inc. | Ruthenium as an underlayer for tungsten film deposition |
US7265048B2 (en) | 2005-03-01 | 2007-09-04 | Applied Materials, Inc. | Reduction of copper dewetting by transition metal deposition |
US20070020890A1 (en) * | 2005-07-19 | 2007-01-25 | Applied Materials, Inc. | Method and apparatus for semiconductor processing |
US7317229B2 (en) * | 2005-07-20 | 2008-01-08 | Applied Materials, Inc. | Gate electrode structures and methods of manufacture |
US20070019371A1 (en) * | 2005-07-22 | 2007-01-25 | E-Lead Electronic Co., Ltd. | Multimedia display device attached to a sunroof of vehicles |
US7464917B2 (en) | 2005-10-07 | 2008-12-16 | Appiled Materials, Inc. | Ampoule splash guard apparatus |
US20070119371A1 (en) * | 2005-11-04 | 2007-05-31 | Paul Ma | Apparatus and process for plasma-enhanced atomic layer deposition |
DE102006014996A1 (de) | 2006-03-31 | 2007-10-04 | Robert Bosch Gmbh | Verfahren zum Betrieb einer Brennkraftmaschine |
-
2001
- 2001-12-21 US US10/032,284 patent/US6916398B2/en not_active Expired - Lifetime
-
2002
- 2002-07-10 US US10/193,333 patent/US20030082307A1/en not_active Abandoned
- 2002-07-18 US US10/199,415 patent/US20030082301A1/en not_active Abandoned
- 2002-10-25 KR KR10-2004-7006190A patent/KR20040058239A/ko not_active Application Discontinuation
- 2002-10-25 CN CNB2007101017141A patent/CN100524692C/zh not_active Expired - Lifetime
- 2002-10-25 CN CN028239032A patent/CN1774525B/zh not_active Expired - Lifetime
- 2002-10-25 US US10/281,386 patent/US20030124262A1/en not_active Abandoned
-
2005
- 2005-03-11 US US11/077,753 patent/US7780788B2/en not_active Expired - Fee Related
-
2006
- 2006-09-07 US US11/470,922 patent/US8318266B2/en not_active Expired - Fee Related
- 2006-09-07 US US11/470,915 patent/US8293328B2/en active Active
- 2006-10-16 US US11/549,941 patent/US20070099415A1/en not_active Abandoned
-
2007
- 2007-10-17 US US11/873,885 patent/US20080038463A1/en not_active Abandoned
-
2010
- 2010-06-10 US US12/797,999 patent/US8668776B2/en not_active Expired - Lifetime
Also Published As
Publication number | Publication date |
---|---|
US20050173068A1 (en) | 2005-08-11 |
US20070003698A1 (en) | 2007-01-04 |
US20030079686A1 (en) | 2003-05-01 |
US20070026147A1 (en) | 2007-02-01 |
US20070099415A1 (en) | 2007-05-03 |
CN101174577A (zh) | 2008-05-07 |
US20080038463A1 (en) | 2008-02-14 |
US20030082301A1 (en) | 2003-05-01 |
US20100247767A1 (en) | 2010-09-30 |
US7780788B2 (en) | 2010-08-24 |
US8668776B2 (en) | 2014-03-11 |
US20030082307A1 (en) | 2003-05-01 |
KR20040058239A (ko) | 2004-07-03 |
US6916398B2 (en) | 2005-07-12 |
CN1774525B (zh) | 2010-06-16 |
US8293328B2 (en) | 2012-10-23 |
US8318266B2 (en) | 2012-11-27 |
US20030124262A1 (en) | 2003-07-03 |
CN1774525A (zh) | 2006-05-17 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN100524692C (zh) | 在衬底上形成金属互连的方法 | |
US7244683B2 (en) | Integration of ALD/CVD barriers with porous low k materials | |
US7595263B2 (en) | Atomic layer deposition of barrier materials | |
US6924223B2 (en) | Method of forming a metal layer using an intermittent precursor gas flow process | |
JP4925558B2 (ja) | ハイブリッド化学処理装置 | |
US7507660B2 (en) | Deposition processes for tungsten-containing barrier layers | |
KR100974114B1 (ko) | 내화 금속 실리콘 나이트라이드의 주기적 증착 | |
CN1319146C (zh) | 作为用于铜金属化的阻挡层的原子层沉积氮化钽和α相钽 | |
US20030190497A1 (en) | Cyclical deposition of a variable content titanium silicon nitride layer | |
US7666474B2 (en) | Plasma-enhanced pulsed deposition of metal carbide films | |
US20040077183A1 (en) | Titanium tantalum nitride silicide layer | |
WO2008055007A2 (en) | Methods of fabricating a barrier layer with varying composition for copper metallization | |
CN100593235C (zh) | 用于铜金属化的ald氮化钽的集成 | |
JP2007507613A (ja) | シーケンシャル流量堆積を使用して金属層を堆積させる方法。 | |
JP2003109914A (ja) | 金属層の形成方法、半導体装置の製造方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C14 | Grant of patent or utility model | ||
GR01 | Patent grant | ||
CX01 | Expiry of patent term |
Granted publication date: 20090805 |
|
CX01 | Expiry of patent term |