US20030082301A1 - Enhanced copper growth with ultrathin barrier layer for high performance interconnects - Google Patents
Enhanced copper growth with ultrathin barrier layer for high performance interconnects Download PDFInfo
- Publication number
- US20030082301A1 US20030082301A1 US10/199,415 US19941502A US2003082301A1 US 20030082301 A1 US20030082301 A1 US 20030082301A1 US 19941502 A US19941502 A US 19941502A US 2003082301 A1 US2003082301 A1 US 2003082301A1
- Authority
- US
- United States
- Prior art keywords
- containing compound
- pulse
- metal
- layer
- nitrogen
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Abandoned
Links
- 230000004888 barrier function Effects 0.000 title claims abstract description 57
- 230000012010 growth Effects 0.000 title claims abstract description 10
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 title claims description 43
- 239000010949 copper Substances 0.000 title claims description 35
- 229910052802 copper Inorganic materials 0.000 title claims description 35
- 238000000034 method Methods 0.000 claims abstract description 68
- 150000001875 compounds Chemical class 0.000 claims abstract description 66
- 229910052751 metal Inorganic materials 0.000 claims abstract description 51
- 239000002184 metal Substances 0.000 claims abstract description 51
- 239000003870 refractory metal Substances 0.000 claims abstract description 39
- 150000004767 nitrides Chemical class 0.000 claims abstract description 30
- 238000000151 deposition Methods 0.000 claims abstract description 28
- -1 nitrogen-containing compound Chemical class 0.000 claims abstract description 27
- 239000007789 gas Substances 0.000 claims description 57
- 239000000758 substrate Substances 0.000 claims description 45
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 claims description 40
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 claims description 34
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 22
- 229910052786 argon Inorganic materials 0.000 claims description 20
- 229910021529 ammonia Inorganic materials 0.000 claims description 17
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 claims description 15
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 13
- 229910052715 tantalum Inorganic materials 0.000 claims description 11
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 claims description 10
- 239000001307 helium Substances 0.000 claims description 10
- 229910052734 helium Inorganic materials 0.000 claims description 10
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 claims description 10
- 229910000077 silane Inorganic materials 0.000 claims description 10
- 239000002210 silicon-based material Substances 0.000 claims description 10
- 229910052757 nitrogen Inorganic materials 0.000 claims description 9
- MNWRORMXBIWXCI-UHFFFAOYSA-N tetrakis(dimethylamido)titanium Chemical compound CN(C)[Ti](N(C)C)(N(C)C)N(C)C MNWRORMXBIWXCI-UHFFFAOYSA-N 0.000 claims description 9
- OAKJQQAXSVQMHS-UHFFFAOYSA-N Hydrazine Chemical compound NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 claims description 8
- UGACIEPFGXRWCH-UHFFFAOYSA-N [Si].[Ti] Chemical compound [Si].[Ti] UGACIEPFGXRWCH-UHFFFAOYSA-N 0.000 claims description 7
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 6
- JUOJXNAVZADLAJ-UHFFFAOYSA-N bis(2-methylpropyl)diazene Chemical compound CC(C)CN=NCC(C)C JUOJXNAVZADLAJ-UHFFFAOYSA-N 0.000 claims description 4
- 239000012159 carrier gas Substances 0.000 claims description 4
- 238000004140 cleaning Methods 0.000 claims description 4
- VJDVOZLYDLHLSM-UHFFFAOYSA-N diethylazanide;titanium(4+) Chemical compound [Ti+4].CC[N-]CC.CC[N-]CC.CC[N-]CC.CC[N-]CC VJDVOZLYDLHLSM-UHFFFAOYSA-N 0.000 claims description 4
- 229910001873 dinitrogen Inorganic materials 0.000 claims description 4
- UCSVJZQSZZAKLD-UHFFFAOYSA-N ethyl azide Chemical compound CCN=[N+]=[N-] UCSVJZQSZZAKLD-UHFFFAOYSA-N 0.000 claims description 4
- LNKYFCABELSPAN-UHFFFAOYSA-N ethyl(methyl)azanide;titanium(4+) Chemical compound [Ti+4].CC[N-]C.CC[N-]C.CC[N-]C.CC[N-]C LNKYFCABELSPAN-UHFFFAOYSA-N 0.000 claims description 4
- HDZGCSFEDULWCS-UHFFFAOYSA-N monomethylhydrazine Chemical compound CNN HDZGCSFEDULWCS-UHFFFAOYSA-N 0.000 claims description 4
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 claims description 4
- HKOOXMFOFWEVGF-UHFFFAOYSA-N phenylhydrazine Chemical compound NNC1=CC=CC=C1 HKOOXMFOFWEVGF-UHFFFAOYSA-N 0.000 claims description 4
- 229940067157 phenylhydrazine Drugs 0.000 claims description 4
- MUQNAPSBHXFMHT-UHFFFAOYSA-N tert-butylhydrazine Chemical compound CC(C)(C)NN MUQNAPSBHXFMHT-UHFFFAOYSA-N 0.000 claims description 4
- XJDNKRIXUMDJCW-UHFFFAOYSA-J titanium tetrachloride Chemical compound Cl[Ti](Cl)(Cl)Cl XJDNKRIXUMDJCW-UHFFFAOYSA-J 0.000 claims description 4
- 238000013508 migration Methods 0.000 claims description 3
- 230000005012 migration Effects 0.000 claims description 3
- YYKBKTFUORICGA-UHFFFAOYSA-N CCN(CC)[Ta](=NC(C)(C)C)(N(CC)CC)N(CC)CC Chemical compound CCN(CC)[Ta](=NC(C)(C)C)(N(CC)CC)N(CC)CC YYKBKTFUORICGA-UHFFFAOYSA-N 0.000 claims description 2
- CPRZXSNNOZTZIE-UHFFFAOYSA-N CC[Ta](CC)(CC)(CC)(CC)NC Chemical compound CC[Ta](CC)(CC)(CC)(CC)NC CPRZXSNNOZTZIE-UHFFFAOYSA-N 0.000 claims description 2
- FBNHWOBJTUBDME-UHFFFAOYSA-N CN(C)[Ta](N(C)C)(N(C)C)=NC(C)(C)C Chemical compound CN(C)[Ta](N(C)C)(N(C)C)=NC(C)(C)C FBNHWOBJTUBDME-UHFFFAOYSA-N 0.000 claims description 2
- 239000005046 Chlorosilane Substances 0.000 claims description 2
- 229910000881 Cu alloy Inorganic materials 0.000 claims description 2
- KOPOQZFJUQMUML-UHFFFAOYSA-N chlorosilane Chemical compound Cl[SiH3] KOPOQZFJUQMUML-UHFFFAOYSA-N 0.000 claims description 2
- UBHZUDXTHNMNLD-UHFFFAOYSA-N dimethylsilane Chemical compound C[SiH2]C UBHZUDXTHNMNLD-UHFFFAOYSA-N 0.000 claims description 2
- PZPGRFITIJYNEJ-UHFFFAOYSA-N disilane Chemical compound [SiH3][SiH3] PZPGRFITIJYNEJ-UHFFFAOYSA-N 0.000 claims description 2
- UIUXUFNYAYAMOE-UHFFFAOYSA-N methylsilane Chemical compound [SiH3]C UIUXUFNYAYAMOE-UHFFFAOYSA-N 0.000 claims description 2
- 238000005498 polishing Methods 0.000 claims description 2
- 239000000126 substance Substances 0.000 claims description 2
- UBZYKBZMAMTNKW-UHFFFAOYSA-J titanium tetrabromide Chemical compound Br[Ti](Br)(Br)Br UBZYKBZMAMTNKW-UHFFFAOYSA-J 0.000 claims description 2
- NLLZTRMHNHVXJJ-UHFFFAOYSA-J titanium tetraiodide Chemical compound I[Ti](I)(I)I NLLZTRMHNHVXJJ-UHFFFAOYSA-J 0.000 claims description 2
- ZDHXKXAHOVTTAH-UHFFFAOYSA-N trichlorosilane Chemical compound Cl[SiH](Cl)Cl ZDHXKXAHOVTTAH-UHFFFAOYSA-N 0.000 claims description 2
- 239000005052 trichlorosilane Substances 0.000 claims description 2
- 229910003822 SiHCl3 Inorganic materials 0.000 claims 1
- ZNRKKSGNBIJSRT-UHFFFAOYSA-L dibromotantalum Chemical compound Br[Ta]Br ZNRKKSGNBIJSRT-UHFFFAOYSA-L 0.000 claims 1
- BUMGIEFFCMBQDG-UHFFFAOYSA-N dichlorosilicon Chemical compound Cl[Si]Cl BUMGIEFFCMBQDG-UHFFFAOYSA-N 0.000 claims 1
- 238000007747 plating Methods 0.000 claims 1
- YRGLXIVYESZPLQ-UHFFFAOYSA-I tantalum pentafluoride Chemical compound F[Ta](F)(F)(F)F YRGLXIVYESZPLQ-UHFFFAOYSA-I 0.000 claims 1
- OEIMLTQPLAGXMX-UHFFFAOYSA-I tantalum(v) chloride Chemical compound Cl[Ta](Cl)(Cl)(Cl)Cl OEIMLTQPLAGXMX-UHFFFAOYSA-I 0.000 claims 1
- 230000002708 enhancing effect Effects 0.000 abstract 1
- 238000012545 processing Methods 0.000 description 31
- 239000000463 material Substances 0.000 description 20
- 238000006243 chemical reaction Methods 0.000 description 14
- 239000001257 hydrogen Substances 0.000 description 12
- 229910052739 hydrogen Inorganic materials 0.000 description 12
- 239000000203 mixture Substances 0.000 description 10
- 230000008021 deposition Effects 0.000 description 7
- 238000005137 deposition process Methods 0.000 description 7
- 230000007773 growth pattern Effects 0.000 description 7
- 238000010926 purge Methods 0.000 description 7
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 6
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 6
- 230000015572 biosynthetic process Effects 0.000 description 6
- 239000003989 dielectric material Substances 0.000 description 6
- 238000009713 electroplating Methods 0.000 description 6
- 150000002431 hydrogen Chemical class 0.000 description 6
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 6
- 239000010936 titanium Substances 0.000 description 6
- 229910052719 titanium Inorganic materials 0.000 description 6
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 5
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 5
- 239000013078 crystal Substances 0.000 description 5
- 239000002243 precursor Substances 0.000 description 5
- 239000010703 silicon Substances 0.000 description 5
- 229910052710 silicon Inorganic materials 0.000 description 5
- 229910052814 silicon oxide Inorganic materials 0.000 description 5
- 150000004820 halides Chemical class 0.000 description 4
- 238000003917 TEM image Methods 0.000 description 3
- 229910045601 alloy Inorganic materials 0.000 description 3
- 239000000956 alloy Substances 0.000 description 3
- 239000000460 chlorine Substances 0.000 description 3
- 239000007788 liquid Substances 0.000 description 3
- 238000001465 metallisation Methods 0.000 description 3
- 238000005240 physical vapour deposition Methods 0.000 description 3
- 239000000376 reactant Substances 0.000 description 3
- 239000007787 solid Substances 0.000 description 3
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 2
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 2
- 238000000231 atomic layer deposition Methods 0.000 description 2
- 229910052799 carbon Inorganic materials 0.000 description 2
- 229910052801 chlorine Inorganic materials 0.000 description 2
- 238000004891 communication Methods 0.000 description 2
- 238000007772 electroless plating Methods 0.000 description 2
- 239000011737 fluorine Substances 0.000 description 2
- 229910052731 fluorine Inorganic materials 0.000 description 2
- 238000010438 heat treatment Methods 0.000 description 2
- 238000004519 manufacturing process Methods 0.000 description 2
- 238000000691 measurement method Methods 0.000 description 2
- 229910001092 metal group alloy Inorganic materials 0.000 description 2
- QKCGXXHCELUCKW-UHFFFAOYSA-N n-[4-[4-(dinaphthalen-2-ylamino)phenyl]phenyl]-n-naphthalen-2-ylnaphthalen-2-amine Chemical compound C1=CC=CC2=CC(N(C=3C=CC(=CC=3)C=3C=CC(=CC=3)N(C=3C=C4C=CC=CC4=CC=3)C=3C=C4C=CC=CC4=CC=3)C3=CC4=CC=CC=C4C=C3)=CC=C21 QKCGXXHCELUCKW-UHFFFAOYSA-N 0.000 description 2
- 230000002093 peripheral effect Effects 0.000 description 2
- 238000005086 pumping Methods 0.000 description 2
- 238000000859 sublimation Methods 0.000 description 2
- 230000008022 sublimation Effects 0.000 description 2
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 2
- 238000009834 vaporization Methods 0.000 description 2
- 230000008016 vaporization Effects 0.000 description 2
- 239000011800 void material Substances 0.000 description 2
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 description 1
- 229940126062 Compound A Drugs 0.000 description 1
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- NLDMNSXOCDLTTB-UHFFFAOYSA-N Heterophylliin A Natural products O1C2COC(=O)C3=CC(O)=C(O)C(O)=C3C3=C(O)C(O)=C(O)C=C3C(=O)OC2C(OC(=O)C=2C=C(O)C(O)=C(O)C=2)C(O)C1OC(=O)C1=CC(O)=C(O)C(O)=C1 NLDMNSXOCDLTTB-UHFFFAOYSA-N 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- 239000000654 additive Substances 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 125000004429 atom Chemical group 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- 230000009286 beneficial effect Effects 0.000 description 1
- 230000005540 biological transmission Effects 0.000 description 1
- 238000007664 blowing Methods 0.000 description 1
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Substances BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 description 1
- 229910052794 bromium Inorganic materials 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 239000003054 catalyst Substances 0.000 description 1
- 239000003638 chemical reducing agent Substances 0.000 description 1
- 238000005229 chemical vapour deposition Methods 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 239000000356 contaminant Substances 0.000 description 1
- 229910000365 copper sulfate Inorganic materials 0.000 description 1
- ARUVKPQLZAKDPS-UHFFFAOYSA-L copper(II) sulfate Chemical compound [Cu+2].[O-][S+2]([O-])([O-])[O-] ARUVKPQLZAKDPS-UHFFFAOYSA-L 0.000 description 1
- 125000004122 cyclic group Chemical group 0.000 description 1
- 230000007423 decrease Effects 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- MROCJMGDEKINLD-UHFFFAOYSA-N dichlorosilane Chemical compound Cl[SiH2]Cl MROCJMGDEKINLD-UHFFFAOYSA-N 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 238000004070 electrodeposition Methods 0.000 description 1
- 239000008151 electrolyte solution Substances 0.000 description 1
- 230000001747 exhibiting effect Effects 0.000 description 1
- 239000012530 fluid Substances 0.000 description 1
- 125000001153 fluoro group Chemical group F* 0.000 description 1
- 238000010574 gas phase reaction Methods 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 238000010348 incorporation Methods 0.000 description 1
- 230000002045 lasting effect Effects 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 238000002156 mixing Methods 0.000 description 1
- 125000002524 organometallic group Chemical group 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- 229910052760 oxygen Inorganic materials 0.000 description 1
- 238000009832 plasma treatment Methods 0.000 description 1
- 229910052594 sapphire Inorganic materials 0.000 description 1
- 239000010980 sapphire Substances 0.000 description 1
- 229910021332 silicide Inorganic materials 0.000 description 1
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical class [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
- H01L21/28562—Selective deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/34—Nitrides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4411—Cooling of the reaction chamber walls
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4412—Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45502—Flow conditions in reaction chamber
- C23C16/45504—Laminar flow
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45502—Flow conditions in reaction chamber
- C23C16/45508—Radial flow
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45512—Premixing before introduction in the reaction chamber
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45544—Atomic layer deposition [ALD] characterized by the apparatus
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45582—Expansion of gas before it reaches the substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
- H01L21/76846—Layer combinations
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76871—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
Definitions
- Embodiments of the present invention relate to a method for manufacturing integrated circuit devices. More particularly, embodiments of the invention relate to forming thin barrier layers using cyclic or atomic layer deposition.
- Copper has recently become a choice metal for filling sub-micron high aspect ratio, interconnect features because copper and its alloys have lower resistivities than aluminum.
- copper and its alloys have a propensity to diffuse into surrounding materials such as silicon oxide, silicon, and other dielectric materials for example, causing an increase in the contact resistance of the circuit.
- Copper and its alloys also have a propensity to diffuse into surrounding elements such as transistor gates, capacitor dielectrics, transistor wells, transistor channels, electrical barrier regions, interconnects, among other known elements of integrated circuits.
- Barrier layers are, therefore, deposited prior to copper metallization to prevent or impede the diffusion of copper atoms.
- Barrier layers typically consist of a refractory metal such as tungsten, titanium, tantalum, and nitrides thereof, which all have a greater resistivity than copper.
- a refractory metal such as tungsten, titanium, tantalum, and nitrides thereof, which all have a greater resistivity than copper.
- tantalum nitride is one of the most desirable elements for use as a barrier layer because it has one of the lowest resistivities of refractory metal nitrides and makes a good adhesion layer for copper metallization.
- the barrier layer must be deposited on the bottom of the feature as well as the sidewalls thereof. Therefore, the additional amount of the barrier layer on the bottom of the feature not only increases the overall resistance of the feature, but also forms an obstruction between higher and lower metal interconnects of a multi-layered interconnect structure.
- barrier layer it is especially difficult to deposit a suitable barrier layer in features having aspect ratios greater than about 3:1 using conventional deposition techniques.
- the barrier layer bridges the opening of the narrow features resulting in the formation of one or more voids or discontinuities within the feature. Since voids increase the resistance and reduce the electromigration resistance of the feature, features having voids make poor and unreliable electrical contacts.
- a method for depositing a conformal refractory metal nitride layer having a thickness of about 20 angstroms or less is provided.
- the refractory metal nitride layer is formed by introducing a pulse of a metal-containing compound followed by a pulse of a nitrogen-containing compound.
- the refractory metal nitride layer may further be formed by introducing a pulse of a silicon-containing compound.
- the refractory metal nitride layer provides excellent barrier properties and permits the continuous, uninterrupted growth of a higher level conductive metal layer on a lower level conductive metal layer.
- the conductive metal layers each contain copper or copper alloys, and the refractory metal nitride layer contains tantalum nitride.
- the refractory metal layer contains titanium silicon nitride.
- FIG. 1 illustrates a schematic, partial cross section of an exemplary processing chamber 200 for use in a method of forming a barrier layer according to each of the embodiments of the present invention.
- FIGS. 2 A- 2 E illustrate steps for forming a metal interconnect in accordance with embodiments of a cycled deposition technique described herein.
- FIG. 3 is a TEM image of a metal interconnect having a barrier layer deposited in accordance with embodiments of a cyclical deposition technique described herein.
- FIG. 4 is a TEM image showing a continuous copper grain growth across a barrier layer deposited in accordance with embodiments of a cyclical deposition technique described herein.
- Embodiments of the present invention generally provide a method of forming a barrier layer through which conductive metal can be grown.
- a cyclical deposition process is used to form a refractory metal nitride layer having a thickness less than about 20 angstroms.
- the refractory metal nitride layer is a tantalum nitride (TaN) layer that is preferably about 10 angstroms thick.
- the refractory metal nitride layer is a ternary material, such as titanium silicon nitride, for example.
- barrier layers deposited according to the cyclical deposition techniques described herein provide excellent barrier properties and permit the continuous growth of conductive metal layers thereon.
- the barrier layers are particularly useful between higher and lower level conductive metal interconnects.
- the barrier layers significantly enhance the metal interconnect electrical performance by lowering the overall electrical resistance of the feature and also providing good device reliability.
- the barrier layers deposited according to the cyclical deposition methods described herein show evidence of an epitaxial growth phenomenon.
- the barrier layers take on the same or substantially the same crystallographic characteristics as the underlying layer.
- a substantially single crystal is grown such that there is no void formation at an interface between the barrier layer and the underlying layer.
- subsequent metal layers deposited over the barrier layers exhibit the same or substantially the same epitaxial growth characteristics that continue the formation of the single crystal. Accordingly, no void formation is produced at this interface.
- the resulting structure resembling a single crystal eliminates voids formation, thereby substantially increasing device reliability.
- the single crystal structure also reduces the overall resistance of the interconnect feature while still providing excellent barrier properties.
- the single crystalline growth reduces the susceptibility of electromigration and stress migration due to the conformal and uniform crystalline orientation across the interconnect material interfaces.
- a TaN barrier layer having a thickness of about 20 angstroms or less, about 10 angstroms in at least one embodiment, is deposited by providing one or more pulses of a tantalum-containing compound at a flow rate between about 100 sccm and about 1,000 sccm for a time period of about 1.0 second or less and one or more pulses of a nitrogen-containing compound at a, flow rate between about 100 sccm and about 1,000 sccm for a time period of about 1.0 second or less to a reaction zone having a substrate disposed therein.
- Exemplary tantalum-containing compounds include pentaethyl methylamino-tantalum (PEMAT), pentadiethylamino-tantalum (PDEAT), pentadimethylamino-tantalum (PDMAT) and any derivatives of PEMAT, PDEAT, and PDMAT.
- PEMAT pentaethyl methylamino-tantalum
- PDEAT pentadiethylamino-tantalum
- PDMAT pentadimethylamino-tantalum
- any derivatives of PEMAT, PDEAT, and PDMAT any derivatives of PEMAT, PDEAT, and PDMAT.
- Exemplary tantalum-containing compounds also include t-butylimino tris(diethylamino) tantalum (TBTDET), t-butylimino tris(dimethylamino) tantalum (TBTDMT), bis(cyclopentadienyl) tantalum trihydride, bis (methylcyclopentadienyl) tantalum trihydride, and tantalum halides, TaX 5 , where X is fluorine (F), bromine (Br) or chlorine (Cl), and/or derivatives thereof.
- TBTDET t-butylimino tris(diethylamino) tantalum
- TBTDMT t-butylimino tris(dimethylamino) tantalum
- bis(cyclopentadienyl) tantalum trihydride bis (methylcyclopentadienyl) tantalum trihydride
- tantalum halides TaX 5 , where X is fluorine (F
- Exemplary nitrogen-containing compounds include nitrogen gas, ammonia, hydrazine, methylhydrazine, dimethlyhydrazine, t-butylhydrazine, phenylhydrazine, azoisobutane, ethylazide, and derivatives thereof.
- these compounds or any other compound not listed above may be a solid, liquid, or gas at room temperature.
- PDMAT is a solid at room temperature
- TBTDET is a liquid at room temperature.
- the non-gas phase precursors are subjected to a sublimation or vaporization step, which are both well known in the art, prior to introduction into the processing chamber.
- a carrier gas such as argon, helium, nitrogen, hydrogen, or a mixture thereof, may also be used to help deliver the compound into the processing chamber, as is commonly known in the art.
- Each pulse is performed sequentially, and is accompanied by a separate flow of non-reactive gas at a rate between about 200 sccm and about 1,000 sccm.
- the separate flow of non-reactive gas may be pulsed between each pulse of the reactive compounds or the separate flow of non-reactive gas may be introduced continuously throughout the deposition process.
- the separate flow of non-reactive gas serves to remove any excess reactants from the reaction zone to prevent unwanted gas phase reactions of the reactive compounds, and also serves to remove any reaction by-products from the processing chamber, similar to a purge gas.
- the continuous separate flow of non-reactive gas helps deliver the pulses of reactive compounds to the substrate surface similar to a carrier gas.
- non-reactive gas refers to a single gas or a mixture of gases that does not participate in the metal layer formation. Exemplary non-reactive gases include argon, helium, nitrogen, hydrogen, and combinations thereof.
- a ternary barrier layer having a thickness less than about 20 angstroms, preferably about 10 angstroms in at least one embodiment is deposited by providing one or more pulses of a refractory metal-containing compound at a flow rate between about 10 sccm and about 1,000 sccm, one or more pulses of a nitrogen-containing compound at a flow rate between about 100 sccm and about 5,000 sccm, and one or more pulses of a silicon-containing compound at a flow rate between about 5 sccm and about 500 sccm.
- Each pulse lasts about 1.0 second or less, and can be adjusted to provide a desirable composition, silicon incorporation level, thickness, density, and step coverage of the refractory metal silicon nitride layer.
- a “ternary barrier layer” as used herein refers to a material having a composition that includes three major elements, such as titanium, nitrogen and silicon, for example.
- Exemplary titanium-containing compounds include tetrakis (dimethylamino) titanium (TDMAT), tetrakis (ethylmethylamino) titanium (TEMAT), tetrakis (diethylamino) titanium (TDEAT), titanium tetrachloride (TiCl 4 ), titanium iodide (Til 4 ), titanium bromide (TiBr 4 ), and other titanium halides.
- Exemplary silicon-containing compounds include silane, disilane, methylsilane, dimethylsilane, chlorosilane, dichlorosilane, and trichlorosilane.
- Exemplary nitrogen-containing compounds include nitrogen gas, ammonia, hydrazine, methylhydrazine, dimethlyhydrazine, t-butylhydrazine, phenylhydrazine, azoisobutane, ethylazide, and derivatives thereof.
- these compounds or any other compound not listed above may be a solid, liquid, or gas at room temperature.
- the non-gas phase precursors must be subjected to a sublimation or vaporization step, which are both well known in the art, prior to introduction into the processing chamber.
- a carrier gas such as argon, helium, nitrogen, hydrogen, or a mixture thereof, may also be used to help deliver the compound into the processing chamber, as is commonly known in the art.
- each pulse of compound is performed sequentially, and is accompanied by a separate flow of non-reactive gas.
- the separate flow of non-reactive gas may be pulsed between each pulse of reactive compound or the separate flow of non-reactive gas may be introduced continuously throughout the deposition process.
- Exemplary non-reactive gases include argon, helium, nitrogen, hydrogen, and combinations thereof.
- a “compound” is intended to include one or more precursors, reductants, reactants, and catalysts. Each compound may be a single compound or a mixture/combination of two or more compounds.
- a “thin layer” as used herein refers to a layer of material deposited on a substrate surface having a thickness of about 20 angstroms or less, such as about 10 angstroms.
- a “feature” as used herein refers to a via, contact, line, or any other interconnect facet, e.g., vertical or horizontal interconnect, having an aspect ratio (height to width ratio) of about 4:1 or greater.
- a substrate surface may include silicon, silicon oxide, doped silicon, germanium, gallium arsenide, glass, sapphire, and any other materials such as metals, metal alloys, and other conductive materials, depending on the application.
- a substrate surface may also include dielectric materials such as silicon dioxide and carbon doped silicon oxides.
- “Cyclical deposition” refers to the sequential introduction of two or more compounds to deposit a thin layer on a substrate surface.
- the two or more compounds are sequentially introduced into a reaction zone of a processing chamber. Each compound is separated by a time delay/pause to allow each compound to adhere and/or react on the substrate surface.
- a first compound or compound A is dosed/pulsed into the reaction zone followed by a first time delay/pause.
- a second compound or compound B is dosed/pulsed into the reaction zone followed by a second time delay.
- a third compound (C) is dosed/pulsed into the reaction zone followed by a third time delay.
- a “pulse/dose” as used herein is intended to refer to a quantity of a particular compound that is intermittently or non-continuously introduced into a reaction zone of a processing chamber.
- the quantity of a particular compound within each pulse may vary over time, depending on the duration of the pulse.
- a particular compound may include a single compound or a mixture/combination of two or more compounds.
- reaction zone is intended to include any volume that is in fluid communication with a substrate surface being processed.
- the reaction zone may include any volume within a processing chamber that is between a gas source and the substrate surface.
- the reaction zone includes any volume downstream of a dosing valve in which a substrate is disposed.
- the durations for each pulse/dose are variable and may be adjusted to accommodate, for example, the volume capacity of the processing chamber as well as the capabilities of a vacuum system coupled thereto. Additionally, the dose time of a compound may vary according to the flow rate of the compound, the pressure of the compound, the temperature of the compound, the type of dosing valve, the type of control system employed, as well as the ability of the compound to adsorb onto the substrate surface. Dose times may also vary based upon the type of layer being formed and the geometry of the device being formed.
- the duration for each pulse/dose or “dose time” is typically about 1.0 second or less.
- a dose time can range from microseconds to milliseconds to seconds, and even to minutes.
- a dose time should be long enough to provide a volume of compound sufficient to adsorb/chemisorb onto substantially the entire surface of the substrate and form a layer of the compound thereon.
- FIG. 1 illustrates a schematic, partial cross section of an exemplary processing chamber 200 for use in a method of forming a barrier layer according to each of the embodiments of the present invention.
- a processing chamber 200 is available from Applied Materials, Inc. located in Santa Clara, Calif., and a brief description thereof follows. A more detailed description may be found in commonly assigned U.S. patent application Ser. No. 10/032,284, entitled “Gas Delivery Apparatus and Method For Atomic Layer Deposition”, filed on Dec. 21, 2001, which is incorporated herein by reference.
- the processing chamber 200 may be integrated into an integrated processing platform, such as an EnduraTM platform also available from Applied Materials, Inc. Details of the EnduraTM platform are described in commonly assigned U.S. patent application Ser. No. 09/451,628, entitled “Integrated Modular Processing Platform”, filed on Nov. 30, 1999, which is incorporated by reference herein.
- the chamber 200 includes a chamber body 202 having a slit valve 208 formed in a sidewall 204 thereof and a substrate support 212 disposed therein.
- the substrate support 212 is mounted to a lift motor 214 to raise and lower the substrate support 212 and a substrate 210 disposed thereon.
- the substrate support 212 may also include a vacuum chuck, an electrostatic chuck, or a clamp ring for securing the substrate 212 to the substrate support 212 during processing. Further, the substrate support 212 may be heated using an embedded heating element, such as a resistive heater, or may be heated using radiant heat, such as heating lamps disposed above the substrate support 212 .
- a purge ring 222 may be disposed on the substrate support 212 to define a purge channel 224 that provides a purge gas to prevent deposition on a peripheral portion of the substrate 210 .
- a gas delivery apparatus 230 is disposed at an upper portion of the chamber body 202 to provide a gas, such as a process gas and/or a purge gas, to the chamber 200 .
- a vacuum system 278 is in communication with a pumping channel 279 to evacuate gases from the chamber 200 and to help maintain a desired pressure or a desired pressure range inside a pumping zone 266 of the chamber 200 .
- the gas delivery apparatus 230 includes a chamber lid 232 having an expanding channel 234 formed within a central portion thereof.
- the chamber lid 232 also includes a bottom surface 260 extending from the expanding channel 234 to a peripheral portion of the chamber lid 232 .
- the bottom surface 260 is sized and shaped to substantially cover the substrate 210 disposed on the substrate support 212 .
- the expanding channel 234 has an inner diameter that gradually increases from an upper portion 237 to a lower portion 235 adjacent the bottom surface 260 of the chamber lid 232 .
- the velocity of a gas flowing therethrough decreases as the gas flows through the expanding channel 234 due to the expansion of the gas. The decreased gas velocity reduces the likelihood of blowing off reactants adsorbed on the surface of the substrate 210 .
- the gas delivery apparatus 230 also includes at least two high speed actuating valves 242 having one or more ports. At least one valve 242 is dedicated to each reactive compound. For example, a first valve is dedicated to a refractory metal-containing compound, such as tantalum and titanium, and a second valve is dedicated to a nitrogen-containing compound. When a ternary material is desired, a third valve is dedicated to an additional compound, such as a silicon-containing compound if a silicide is desired.
- a first valve is dedicated to a refractory metal-containing compound, such as tantalum and titanium
- a second valve is dedicated to a nitrogen-containing compound.
- a third valve is dedicated to an additional compound, such as a silicon-containing compound if a silicide is desired.
- the valves 242 may generally be any type of valve capable of reliably, repeatedly, and precisely metering the desired precursors at the desired rate of introduction. In some cases, dosing may be as fast as 1-2 milliseconds (msec). As one example, the valves 242 may be electronically controlled (EC) valves, which are commercially available from Fujikin of Japan as part number FR-21-6.35 UGF-APD. The valves 242 precisely and repeatedly deliver short pulses of the reactive compounds into the chamber body 202 . The on/off cycles or pulses of the valves 242 are less than about 100 msec.
- valves 242 can be directly controlled by a system computer, such as a mainframe for example, or controlled by a chamber/application specific controller, such as a programmable logic computer (PLC) which is described in more detail in the co-pending U.S. patent application Ser. No. 09/800,881, entitled “Valve Control System For ALD Chamber”, filed on Mar. 7, 2001, which is incorporated by reference herein.
- PLC programmable logic computer
- a TaN barrier layer on a 200 mm wafer using a cyclical deposition process of alternate/sequential pulses of PDMAT and ammonia is described below.
- the process may be performed within a processing chamber, such as the processing chamber 200 described in FIG. 1.
- PDMAT is a preferred tantalum-containing compound for a number of reasons.
- PDMAT is relatively stable, and has a vapor pressure which makes it easy to deliver.
- PDMAT may also be produced with a low halide content, such as less than 100 ppm, and may even be produced with a halide content of less than 30 ppm or even less than 5 ppm.
- an organo-metallic precursor with a low halide content is beneficial because halides (such as chlorine) incorporated in the barrier layer may attack the copper layer deposited thereover.
- an inert/purge gas such as argon is first introduced into the processing chamber 200 to stabilize the pressure and temperature therein. This separate flow of gas flows continuously during the deposition process such that only the separate flow of gas flows between pulses of each compound.
- a first pulse of PDMAT is provided from the gas source 238 at a flow rate between about between about 100 sccm and about 400 sccm, with a pulse time of about 0.6 seconds or less.
- a pulse of ammonia is then provided from the gas source 239 at a flow rate between about 200 sccm and about 600 sccm, with a pulse time of about 0.6 seconds or less.
- a pause between pulses of PDMAT and ammonia is about 1.0 second or less, about 0.5 seconds or less, or about 0.1 seconds or less.
- a pause after the pulse of ammonia is also about 1.0 second or less, about 0.5 seconds or less, or about 0.1 seconds or less.
- Argon gas flowing between about 100 sccm and about 1,000 sccm, such as between about 100 sccm and about 400 sccm, is continuously provided from the gas source 240 through each valve 242 .
- at least a portion of a pulse of PDMAT may still be in the chamber when at a least a portion of a pulse of ammonia enters so that some co-reaction or gas phase co-reaction takes place.
- the duration of the purge gas and/or pump evacuation is designed to prevent the pulses of PDMAT and ammonia from mixing together in the reaction zone.
- the heater temperature is maintained between about 100° C. and about 300° C. at a chamber pressure between about 1.0 and about 5.0 Torr.
- Each cycle consisting of a pulse of PDMAT, pause, pulse of ammonia, and pause provides a tantalum nitride layer having a thickness between about 0.3 ⁇ and about 1.0 ⁇ per cycle.
- the alternating sequence may be repeated until the desired thickness is achieved, which is less than about 20 ⁇ , such as about 10 ⁇ . Accordingly, the deposition method requires between 10 and 70 cycles, more typically between 20 and 30 cycles.
- a similar deposition method is used to deposit a barrier layer consisting of titanium silicon nitride.
- Each cycle consists of a pulse of a titanium-containing compound, a pause, a pulse of a silicon-containing compound, a pause, a pulse of a nitrogen-containing compound, and a pause.
- each cycle consists of a pulse of TDMAT, a first pause, a pulse of silane, a second pause, a pulse of ammonia, and a third pause.
- argon is introduced into the processing chamber 200 to stabilize the chamber pressure between about 1 Torr and about 5 Torr and the chamber temperature between about 200° C. and about 300° C.
- This separate flow of argon flows continuously during the deposition process such that only the argon flows between pulses of each compound.
- the separate flow of argon flows between about 100 sccm and about 1,000 sccm, such as between about 100 sccm and about 400 sccm.
- a pulse of TDMAT is provided at a flow rate between about between about 10 sccm and about 1,000 sccm, with a pulse time of about 0.6 seconds or less.
- a pulse of silane is then provided at a flow rate between about 5 sccm and about 500 sccm, with a pulse time of about 1 second or less.
- a pulse of ammonia is then provided at a flow rate between about 100 sccm and about 5,000 sccm, with a pulse time of about 0.6 seconds or less.
- a pause between pulses of TDMAT and silane is about 1.0 second or less, about 0.5 seconds or less, or about 0.1 seconds or less.
- a pause between pulses of silane and ammonia is about 1.0 second or less, about 0.5 seconds or less, or about 0.1 seconds or less.
- a pause after the pulse of ammonia is also about 1.0 second or less, about 0.5 seconds or less, or about 0.1 seconds or less.
- a pulse of TDMAT may still be in the chamber when a pulse of silane enters, and a pulse of silane may still be in the chamber when a pulse of ammonia enters.
- the heater temperature is maintained between about 100° C. and about 300° C. at a chamber pressure between about 1.0 and about 5.0 Torr.
- Each cycle consisting of a pulse of TDMAT, pause, pulse of silane, pause, pulse of ammonia, and pause provides a titanium silicon nitride layer having a thickness between about 0.3 ⁇ and about 1.0 ⁇ per cycle.
- the alternating sequence may be repeated until the desired thickness is achieved, which is less than about 20 ⁇ , such as about 10 ⁇ . Accordingly, the deposition method requires between 10 and 70 cycles.
- FIGS. 2 A- 2 D are cross sectional views of a wafer at different stages of a multi-layer metal interconnect fabrication sequence incorporating a thin barrier layer deposited using a cyclical deposition process described herein.
- FIG. 2A shows a lower level metal interconnect 110 having a dielectric layer 112 formed thereon.
- the dielectric layer 112 may be any dielectric material including a low k dielectric material, whether presently known or yet to be discovered.
- the dielectric layer 112 may be a silicon oxide or a carbon doped silicon oxide, for example.
- the dielectric layer 112 has been etched to form a feature 114 therein using conventional and well-known techniques.
- the feature 114 may be a plug, via, contact, line, wire, or any other interconnect facet.
- the feature 114 has vertical sidewalls 116 and a bottom 118 , typically having an aspect ratio of 4:1 or greater, such as 6:1.
- the bottom 118 exposes at least a portion of the lower level metal interconnect 110 .
- a barrier layer 130 is deposited using the techniques described above on the bottom 118 as well as the side walls 116 of the feature 114 .
- the barrier layer 130 has a thickness less than about 20 ⁇ , preferably about 10 ⁇ .
- the barrier layer may be a binary material or a ternary material as discussed above.
- the patterned or etched substrate dielectric layer 112 may be cleaned to remove native oxides or other contaminants from the surface thereof prior to depositing the barrier layer 130 .
- reactive gases may be excited into a plasma within a remote plasma source chamber and delivered to the processing chamber 200 .
- An exemplary remote plasma chamber is a Reactive Pre-clean II chamber available from Applied Materials, Inc., located in Santa Clara, Calif.
- the processing chamber 200 may be modified to deliver the pre-cleaning gas plasma through existing gas inlets.
- the reactive pre-clean process forms radicals from a plasma of one or more reactive gases such as argon, helium, hydrogen, nitrogen, fluorine-containing compounds, and combinations thereof.
- a reactive gas may include a mixture of tetrafluorocarbon (CF 4 ) and oxygen (O 2 ), or a mixture of helium (He) and nitrogen trifluoride (NF 3 ).
- the plasma is typically generated by applying a power of about 500 to 2,000 watts RF at a frequency of about 200 KHz to 114 MHz.
- the flow of reactive gases ranges between about 100 and about 1,000 sccm and the plasma treatment lasts for about 10 to about 150 seconds.
- the plasma is generated in one or more treatment cycles and purged between cycles. For example, four treatment cycles lasting 35 seconds each is effective.
- the patterned or etched dielectric layer 112 may be pre-cleaned first using an argon plasma and then a hydrogen plasma.
- a processing gas having greater than about 50% argon by number of atoms is introduced at a pressure of about 0.8 mtorr.
- a plasma is struck to subject the dielectric layer 112 to an argon sputter cleaning environment.
- the argon plasma is preferably generated by applying between about 50 watts and about 500 watts of RF power.
- the argon plasma is maintained for between about 10 seconds and about 300 seconds to provide sufficient cleaning time for the deposits that are not readily removed by a reactive hydrogen plasma.
- the chamber pressure is increased to about 140 mTorr, and a processing gas consisting essentially of hydrogen and helium is introduced into the processing region.
- the processing gas comprises about 5% hydrogen and about 95% helium.
- the hydrogen plasma is generated by applying between about 50 watts and about 500 watts power. The hydrogen plasma is maintained for about 10 seconds to about 300 seconds.
- a metal, metal alloy, or a combination thereof is deposited over the barrier layer 130 to at least partially fill the feature 114 .
- a metal seed layer 140 of a copper-containing material is first deposited having a thickness of about 1,000 ⁇ to about 2,000 ⁇ .
- a copper metal layer 142 is deposited over the seed layer 140 to fill the feature 114 .
- the copper-containing seed layer 140 is deposited using high density plasma physical vapor deposition (HDP-PVD) to enable good conformal coverage.
- HDP-PVD high density plasma physical vapor deposition
- IMP Ionized Metal Plasma
- the IMP chamber may also be integrated into an EnduraTM platform, also available from Applied Materials, Inc.
- other techniques such as physical vapor deposition, chemical vapor deposition, electroless plating, and electroplating, may be used.
- the IMP chamber includes a target, coil, and biased substrate support member.
- a power between about 0.5 kW and about 5 kW is applied to the target, and a power between about 0.5 kW and 3 kW is applied to the coil.
- a power between about 200 and about 500 W at a frequency of about 13.56 MHz is applied to bias the substrate.
- Argon is flowed into the chamber at a rate of about 35 sccm to about 85 sccm, and nitrogen may be added to the chamber at a rate of about 5 sccm to about 100 sccm.
- the substrate support member is heated to a temperature between about 50° C. and 250° C. as the pressure of the chamber is typically between about 5 mTorr to about 100 mTorr.
- the copper metal layer 142 may be deposited over the copper seed layer 140 using CVD, PVD, electroless, or electroplating techniques.
- the copper layer 142 is formed using an electroplating cell, such as the ElectraTM Cu ECP system, available from Applied Materials, Inc., of Santa Clara, Calif.
- the ElectraTM Cu ECP system may also be integrated into an EnduraTM platform also available from Applied Materials, Inc.
- a copper electrolyte solution and copper electroplating technique is described in commonly assigned U.S. Pat. No. 6,113,771, entitled “Electro-deposition Chemistry”, which is incorporated by reference herein.
- the electroplating bath has a copper concentration greater than about 0.7M, a copper sulfate concentration of about 0.85, and a pH of about 1.75.
- the electroplating bath may also contain various additives as is well known in the art.
- the temperature of the bath is between about 15° C. and about 25° C.
- the bias is between about ⁇ 15 volts to about 15 volts. In one aspect, the positive bias ranges from about 0.1 volts to about 10 volts and the negatives bias ranges from about ⁇ 0.1 to about ⁇ 10 volts.
- an anneal treatment may be performed following copper deposition whereby the wafer is subjected to a temperature between about 100° C. and about 400° C. for about 10 minutes to about 1 hour, preferably about 30 minutes.
- a non-reactive gas such as helium, hydrogen, nitrogen, or a mixture thereof is introduced at a rate of 100 to about 10,000 sccm.
- the chamber pressure is maintained between about 2 Torr and about 10 Torr.
- the RF power is about 200 W to about 1,000 W at a frequency of about 13.56 MHz, and the preferable substrate spacing is between about 300 mils and about 800 mils.
- the top portion of the resulting structure may be planarized following the copper metal deposition.
- a chemical mechanical polishing (CMP) apparatus may be used, such as the MirraTM System available from Applied Materials, Santa Clara, Calif., for example.
- CMP chemical mechanical polishing
- portions of the copper 140 and the dielectric 112 are removed from the top of the structure leaving a fully planar surface.
- the intermediate surfaces of the structure may be planarized between the deposition of the subsequent layers described above.
- the processing steps of the embodiments described herein may be performed in an integrated processing platform such as the EnduraTM platform available from Applied Materials, Inc. of Santa Clara, Calif.
- the integrated processing system may include a controller 140 comprising a central processing unit (CPU) 142 , memory 144 , and support circuits 146 .
- the CPU 142 may be one of any form of computer processors that are used in industrial settings for controlling various drives and pressures.
- the memory 144 is connected to the CPU 142 , and may be one or more of a readily available memory such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote.
- RAM random access memory
- ROM read only memory
- floppy disk hard disk, or any other form of digital storage, local or remote.
- the support circuits 146 are also connected to the CPU 142 for supporting the processor 142 in a conventional manner.
- the support circuits 146 may include cache, power supplies, clock circuits, input/output circuitry, subsystems, and the like.
- FIG. 3 is a transmission electron microscope (TEM) image of a feature 300 having a titanium nitride barrier layer 310 deposited therein according to the deposition techniques described above.
- the feature 300 had an aspect ratio of 5:1 and was formed on a 200 mm wafer.
- the barrier layer 310 consisted of tantalum nitride and was deposited at 250° C. and 2 Torr. Each cycle lasted about 2 seconds and 30 cycles were performed.
- the tantalum nitride barrier layer 310 had a thickness of about 15 angstroms. As shown, the barrier layer 310 is conformal and shows good step coverage throughout the entire feature 300 .
- FIG. 4 is a TEM image showing a partial cross sectional view of a multilevel, interconnect structure 400 .
- the multi-level, interconnect structure 400 included a lower level copper interconnect 405 , a tantalum nitride barrier layer 410 , and an upper level copper interconnect 420 .
- the copper grain growth of the lower level copper interconnect 405 extended across the barrier layer 410 into the upper level copper interconnect 420 .
- the barrier layer 410 consisted of tantalum nitride and was deposited at 250° C. and 2 Torr. Each cycle lasted about 2 seconds and 30 cycles were performed.
- the barrier layer 410 had a thickness of about 10 angstroms, which was sufficient to inhibit copper migration into the dielectric material, but not establish a growth pattern of its own.
- a refractory metal nitride layer having a thickness greater than about 20 angstroms will terminate the growth pattern of the lower level metal interconnect.
- a refractory metal nitride layer having a thickness of about 20 angstroms or more will establish a distinct growth pattern of its own, which would be initially adopted by the higher interconnect until the higher interconnect reaches a particular thickness and establishes its own pattern, thereby forming a different crystal structure. This phenomenon occurs because a growth pattern of a subsequently deposited layer typically resembles a growth pattern of an underlying layer during its initial stages of deposition, but the subsequent layer then takes on its own, inherent pattern once the subsequent layer reaches a particular thickness.
- Tantalum nitride for example, has a natural inclination to form an amorphous structure at about 20 angstroms or more. Below about 20 angstroms, TaN resembles the growth pattern of its underlying layer. Therefore, a subsequent copper interconnect layer was surprisingly grown across a barrier layer deposited according to the methods of the present invention exhibiting a similar growth pattern as the underlying copper interconnect.
- the barrier layers 310 , 410 shown and described with reference to FIGS. 3 and 4 were measured using a TEM instrument. It can be appreciated that a margin of error is present with this kind of measurement technique as well as any other measurement technique for determining a thickness of a deposited layer. Therefore, the thicknesses provided herein are approximate and quantified according to the best available known techniques and are not intended to limit the scope of the present invention.
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Organic Chemistry (AREA)
- Metallurgy (AREA)
- Mechanical Engineering (AREA)
- Materials Engineering (AREA)
- Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Manufacturing & Machinery (AREA)
- General Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Inorganic Chemistry (AREA)
- Fluid Mechanics (AREA)
- Chemical Vapour Deposition (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Electrodes Of Semiconductors (AREA)
Abstract
A method for depositing a refractory metal nitride barrier layer having a thickness of about 20 angstroms or less is provided. In one aspect, the refractory metal nitride layer is formed by introducing a pulse of a metal-containing compound followed by a pulse of a nitrogen-containing compound. The refractory metal nitride barrier layer provides adequate barrier properties and allows the grain growth of the first metal layer to continue across the barrier layer into the second metal layer thereby enhancing the electrical performance of the interconnect.
Description
- This application claims benefit of U.S. Provisional Patent Application Serial No. 60/346,086, filed Oct. 26, 2001, which is incorporated by reference herein.
- 1. Field of the Invention
- Embodiments of the present invention relate to a method for manufacturing integrated circuit devices. More particularly, embodiments of the invention relate to forming thin barrier layers using cyclic or atomic layer deposition.
- 2. Description of the Related Art
- Copper has recently become a choice metal for filling sub-micron high aspect ratio, interconnect features because copper and its alloys have lower resistivities than aluminum. However, copper and its alloys have a propensity to diffuse into surrounding materials such as silicon oxide, silicon, and other dielectric materials for example, causing an increase in the contact resistance of the circuit. Copper and its alloys also have a propensity to diffuse into surrounding elements such as transistor gates, capacitor dielectrics, transistor wells, transistor channels, electrical barrier regions, interconnects, among other known elements of integrated circuits.
- Barrier layers are, therefore, deposited prior to copper metallization to prevent or impede the diffusion of copper atoms. Barrier layers typically consist of a refractory metal such as tungsten, titanium, tantalum, and nitrides thereof, which all have a greater resistivity than copper. Of this group, tantalum nitride is one of the most desirable elements for use as a barrier layer because it has one of the lowest resistivities of refractory metal nitrides and makes a good adhesion layer for copper metallization.
- To deposit a barrier layer within a feature, the barrier layer must be deposited on the bottom of the feature as well as the sidewalls thereof. Therefore, the additional amount of the barrier layer on the bottom of the feature not only increases the overall resistance of the feature, but also forms an obstruction between higher and lower metal interconnects of a multi-layered interconnect structure.
- It is especially difficult to deposit a suitable barrier layer in features having aspect ratios greater than about 3:1 using conventional deposition techniques. Usually, the barrier layer bridges the opening of the narrow features resulting in the formation of one or more voids or discontinuities within the feature. Since voids increase the resistance and reduce the electromigration resistance of the feature, features having voids make poor and unreliable electrical contacts.
- There is a need, therefore, for an improved method for depositing a thin, conformal barrier layer in low aspect ratio features.
- A method for depositing a conformal refractory metal nitride layer having a thickness of about 20 angstroms or less is provided. The refractory metal nitride layer is formed by introducing a pulse of a metal-containing compound followed by a pulse of a nitrogen-containing compound. The refractory metal nitride layer may further be formed by introducing a pulse of a silicon-containing compound. The refractory metal nitride layer provides excellent barrier properties and permits the continuous, uninterrupted growth of a higher level conductive metal layer on a lower level conductive metal layer. In one aspect, the conductive metal layers each contain copper or copper alloys, and the refractory metal nitride layer contains tantalum nitride. In another aspect, the refractory metal layer contains titanium silicon nitride.
- So that the manner in which the above recited features of the present invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings.
- It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
- FIG. 1 illustrates a schematic, partial cross section of an
exemplary processing chamber 200 for use in a method of forming a barrier layer according to each of the embodiments of the present invention. - FIGS.2A-2E illustrate steps for forming a metal interconnect in accordance with embodiments of a cycled deposition technique described herein.
- FIG. 3 is a TEM image of a metal interconnect having a barrier layer deposited in accordance with embodiments of a cyclical deposition technique described herein.
- FIG. 4 is a TEM image showing a continuous copper grain growth across a barrier layer deposited in accordance with embodiments of a cyclical deposition technique described herein.
- Embodiments of the present invention generally provide a method of forming a barrier layer through which conductive metal can be grown. In one embodiment, a cyclical deposition process is used to form a refractory metal nitride layer having a thickness less than about 20 angstroms. In one aspect of this embodiment, the refractory metal nitride layer is a tantalum nitride (TaN) layer that is preferably about 10 angstroms thick. In another aspect of this embodiment, the refractory metal nitride layer is a ternary material, such as titanium silicon nitride, for example.
- The barrier layers deposited according to the cyclical deposition techniques described herein provide excellent barrier properties and permit the continuous growth of conductive metal layers thereon. The barrier layers are particularly useful between higher and lower level conductive metal interconnects. The barrier layers significantly enhance the metal interconnect electrical performance by lowering the overall electrical resistance of the feature and also providing good device reliability.
- The barrier layers deposited according to the cyclical deposition methods described herein show evidence of an epitaxial growth phenomenon. In other words, the barrier layers take on the same or substantially the same crystallographic characteristics as the underlying layer. As a result, a substantially single crystal is grown such that there is no void formation at an interface between the barrier layer and the underlying layer. Likewise, subsequent metal layers deposited over the barrier layers exhibit the same or substantially the same epitaxial growth characteristics that continue the formation of the single crystal. Accordingly, no void formation is produced at this interface. The resulting structure resembling a single crystal eliminates voids formation, thereby substantially increasing device reliability. The single crystal structure also reduces the overall resistance of the interconnect feature while still providing excellent barrier properties. Furthermore, it is believed that the single crystalline growth reduces the susceptibility of electromigration and stress migration due to the conformal and uniform crystalline orientation across the interconnect material interfaces.
- A TaN barrier layer having a thickness of about 20 angstroms or less, about 10 angstroms in at least one embodiment, is deposited by providing one or more pulses of a tantalum-containing compound at a flow rate between about 100 sccm and about 1,000 sccm for a time period of about 1.0 second or less and one or more pulses of a nitrogen-containing compound at a, flow rate between about 100 sccm and about 1,000 sccm for a time period of about 1.0 second or less to a reaction zone having a substrate disposed therein. Exemplary tantalum-containing compounds include pentaethyl methylamino-tantalum (PEMAT), pentadiethylamino-tantalum (PDEAT), pentadimethylamino-tantalum (PDMAT) and any derivatives of PEMAT, PDEAT, and PDMAT. Exemplary tantalum-containing compounds also include t-butylimino tris(diethylamino) tantalum (TBTDET), t-butylimino tris(dimethylamino) tantalum (TBTDMT), bis(cyclopentadienyl) tantalum trihydride, bis (methylcyclopentadienyl) tantalum trihydride, and tantalum halides, TaX5, where X is fluorine (F), bromine (Br) or chlorine (Cl), and/or derivatives thereof. Exemplary nitrogen-containing compounds include nitrogen gas, ammonia, hydrazine, methylhydrazine, dimethlyhydrazine, t-butylhydrazine, phenylhydrazine, azoisobutane, ethylazide, and derivatives thereof.
- It is to be understood that these compounds or any other compound not listed above may be a solid, liquid, or gas at room temperature. For example, PDMAT is a solid at room temperature and TBTDET is a liquid at room temperature. Accordingly, the non-gas phase precursors are subjected to a sublimation or vaporization step, which are both well known in the art, prior to introduction into the processing chamber. A carrier gas, such as argon, helium, nitrogen, hydrogen, or a mixture thereof, may also be used to help deliver the compound into the processing chamber, as is commonly known in the art.
- Each pulse is performed sequentially, and is accompanied by a separate flow of non-reactive gas at a rate between about 200 sccm and about 1,000 sccm. The separate flow of non-reactive gas may be pulsed between each pulse of the reactive compounds or the separate flow of non-reactive gas may be introduced continuously throughout the deposition process. The separate flow of non-reactive gas, whether pulsed or continuous, serves to remove any excess reactants from the reaction zone to prevent unwanted gas phase reactions of the reactive compounds, and also serves to remove any reaction by-products from the processing chamber, similar to a purge gas. In addition to these services, the continuous separate flow of non-reactive gas helps deliver the pulses of reactive compounds to the substrate surface similar to a carrier gas. The term “non-reactive gas” as used herein refers to a single gas or a mixture of gases that does not participate in the metal layer formation. Exemplary non-reactive gases include argon, helium, nitrogen, hydrogen, and combinations thereof.
- In another embodiment, a ternary barrier layer having a thickness less than about 20 angstroms, preferably about 10 angstroms in at least one embodiment, is deposited by providing one or more pulses of a refractory metal-containing compound at a flow rate between about 10 sccm and about 1,000 sccm, one or more pulses of a nitrogen-containing compound at a flow rate between about 100 sccm and about 5,000 sccm, and one or more pulses of a silicon-containing compound at a flow rate between about 5 sccm and about 500 sccm. Each pulse lasts about 1.0 second or less, and can be adjusted to provide a desirable composition, silicon incorporation level, thickness, density, and step coverage of the refractory metal silicon nitride layer. A “ternary barrier layer” as used herein refers to a material having a composition that includes three major elements, such as titanium, nitrogen and silicon, for example.
- Exemplary titanium-containing compounds include tetrakis (dimethylamino) titanium (TDMAT), tetrakis (ethylmethylamino) titanium (TEMAT), tetrakis (diethylamino) titanium (TDEAT), titanium tetrachloride (TiCl4), titanium iodide (Til4), titanium bromide (TiBr4), and other titanium halides. Exemplary silicon-containing compounds include silane, disilane, methylsilane, dimethylsilane, chlorosilane, dichlorosilane, and trichlorosilane. Exemplary nitrogen-containing compounds include nitrogen gas, ammonia, hydrazine, methylhydrazine, dimethlyhydrazine, t-butylhydrazine, phenylhydrazine, azoisobutane, ethylazide, and derivatives thereof.
- As mentioned above, these compounds or any other compound not listed above may be a solid, liquid, or gas at room temperature. The non-gas phase precursors must be subjected to a sublimation or vaporization step, which are both well known in the art, prior to introduction into the processing chamber. A carrier gas, such as argon, helium, nitrogen, hydrogen, or a mixture thereof, may also be used to help deliver the compound into the processing chamber, as is commonly known in the art.
- Similar to the process described above, each pulse of compound is performed sequentially, and is accompanied by a separate flow of non-reactive gas. The separate flow of non-reactive gas may be pulsed between each pulse of reactive compound or the separate flow of non-reactive gas may be introduced continuously throughout the deposition process. Exemplary non-reactive gases include argon, helium, nitrogen, hydrogen, and combinations thereof.
- A “compound” is intended to include one or more precursors, reductants, reactants, and catalysts. Each compound may be a single compound or a mixture/combination of two or more compounds.
- A “thin layer” as used herein refers to a layer of material deposited on a substrate surface having a thickness of about 20 angstroms or less, such as about 10 angstroms. A “feature” as used herein refers to a via, contact, line, or any other interconnect facet, e.g., vertical or horizontal interconnect, having an aspect ratio (height to width ratio) of about 4:1 or greater. A “substrate surface”, as used herein, refers to any substrate surface upon which film processing is performed. For example, a substrate surface may include silicon, silicon oxide, doped silicon, germanium, gallium arsenide, glass, sapphire, and any other materials such as metals, metal alloys, and other conductive materials, depending on the application. A substrate surface may also include dielectric materials such as silicon dioxide and carbon doped silicon oxides.
- “Cyclical deposition” as used herein refers to the sequential introduction of two or more compounds to deposit a thin layer on a substrate surface. The two or more compounds are sequentially introduced into a reaction zone of a processing chamber. Each compound is separated by a time delay/pause to allow each compound to adhere and/or react on the substrate surface. In one aspect, a first compound or compound A is dosed/pulsed into the reaction zone followed by a first time delay/pause. Next, a second compound or compound B is dosed/pulsed into the reaction zone followed by a second time delay. When a ternary material is desired, such as titanium silicon nitride, for example, a third compound (C), is dosed/pulsed into the reaction zone followed by a third time delay. These sequential tandems of a pulse of reactive compound followed by a time delay may be repeated indefinitely until a desired film or film thickness is formed on the substrate surface.
- A “pulse/dose” as used herein is intended to refer to a quantity of a particular compound that is intermittently or non-continuously introduced into a reaction zone of a processing chamber. The quantity of a particular compound within each pulse may vary over time, depending on the duration of the pulse. A particular compound may include a single compound or a mixture/combination of two or more compounds.
- A “reaction zone” is intended to include any volume that is in fluid communication with a substrate surface being processed. The reaction zone may include any volume within a processing chamber that is between a gas source and the substrate surface. For example, the reaction zone includes any volume downstream of a dosing valve in which a substrate is disposed.
- The durations for each pulse/dose are variable and may be adjusted to accommodate, for example, the volume capacity of the processing chamber as well as the capabilities of a vacuum system coupled thereto. Additionally, the dose time of a compound may vary according to the flow rate of the compound, the pressure of the compound, the temperature of the compound, the type of dosing valve, the type of control system employed, as well as the ability of the compound to adsorb onto the substrate surface. Dose times may also vary based upon the type of layer being formed and the geometry of the device being formed.
- Typically, the duration for each pulse/dose or “dose time” is typically about 1.0 second or less. However, a dose time can range from microseconds to milliseconds to seconds, and even to minutes. In general, a dose time should be long enough to provide a volume of compound sufficient to adsorb/chemisorb onto substantially the entire surface of the substrate and form a layer of the compound thereon.
- FIG. 1 illustrates a schematic, partial cross section of an
exemplary processing chamber 200 for use in a method of forming a barrier layer according to each of the embodiments of the present invention. Such aprocessing chamber 200 is available from Applied Materials, Inc. located in Santa Clara, Calif., and a brief description thereof follows. A more detailed description may be found in commonly assigned U.S. patent application Ser. No. 10/032,284, entitled “Gas Delivery Apparatus and Method For Atomic Layer Deposition”, filed on Dec. 21, 2001, which is incorporated herein by reference. - The
processing chamber 200 may be integrated into an integrated processing platform, such as an Endura™ platform also available from Applied Materials, Inc. Details of the Endura™ platform are described in commonly assigned U.S. patent application Ser. No. 09/451,628, entitled “Integrated Modular Processing Platform”, filed on Nov. 30, 1999, which is incorporated by reference herein. - The
chamber 200 includes achamber body 202 having aslit valve 208 formed in asidewall 204 thereof and asubstrate support 212 disposed therein. Thesubstrate support 212 is mounted to alift motor 214 to raise and lower thesubstrate support 212 and a substrate 210 disposed thereon. Thesubstrate support 212 may also include a vacuum chuck, an electrostatic chuck, or a clamp ring for securing thesubstrate 212 to thesubstrate support 212 during processing. Further, thesubstrate support 212 may be heated using an embedded heating element, such as a resistive heater, or may be heated using radiant heat, such as heating lamps disposed above thesubstrate support 212. Apurge ring 222 may be disposed on thesubstrate support 212 to define apurge channel 224 that provides a purge gas to prevent deposition on a peripheral portion of the substrate 210. - A
gas delivery apparatus 230 is disposed at an upper portion of thechamber body 202 to provide a gas, such as a process gas and/or a purge gas, to thechamber 200. Avacuum system 278 is in communication with apumping channel 279 to evacuate gases from thechamber 200 and to help maintain a desired pressure or a desired pressure range inside apumping zone 266 of thechamber 200. - The
gas delivery apparatus 230 includes achamber lid 232 having an expanding channel 234 formed within a central portion thereof. Thechamber lid 232 also includes a bottom surface 260 extending from the expanding channel 234 to a peripheral portion of thechamber lid 232. The bottom surface 260 is sized and shaped to substantially cover the substrate 210 disposed on thesubstrate support 212. The expanding channel 234 has an inner diameter that gradually increases from anupper portion 237 to alower portion 235 adjacent the bottom surface 260 of thechamber lid 232. The velocity of a gas flowing therethrough decreases as the gas flows through the expanding channel 234 due to the expansion of the gas. The decreased gas velocity reduces the likelihood of blowing off reactants adsorbed on the surface of the substrate 210. - The
gas delivery apparatus 230 also includes at least two high speed actuating valves 242 having one or more ports. At least one valve 242 is dedicated to each reactive compound. For example, a first valve is dedicated to a refractory metal-containing compound, such as tantalum and titanium, and a second valve is dedicated to a nitrogen-containing compound. When a ternary material is desired, a third valve is dedicated to an additional compound, such as a silicon-containing compound if a silicide is desired. - The valves242 may generally be any type of valve capable of reliably, repeatedly, and precisely metering the desired precursors at the desired rate of introduction. In some cases, dosing may be as fast as 1-2 milliseconds (msec). As one example, the valves 242 may be electronically controlled (EC) valves, which are commercially available from Fujikin of Japan as part number FR-21-6.35 UGF-APD. The valves 242 precisely and repeatedly deliver short pulses of the reactive compounds into the
chamber body 202. The on/off cycles or pulses of the valves 242 are less than about 100 msec. The valves 242 can be directly controlled by a system computer, such as a mainframe for example, or controlled by a chamber/application specific controller, such as a programmable logic computer (PLC) which is described in more detail in the co-pending U.S. patent application Ser. No. 09/800,881, entitled “Valve Control System For ALD Chamber”, filed on Mar. 7, 2001, which is incorporated by reference herein. - An exemplary process for forming a TaN barrier layer on a 200 mm wafer using a cyclical deposition process of alternate/sequential pulses of PDMAT and ammonia is described below. The process may be performed within a processing chamber, such as the
processing chamber 200 described in FIG. 1. PDMAT is a preferred tantalum-containing compound for a number of reasons. PDMAT is relatively stable, and has a vapor pressure which makes it easy to deliver. PDMAT may also be produced with a low halide content, such as less than 100 ppm, and may even be produced with a halide content of less than 30 ppm or even less than 5 ppm. Not wishing to be bound by theory, it is believed that an organo-metallic precursor with a low halide content is beneficial because halides (such as chlorine) incorporated in the barrier layer may attack the copper layer deposited thereover. - To deposit the TaN layer, an inert/purge gas such as argon is first introduced into the
processing chamber 200 to stabilize the pressure and temperature therein. This separate flow of gas flows continuously during the deposition process such that only the separate flow of gas flows between pulses of each compound. After the chamber pressure and temperature have been stabilized between about 200° C. and about 300° C. at about 1 Torr to about 5 Torr, a first pulse of PDMAT is provided from thegas source 238 at a flow rate between about between about 100 sccm and about 400 sccm, with a pulse time of about 0.6 seconds or less. A pulse of ammonia is then provided from thegas source 239 at a flow rate between about 200 sccm and about 600 sccm, with a pulse time of about 0.6 seconds or less. - A pause between pulses of PDMAT and ammonia is about 1.0 second or less, about 0.5 seconds or less, or about 0.1 seconds or less. A pause after the pulse of ammonia is also about 1.0 second or less, about 0.5 seconds or less, or about 0.1 seconds or less. Argon gas flowing between about 100 sccm and about 1,000 sccm, such as between about 100 sccm and about 400 sccm, is continuously provided from the
gas source 240 through each valve 242. In one aspect, at least a portion of a pulse of PDMAT may still be in the chamber when at a least a portion of a pulse of ammonia enters so that some co-reaction or gas phase co-reaction takes place. In another aspect, the duration of the purge gas and/or pump evacuation is designed to prevent the pulses of PDMAT and ammonia from mixing together in the reaction zone. - The heater temperature is maintained between about 100° C. and about 300° C. at a chamber pressure between about 1.0 and about 5.0 Torr. Each cycle consisting of a pulse of PDMAT, pause, pulse of ammonia, and pause provides a tantalum nitride layer having a thickness between about 0.3 Å and about 1.0 Å per cycle. The alternating sequence may be repeated until the desired thickness is achieved, which is less than about 20 Å, such as about 10 Å. Accordingly, the deposition method requires between 10 and 70 cycles, more typically between 20 and 30 cycles.
- A similar deposition method is used to deposit a barrier layer consisting of titanium silicon nitride. Each cycle consists of a pulse of a titanium-containing compound, a pause, a pulse of a silicon-containing compound, a pause, a pulse of a nitrogen-containing compound, and a pause. In one aspect, each cycle consists of a pulse of TDMAT, a first pause, a pulse of silane, a second pause, a pulse of ammonia, and a third pause.
- To initiate the cyclical deposition of a TixSiyN layer, argon is introduced into the
processing chamber 200 to stabilize the chamber pressure between about 1 Torr and about 5 Torr and the chamber temperature between about 200° C. and about 300° C. This separate flow of argon flows continuously during the deposition process such that only the argon flows between pulses of each compound. The separate flow of argon flows between about 100 sccm and about 1,000 sccm, such as between about 100 sccm and about 400 sccm. - After the chamber pressure and temperature have been stabilized at about 250° C. and 5 Torr, a pulse of TDMAT is provided at a flow rate between about between about 10 sccm and about 1,000 sccm, with a pulse time of about 0.6 seconds or less. A pulse of silane is then provided at a flow rate between about 5 sccm and about 500 sccm, with a pulse time of about 1 second or less. A pulse of ammonia is then provided at a flow rate between about 100 sccm and about 5,000 sccm, with a pulse time of about 0.6 seconds or less.
- A pause between pulses of TDMAT and silane is about 1.0 second or less, about 0.5 seconds or less, or about 0.1 seconds or less. A pause between pulses of silane and ammonia is about 1.0 second or less, about 0.5 seconds or less, or about 0.1 seconds or less. A pause after the pulse of ammonia is also about 1.0 second or less, about 0.5 seconds or less, or about 0.1 seconds or less. In one aspect, a pulse of TDMAT may still be in the chamber when a pulse of silane enters, and a pulse of silane may still be in the chamber when a pulse of ammonia enters.
- The heater temperature is maintained between about 100° C. and about 300° C. at a chamber pressure between about 1.0 and about 5.0 Torr. Each cycle consisting of a pulse of TDMAT, pause, pulse of silane, pause, pulse of ammonia, and pause provides a titanium silicon nitride layer having a thickness between about 0.3 Å and about 1.0 Å per cycle. The alternating sequence may be repeated until the desired thickness is achieved, which is less than about 20 Å, such as about 10 Å. Accordingly, the deposition method requires between 10 and 70 cycles.
- FIGS.2A-2D are cross sectional views of a wafer at different stages of a multi-layer metal interconnect fabrication sequence incorporating a thin barrier layer deposited using a cyclical deposition process described herein. FIG. 2A shows a lower
level metal interconnect 110 having adielectric layer 112 formed thereon. Thedielectric layer 112 may be any dielectric material including a low k dielectric material, whether presently known or yet to be discovered. For example, thedielectric layer 112 may be a silicon oxide or a carbon doped silicon oxide, for example. Thedielectric layer 112 has been etched to form afeature 114 therein using conventional and well-known techniques. Thefeature 114 may be a plug, via, contact, line, wire, or any other interconnect facet. Thefeature 114 hasvertical sidewalls 116 and a bottom 118, typically having an aspect ratio of 4:1 or greater, such as 6:1. The bottom 118 exposes at least a portion of the lowerlevel metal interconnect 110. - Referring to FIG. 2B, a
barrier layer 130 is deposited using the techniques described above on the bottom 118 as well as theside walls 116 of thefeature 114. Thebarrier layer 130 has a thickness less than about 20 Å, preferably about 10 Å. The barrier layer may be a binary material or a ternary material as discussed above. - Optionally, the patterned or etched
substrate dielectric layer 112 may be cleaned to remove native oxides or other contaminants from the surface thereof prior to depositing thebarrier layer 130. For example, reactive gases may be excited into a plasma within a remote plasma source chamber and delivered to theprocessing chamber 200. An exemplary remote plasma chamber is a Reactive Pre-clean II chamber available from Applied Materials, Inc., located in Santa Clara, Calif. Alternatively, theprocessing chamber 200 may be modified to deliver the pre-cleaning gas plasma through existing gas inlets. - In one aspect, the reactive pre-clean process forms radicals from a plasma of one or more reactive gases such as argon, helium, hydrogen, nitrogen, fluorine-containing compounds, and combinations thereof. For example, a reactive gas may include a mixture of tetrafluorocarbon (CF4) and oxygen (O2), or a mixture of helium (He) and nitrogen trifluoride (NF3).
- The plasma is typically generated by applying a power of about 500 to 2,000 watts RF at a frequency of about 200 KHz to 114 MHz. The flow of reactive gases ranges between about 100 and about 1,000 sccm and the plasma treatment lasts for about 10 to about 150 seconds. Preferably, the plasma is generated in one or more treatment cycles and purged between cycles. For example, four treatment cycles lasting 35 seconds each is effective.
- In another aspect, the patterned or etched
dielectric layer 112 may be pre-cleaned first using an argon plasma and then a hydrogen plasma. A processing gas having greater than about 50% argon by number of atoms is introduced at a pressure of about 0.8 mtorr. A plasma is struck to subject thedielectric layer 112 to an argon sputter cleaning environment. The argon plasma is preferably generated by applying between about 50 watts and about 500 watts of RF power. The argon plasma is maintained for between about 10 seconds and about 300 seconds to provide sufficient cleaning time for the deposits that are not readily removed by a reactive hydrogen plasma. Following the argon plasma, the chamber pressure is increased to about 140 mTorr, and a processing gas consisting essentially of hydrogen and helium is introduced into the processing region. Preferably, the processing gas comprises about 5% hydrogen and about 95% helium. The hydrogen plasma is generated by applying between about 50 watts and about 500 watts power. The hydrogen plasma is maintained for about 10 seconds to about 300 seconds. - Referring to FIGS. 2C and 2D, a metal, metal alloy, or a combination thereof is deposited over the
barrier layer 130 to at least partially fill thefeature 114. In one aspect, ametal seed layer 140 of a copper-containing material is first deposited having a thickness of about 1,000 Å to about 2,000 Å. Next, acopper metal layer 142 is deposited over theseed layer 140 to fill thefeature 114. - In one aspect, the copper-containing
seed layer 140 is deposited using high density plasma physical vapor deposition (HDP-PVD) to enable good conformal coverage. One example of a HDP-PVD chamber is the Ionized Metal Plasma (IMP) Vectra™ chamber, available from Applied Materials, Inc. of Santa Clara, Calif. The IMP chamber may also be integrated into an Endura™ platform, also available from Applied Materials, Inc. Of course, other techniques, such as physical vapor deposition, chemical vapor deposition, electroless plating, and electroplating, may be used. - The IMP chamber includes a target, coil, and biased substrate support member. To form the
copper seed layer 140, a power between about 0.5 kW and about 5 kW is applied to the target, and a power between about 0.5 kW and 3 kW is applied to the coil. A power between about 200 and about 500 W at a frequency of about 13.56 MHz is applied to bias the substrate. Argon is flowed into the chamber at a rate of about 35 sccm to about 85 sccm, and nitrogen may be added to the chamber at a rate of about 5 sccm to about 100 sccm. The substrate support member is heated to a temperature between about 50° C. and 250° C. as the pressure of the chamber is typically between about 5 mTorr to about 100 mTorr. - Referring to FIG. 2D, the
copper metal layer 142 may be deposited over thecopper seed layer 140 using CVD, PVD, electroless, or electroplating techniques. In one aspect, thecopper layer 142 is formed using an electroplating cell, such as the Electra™ Cu ECP system, available from Applied Materials, Inc., of Santa Clara, Calif. The Electra™ Cu ECP system may also be integrated into an Endura™ platform also available from Applied Materials, Inc. A copper electrolyte solution and copper electroplating technique is described in commonly assigned U.S. Pat. No. 6,113,771, entitled “Electro-deposition Chemistry”, which is incorporated by reference herein. Typically, the electroplating bath has a copper concentration greater than about 0.7M, a copper sulfate concentration of about 0.85, and a pH of about 1.75. The electroplating bath may also contain various additives as is well known in the art. The temperature of the bath is between about 15° C. and about 25° C. The bias is between about −15 volts to about 15 volts. In one aspect, the positive bias ranges from about 0.1 volts to about 10 volts and the negatives bias ranges from about −0.1 to about −10 volts. - Optionally, an anneal treatment may be performed following copper deposition whereby the wafer is subjected to a temperature between about 100° C. and about 400° C. for about 10 minutes to about 1 hour, preferably about 30 minutes. A non-reactive gas such as helium, hydrogen, nitrogen, or a mixture thereof is introduced at a rate of 100 to about 10,000 sccm. The chamber pressure is maintained between about 2 Torr and about 10 Torr. The RF power is about 200 W to about 1,000 W at a frequency of about 13.56 MHz, and the preferable substrate spacing is between about 300 mils and about 800 mils.
- Following deposition, the top portion of the resulting structure may be planarized following the copper metal deposition. A chemical mechanical polishing (CMP) apparatus may be used, such as the Mirra™ System available from Applied Materials, Santa Clara, Calif., for example. For example, portions of the
copper 140 and the dielectric 112 are removed from the top of the structure leaving a fully planar surface. Optionally, the intermediate surfaces of the structure may be planarized between the deposition of the subsequent layers described above. - As stated above, the processing steps of the embodiments described herein may be performed in an integrated processing platform such as the Endura™ platform available from Applied Materials, Inc. of Santa Clara, Calif. To facilitate the control and automation of the overall system, the integrated processing system may include a
controller 140 comprising a central processing unit (CPU) 142, memory 144, and support circuits 146. TheCPU 142 may be one of any form of computer processors that are used in industrial settings for controlling various drives and pressures. The memory 144 is connected to theCPU 142, and may be one or more of a readily available memory such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote. Software instructions and data can be coded and stored within the memory 144 for instructing theCPU 142. The support circuits 146 are also connected to theCPU 142 for supporting theprocessor 142 in a conventional manner. The support circuits 146 may include cache, power supplies, clock circuits, input/output circuitry, subsystems, and the like. - FIG. 3 is a transmission electron microscope (TEM) image of a
feature 300 having a titaniumnitride barrier layer 310 deposited therein according to the deposition techniques described above. Thefeature 300 had an aspect ratio of 5:1 and was formed on a 200 mm wafer. Thebarrier layer 310 consisted of tantalum nitride and was deposited at 250° C. and 2 Torr. Each cycle lasted about 2 seconds and 30 cycles were performed. The tantalumnitride barrier layer 310 had a thickness of about 15 angstroms. As shown, thebarrier layer 310 is conformal and shows good step coverage throughout theentire feature 300. - FIG. 4 is a TEM image showing a partial cross sectional view of a multilevel,
interconnect structure 400. The multi-level,interconnect structure 400 included a lowerlevel copper interconnect 405, a tantalumnitride barrier layer 410, and an upperlevel copper interconnect 420. As shown, the copper grain growth of the lowerlevel copper interconnect 405 extended across thebarrier layer 410 into the upperlevel copper interconnect 420. Thebarrier layer 410 consisted of tantalum nitride and was deposited at 250° C. and 2 Torr. Each cycle lasted about 2 seconds and 30 cycles were performed. Thebarrier layer 410 had a thickness of about 10 angstroms, which was sufficient to inhibit copper migration into the dielectric material, but not establish a growth pattern of its own. - It is believed that a refractory metal nitride layer having a thickness greater than about 20 angstroms will terminate the growth pattern of the lower level metal interconnect. A refractory metal nitride layer having a thickness of about 20 angstroms or more will establish a distinct growth pattern of its own, which would be initially adopted by the higher interconnect until the higher interconnect reaches a particular thickness and establishes its own pattern, thereby forming a different crystal structure. This phenomenon occurs because a growth pattern of a subsequently deposited layer typically resembles a growth pattern of an underlying layer during its initial stages of deposition, but the subsequent layer then takes on its own, inherent pattern once the subsequent layer reaches a particular thickness.
- Tantalum nitride, for example, has a natural inclination to form an amorphous structure at about 20 angstroms or more. Below about 20 angstroms, TaN resembles the growth pattern of its underlying layer. Therefore, a subsequent copper interconnect layer was surprisingly grown across a barrier layer deposited according to the methods of the present invention exhibiting a similar growth pattern as the underlying copper interconnect.
- The barrier layers310, 410 shown and described with reference to FIGS. 3 and 4 were measured using a TEM instrument. It can be appreciated that a margin of error is present with this kind of measurement technique as well as any other measurement technique for determining a thickness of a deposited layer. Therefore, the thicknesses provided herein are approximate and quantified according to the best available known techniques and are not intended to limit the scope of the present invention.
- While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.
Claims (48)
1. A method for forming a refractory metal nitride layer, comprising:
alternately pulsing a metal-containing compound and a nitrogen-containing compound until a refractory metal nitride layer having a thickness that exhibits a crystalline like structure and is sufficient to inhibit atomic migration is deposited over at least a portion of a substrate surface.
2. The method of claim 1 , wherein the refractory metal nitride layer comprises tantalum nitride.
3. The method of claim 1 , wherein the pulsing occurs at a pressure between about 1 and about 5 Torr at a temperature between about 200° C. and about 300° C.
4. The method of claim 1 , wherein each pulse is repeated until the refractory metal nitride layer has a thickness less than about 20 angstroms.
5. The method of claim 1 , wherein each pulse is repeated until the refractory metal nitride layer has a thickness of about 10 angstroms.
6. The method of claim 1 , wherein the refractory metal nitride layer has a thickness of about 10 angstroms.
7. The method of claim 1 , wherein the alternately pulsing is repeated between about 10 and about 70 times to form the refractory metal nitride layer.
8. The method of claim 1 , further comprising flowing a non-reactive gas continuously during each pulse of the metal-containing compound and each pulse of the nitrogen-containing compound.
9. The method of claim 8 , wherein the non-reactive gas comprises argon, nitrogen, helium, or a combination thereof.
10. The method of claim 8 , wherein the non-reactive gas has a flowrate of about 200 sccm to about 1,000 sccm.
11. The method of claim 1 , wherein each pulse of the metal-containing compound has a flow rate of about 100 sccm to about 1,000 sccm.
12. The method of claim 1 , wherein each pulse of the nitrogen-containing compound has a flow rate of about 100 sccm to about 1,000 sccm.
13. The method of claim 1 , wherein each pulse of the metal-containing compound and the nitrogen-containing is separated by a time delay.
14. The method of claim 13 , wherein each time delay is sufficient for a volume of the metal-containing compound or a volume of the nitrogen-containing compound to adsorb onto the substrate surface.
15 The method of claim 14 , wherein the time delay is sufficient to remove any non-adsorbed molecules from the substrate surface.
16. The method of claim 1 , wherein the nitrogen-containing compound is selected from a group consisting of nitrogen gas, ammonia, hydrazine, methylhydrazine, dimethlyhydrazine, t-butylhydrazine, phenylhydrazine, azoisobutane, ethylazide, and derivatives thereof, and combinations thereof.
17. The method of claim 1 , wherein the metal-containing compound is selected from a group consisting of pentaethyl methylamino-tantalum (PEMAT), pentadiethylamino-tantalum (PDEAT), pentadimethylamino-tantalum (PDMAT), t-butylimino tris(diethylamino) tantalum (TBTDET), t-butylimino tris(dimethylamino) tantalum (TBTDMT), bis(cyclopentadienyl) tantalum trihydride, bis (methylcyclopentadienyl) tantalum trihydride, tantalum fluoride, tantalum bromide, tantalum chloride, derivatives thereof, and combinations thereof.
18. A method for forming a refractory metal nitride layer, comprising:
alternately pulsing a metal-containing compound, a silicon-containing compound, and a nitrogen-containing compound until a refractory metal silicon nitride layer having a thickness less than about 20 angstroms is deposited over at least a portion of a substrate surface.
19. The method of claim 18 , wherein the refractory metal silicon nitride layer comprises titanium silicon nitride.
20. The method of claim 18 , wherein the pulsing occurs at a pressure of about 2 Torr and a temperature of about 250° C.
21. The method of claim 18 , wherein each pulse is repeated until the refractory metal silicon nitride layer has a maximum thickness that still exhibits a crystalline like structure.
22. The method of claim 18 , wherein each pulse is repeated until the refractory metal nitride layer has a thickness of about 10 angstroms.
23. The method of claim 18 , wherein the refractory metal silicon nitride layer has a thickness of about 10 angstroms.
24. The method of claim 18 , wherein the alternately pulsing is repeated between about 10 and about 70 times to form the 20 angstrom refractory metal silicon nitride layer.
25. The method of claim 18 , further comprising flowing a non-reactive gas continuously during each pulse of the metal-containing compound, each pulse of the silicon-containing compound, and each pulse of the nitrogen-containing compound.
26. The method of claim 25 , wherein the non-reactive gas comprises argon.
27. The method of claim 25 , wherein the non-reactive gas has a flowrate of about 100 sccm to about 1,000 sccm.
28. The method of claim 1 , wherein each pulse of the metal-containing compound, each pulse of the silicon-containing compound, and each pulse of the nitrogen-containing is separated by a time delay.
29. The method of claim 28 , wherein each time delay is sufficient for a volume of the metal-containing compound, a volume of the silicon-containing compound, or a volume of the nitrogen-containing compound to adsorb onto the substrate surface.
30 The method of claim 29 , wherein the time delay is sufficient to remove any non-adsorbed molecules from the substrate surface.
31. The method of claim 18 , wherein the nitrogen-containing compound is selected from a group consisting of nitrogen gas, ammonia, hydrazine, methylhydrazine, dimethlyhydrazine, t-butylhydrazine, phenylhydrazine, azoisobutane, ethylazide, and derivatives thereof, and combinations thereof.
32. The method of claim 18 , wherein the metal-containing compound is selected from a group consisting of tetrakis (dimethylamino) titanium (TDMAT), tetrakis (ethylmethylamino) titanium (TEMAT), tetrakis (diethylamino) titanium (TDEAT), titanium tetrachloride (TiCl4), titanium iodide (Til4), titanium bromide (TiBr4), and combinations thereof.
33. The method of claim 18 , wherein the silicon-containing compound is selected from a group consisting of silane, disilane, methylsilane, dimethylsilane, chlorosilane (SiH3Cl), dichlorosilane (SiH2Cl2), trichlorosilane (SiHCl3), and combinations thereof.
34. A method for forming a metal interconnect on a substrate, comprising:
depositing a first metal layer on a substrate surface;
depositing a refractory metal nitride layer having a thickness less than about 20 angstroms over at least a portion of the first metal layer by alternately introducing one or more pulses of a metal-containing compound and one or more pulses of a nitrogen-containing compound; and
depositing a second metal layer over the refractory metal nitride layer.
35. The method of claim 34 , wherein the refractory metal nitride layer comprises tantalum nitride.
36. The method of claim 34 , wherein a grain growth of the first metal layer continues across the barrier layer into the second metal layer.
37. The method of claim 34 , wherein each pulse is repeated until the refractory metal nitride layer has a maximum thickness that still exhibits a crystalline like structure.
38. The method of claim 34 , wherein each pulse is repeated until the refractory metal nitride layer has a thickness of about 10 angstroms.
39. The method of claim 34 , wherein the refractory metal nitride layer has a thickness of about 10 angstroms.
40. The method of claim 34 , wherein the metal layers comprise copper, a copper alloy, or combinations thereof.
41. The method of claim 40 , wherein depositing the metal layers comprises first depositing a copper seed layer and then an electrochemical plating copper layer.
42. The method of claim 34 , further comprising chemical mechanical polishing the substrate surface after depositing each metal layer.
43. The method of claim 34 , further comprising reactively cleaning the substrate surface prior to depositing the barrier layer.
44. The method of claim 34 , further comprising flowing a carrier gas continuously during each pulse of the metal-containing compound and each pulse of the nitrogen-containing compound.
45. The method of claim 34 , wherein each pulse is separated by a time delay.
46. The method of claim 45 , wherein the time delay is sufficient to remove any non-adsorbed molecules from the substrate surface.
47. The method of claim 34 , further comprising sequentially introducing a silane-containing compound to deposit a ternary metal containing barrier layer.
48. The method of claim 47 , wherein the ternary metal containing barrier layer comprises titanium silicon nitride.
Priority Applications (8)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US10/199,415 US20030082301A1 (en) | 2001-10-26 | 2002-07-18 | Enhanced copper growth with ultrathin barrier layer for high performance interconnects |
TW91125352A TWI223867B (en) | 2001-10-26 | 2002-10-25 | Method for forming a metal interconnect on a substrate |
PCT/US2002/034277 WO2003038892A2 (en) | 2001-10-26 | 2002-10-25 | Atomic-layer-deposited tantalum nitride and alpha-phase tantalum as barrier layers for copper metallization |
JP2003541048A JP4711624B2 (en) | 2001-10-26 | 2002-10-25 | Integration of ALD tantalum nitride and alpha phase tantalum for copper electrode formation applications |
CNB02821269XA CN1319146C (en) | 2001-10-26 | 2002-10-25 | Integration of ald tantalum nitride and alpha-phase tantalum for copper metallization application |
KR10-2004-7006190A KR20040058239A (en) | 2001-10-26 | 2002-10-25 | Integration of ald tantalum nitride and alpha-phase tantalum for copper metallization application |
US11/470,922 US8318266B2 (en) | 2001-10-26 | 2006-09-07 | Enhanced copper growth with ultrathin barrier layer for high performance interconnects |
US11/470,915 US8293328B2 (en) | 2001-10-26 | 2006-09-07 | Enhanced copper growth with ultrathin barrier layer for high performance interconnects |
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US34608601P | 2001-10-26 | 2001-10-26 | |
US10/199,415 US20030082301A1 (en) | 2001-10-26 | 2002-07-18 | Enhanced copper growth with ultrathin barrier layer for high performance interconnects |
Related Child Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US11/470,915 Continuation US8293328B2 (en) | 2001-10-26 | 2006-09-07 | Enhanced copper growth with ultrathin barrier layer for high performance interconnects |
US11/470,922 Continuation US8318266B2 (en) | 2001-10-26 | 2006-09-07 | Enhanced copper growth with ultrathin barrier layer for high performance interconnects |
Publications (1)
Publication Number | Publication Date |
---|---|
US20030082301A1 true US20030082301A1 (en) | 2003-05-01 |
Family
ID=36760924
Family Applications (10)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US10/032,284 Expired - Lifetime US6916398B2 (en) | 2001-10-26 | 2001-12-21 | Gas delivery apparatus and method for atomic layer deposition |
US10/193,333 Abandoned US20030082307A1 (en) | 2001-09-26 | 2002-07-10 | Integration of ALD tantalum nitride and alpha-phase tantalum for copper metallization application |
US10/199,415 Abandoned US20030082301A1 (en) | 2001-10-26 | 2002-07-18 | Enhanced copper growth with ultrathin barrier layer for high performance interconnects |
US10/281,386 Abandoned US20030124262A1 (en) | 2001-10-26 | 2002-10-25 | Integration of ALD tantalum nitride and alpha-phase tantalum for copper metallization application |
US11/077,753 Expired - Fee Related US7780788B2 (en) | 2001-10-26 | 2005-03-11 | Gas delivery apparatus for atomic layer deposition |
US11/470,922 Expired - Fee Related US8318266B2 (en) | 2001-10-26 | 2006-09-07 | Enhanced copper growth with ultrathin barrier layer for high performance interconnects |
US11/470,915 Active 2026-12-27 US8293328B2 (en) | 2001-10-26 | 2006-09-07 | Enhanced copper growth with ultrathin barrier layer for high performance interconnects |
US11/549,941 Abandoned US20070099415A1 (en) | 2001-10-26 | 2006-10-16 | Integration process of tungsten atomic layer deposition for metallization application |
US11/873,885 Abandoned US20080038463A1 (en) | 2001-10-26 | 2007-10-17 | Atomic layer deposition process |
US12/797,999 Expired - Lifetime US8668776B2 (en) | 2001-10-26 | 2010-06-10 | Gas delivery apparatus and method for atomic layer deposition |
Family Applications Before (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US10/032,284 Expired - Lifetime US6916398B2 (en) | 2001-10-26 | 2001-12-21 | Gas delivery apparatus and method for atomic layer deposition |
US10/193,333 Abandoned US20030082307A1 (en) | 2001-09-26 | 2002-07-10 | Integration of ALD tantalum nitride and alpha-phase tantalum for copper metallization application |
Family Applications After (7)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US10/281,386 Abandoned US20030124262A1 (en) | 2001-10-26 | 2002-10-25 | Integration of ALD tantalum nitride and alpha-phase tantalum for copper metallization application |
US11/077,753 Expired - Fee Related US7780788B2 (en) | 2001-10-26 | 2005-03-11 | Gas delivery apparatus for atomic layer deposition |
US11/470,922 Expired - Fee Related US8318266B2 (en) | 2001-10-26 | 2006-09-07 | Enhanced copper growth with ultrathin barrier layer for high performance interconnects |
US11/470,915 Active 2026-12-27 US8293328B2 (en) | 2001-10-26 | 2006-09-07 | Enhanced copper growth with ultrathin barrier layer for high performance interconnects |
US11/549,941 Abandoned US20070099415A1 (en) | 2001-10-26 | 2006-10-16 | Integration process of tungsten atomic layer deposition for metallization application |
US11/873,885 Abandoned US20080038463A1 (en) | 2001-10-26 | 2007-10-17 | Atomic layer deposition process |
US12/797,999 Expired - Lifetime US8668776B2 (en) | 2001-10-26 | 2010-06-10 | Gas delivery apparatus and method for atomic layer deposition |
Country Status (3)
Country | Link |
---|---|
US (10) | US6916398B2 (en) |
KR (1) | KR20040058239A (en) |
CN (2) | CN100524692C (en) |
Cited By (78)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20020036780A1 (en) * | 2000-09-27 | 2002-03-28 | Hiroaki Nakamura | Image processing apparatus |
US20030013300A1 (en) * | 2001-07-16 | 2003-01-16 | Applied Materials, Inc. | Method and apparatus for depositing tungsten after surface treatment to improve film characteristics |
US20030082307A1 (en) * | 2001-10-26 | 2003-05-01 | Applied Materials, Inc. | Integration of ALD tantalum nitride and alpha-phase tantalum for copper metallization application |
US20030108674A1 (en) * | 2001-12-07 | 2003-06-12 | Applied Materials, Inc. | Cyclical deposition of refractory metal silicon nitride |
US20030224600A1 (en) * | 2002-03-04 | 2003-12-04 | Wei Cao | Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor |
US20030232501A1 (en) * | 2002-06-14 | 2003-12-18 | Kher Shreyas S. | Surface pre-treatment for enhancement of nucleation of high dielectric constant materials |
US20030232511A1 (en) * | 2002-06-14 | 2003-12-18 | Applied Materials, Inc. | ALD metal oxide deposition process using direct oxidation |
US20030235961A1 (en) * | 2002-04-17 | 2003-12-25 | Applied Materials, Inc. | Cyclical sequential deposition of multicomponent films |
US20040018304A1 (en) * | 2002-07-10 | 2004-01-29 | Applied Materials, Inc. | Method of film deposition using activated precursor gases |
US20040144311A1 (en) * | 2002-11-14 | 2004-07-29 | Ling Chen | Apparatus and method for hybrid chemical processing |
US20040175926A1 (en) * | 2003-03-07 | 2004-09-09 | Advanced Micro Devices, Inc. | Method for manufacturing a semiconductor component having a barrier-lined opening |
US20040197492A1 (en) * | 2001-05-07 | 2004-10-07 | Applied Materials, Inc. | CVD TiSiN barrier for copper integration |
US20040198069A1 (en) * | 2003-04-04 | 2004-10-07 | Applied Materials, Inc. | Method for hafnium nitride deposition |
US20040247788A1 (en) * | 2001-10-10 | 2004-12-09 | Hongbin Fang | Method for depositing refractory metal layers employing sequential deposition techniques |
US6831004B2 (en) | 2000-06-27 | 2004-12-14 | Applied Materials, Inc. | Formation of boride barrier layers using chemisorption techniques |
US20040256351A1 (en) * | 2003-01-07 | 2004-12-23 | Hua Chung | Integration of ALD/CVD barriers with porous low k materials |
WO2004114398A1 (en) * | 2003-06-13 | 2004-12-29 | Applied Materials, Inc. | Integration of ald tantalum nitride for copper metallization |
US20050009325A1 (en) * | 2003-06-18 | 2005-01-13 | Hua Chung | Atomic layer deposition of barrier materials |
US20050009371A1 (en) * | 2002-06-14 | 2005-01-13 | Metzner Craig R. | System and method for forming a gate dielectric |
US20050008779A1 (en) * | 2002-04-08 | 2005-01-13 | Yang Michael Xi | Multiple precursor cyclical depositon system |
US20050287807A1 (en) * | 2001-07-16 | 2005-12-29 | Applied Materials, Inc. | Formation of composite tungsten films |
US20060003581A1 (en) * | 2004-06-30 | 2006-01-05 | Johnston Steven W | Atomic layer deposited tantalum containing adhesion layer |
US20060019495A1 (en) * | 2004-07-20 | 2006-01-26 | Applied Materials, Inc. | Atomic layer deposition of tantalum-containing materials using the tantalum precursor taimata |
US20060128150A1 (en) * | 2004-12-10 | 2006-06-15 | Applied Materials, Inc. | Ruthenium as an underlayer for tungsten film deposition |
US20060205235A1 (en) * | 2004-12-01 | 2006-09-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and fabrication method thereof |
US20070151509A1 (en) * | 2005-12-20 | 2007-07-05 | General Electric Company | Apparatus for making crystalline composition |
US20070178234A1 (en) * | 2003-11-20 | 2007-08-02 | Ulvac, Inc. | Method of cleaning surface of semiconductor substrate, method of manufacturing thin film, method of manufacturing semiconductor device, and semiconductor device |
US20080041313A1 (en) * | 2001-10-26 | 2008-02-21 | Ling Chen | Gas delivery apparatus for atomic layer deposition |
US20080085611A1 (en) * | 2006-10-09 | 2008-04-10 | Amit Khandelwal | Deposition and densification process for titanium nitride barrier layers |
US20090056626A1 (en) * | 2002-01-25 | 2009-03-05 | Applied Materials, Inc. | Apparatus for cyclical depositing of thin films |
US20090078916A1 (en) * | 2007-09-25 | 2009-03-26 | Applied Materials, Inc. | Tantalum carbide nitride materials by vapor deposition processes |
US20090081868A1 (en) * | 2007-09-25 | 2009-03-26 | Applied Materials, Inc. | Vapor deposition processes for tantalum carbide nitride materials |
US20090087585A1 (en) * | 2007-09-28 | 2009-04-02 | Wei Ti Lee | Deposition processes for titanium nitride barrier and aluminum |
US20090098290A1 (en) * | 2004-09-27 | 2009-04-16 | Mikio Watanabe | Process for formation of copper-containing films |
US20100003406A1 (en) * | 2008-07-03 | 2010-01-07 | Applied Materials, Inc. | Apparatuses and methods for atomic layer deposition |
US7659158B2 (en) | 2008-03-31 | 2010-02-09 | Applied Materials, Inc. | Atomic layer deposition processes for non-volatile memory devices |
US7670945B2 (en) | 1998-10-01 | 2010-03-02 | Applied Materials, Inc. | In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application |
US7674715B2 (en) | 2000-06-28 | 2010-03-09 | Applied Materials, Inc. | Method for forming tungsten materials during vapor deposition processes |
US7678194B2 (en) | 2002-07-17 | 2010-03-16 | Applied Materials, Inc. | Method for providing gas to a processing chamber |
US7682946B2 (en) | 2005-11-04 | 2010-03-23 | Applied Materials, Inc. | Apparatus and process for plasma-enhanced atomic layer deposition |
US20100075494A1 (en) * | 2001-09-26 | 2010-03-25 | Hua Chung | Integration of ald tantalum nitride for copper metallization |
US7695563B2 (en) | 2001-07-13 | 2010-04-13 | Applied Materials, Inc. | Pulsed deposition process for tungsten nucleation |
US7709385B2 (en) | 2000-06-28 | 2010-05-04 | Applied Materials, Inc. | Method for depositing tungsten-containing layers by vapor deposition techniques |
US7732325B2 (en) | 2002-01-26 | 2010-06-08 | Applied Materials, Inc. | Plasma-enhanced cyclic layer deposition process for barrier layers |
US7732327B2 (en) | 2000-06-28 | 2010-06-08 | Applied Materials, Inc. | Vapor deposition of tungsten materials |
US7745333B2 (en) | 2000-06-28 | 2010-06-29 | Applied Materials, Inc. | Methods for depositing tungsten layers employing atomic layer deposition techniques |
US7745329B2 (en) | 2002-02-26 | 2010-06-29 | Applied Materials, Inc. | Tungsten nitride atomic layer deposition processes |
US20100193955A1 (en) * | 2009-02-02 | 2010-08-05 | Asm America, Inc. | Plasma-enhanced atomic layer deposition of conductive material over dielectric layers |
US7794544B2 (en) | 2004-05-12 | 2010-09-14 | Applied Materials, Inc. | Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system |
US7798096B2 (en) | 2006-05-05 | 2010-09-21 | Applied Materials, Inc. | Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool |
US20100291290A1 (en) * | 2004-09-27 | 2010-11-18 | Mikio Watanabe | Method for forming copper distributing wires |
US7867914B2 (en) | 2002-04-16 | 2011-01-11 | Applied Materials, Inc. | System and method for forming an integrated barrier layer |
US7964505B2 (en) | 2005-01-19 | 2011-06-21 | Applied Materials, Inc. | Atomic layer deposition of tungsten materials |
US7972978B2 (en) | 2005-08-26 | 2011-07-05 | Applied Materials, Inc. | Pretreatment processes within a batch ALD reactor |
US20110175233A1 (en) * | 2010-01-19 | 2011-07-21 | Akira Ueki | Semiconductor device and method for fabricating the same |
US8110489B2 (en) | 2001-07-25 | 2012-02-07 | Applied Materials, Inc. | Process for forming cobalt-containing materials |
US8119210B2 (en) | 2004-05-21 | 2012-02-21 | Applied Materials, Inc. | Formation of a silicon oxynitride layer on a high-k dielectric material |
US8146896B2 (en) | 2008-10-31 | 2012-04-03 | Applied Materials, Inc. | Chemical precursor ampoule for vapor deposition processes |
US8187970B2 (en) | 2001-07-25 | 2012-05-29 | Applied Materials, Inc. | Process for forming cobalt and cobalt silicide materials in tungsten contact applications |
US20120266821A1 (en) * | 2005-01-18 | 2012-10-25 | Asm America, Inc. | Reaction system for growing a thin film |
US8323754B2 (en) | 2004-05-21 | 2012-12-04 | Applied Materials, Inc. | Stabilization of high-k dielectric materials |
US8491967B2 (en) * | 2008-09-08 | 2013-07-23 | Applied Materials, Inc. | In-situ chamber treatment and deposition process |
US20140161992A1 (en) * | 2012-12-12 | 2014-06-12 | Tokyo Electron Limited | Method for forming copper wiring |
US9051641B2 (en) | 2001-07-25 | 2015-06-09 | Applied Materials, Inc. | Cobalt deposition on barrier surfaces |
US9418890B2 (en) | 2008-09-08 | 2016-08-16 | Applied Materials, Inc. | Method for tuning a deposition rate during an atomic layer deposition process |
US20160312360A1 (en) * | 2015-04-22 | 2016-10-27 | Applied Materials, Inc. | Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate |
US10872804B2 (en) | 2017-11-03 | 2020-12-22 | Asm Ip Holding B.V. | Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination |
US10872803B2 (en) | 2017-11-03 | 2020-12-22 | Asm Ip Holding B.V. | Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination |
US11009339B2 (en) | 2018-08-23 | 2021-05-18 | Applied Materials, Inc. | Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries |
US11015252B2 (en) | 2018-04-27 | 2021-05-25 | Applied Materials, Inc. | Protection of components from corrosion |
US11028480B2 (en) | 2018-03-19 | 2021-06-08 | Applied Materials, Inc. | Methods of protecting metallic components against corrosion using chromium-containing thin films |
US11466364B2 (en) | 2019-09-06 | 2022-10-11 | Applied Materials, Inc. | Methods for forming protective coatings containing crystallized aluminum oxide |
US11519066B2 (en) | 2020-05-21 | 2022-12-06 | Applied Materials, Inc. | Nitride protective coatings on aerospace components and methods for making the same |
US11694912B2 (en) | 2017-08-18 | 2023-07-04 | Applied Materials, Inc. | High pressure and high temperature anneal chamber |
US11697879B2 (en) | 2019-06-14 | 2023-07-11 | Applied Materials, Inc. | Methods for depositing sacrificial coatings on aerospace components |
US11732353B2 (en) | 2019-04-26 | 2023-08-22 | Applied Materials, Inc. | Methods of protecting aerospace components against corrosion and oxidation |
US11739429B2 (en) | 2020-07-03 | 2023-08-29 | Applied Materials, Inc. | Methods for refurbishing aerospace components |
US11794382B2 (en) | 2019-05-16 | 2023-10-24 | Applied Materials, Inc. | Methods for depositing anti-coking protective coatings on aerospace components |
Families Citing this family (729)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10047430B2 (en) | 1999-10-08 | 2018-08-14 | Applied Materials, Inc. | Self-ionized and inductively-coupled plasma for sputtering and resputtering |
US8696875B2 (en) | 1999-10-08 | 2014-04-15 | Applied Materials, Inc. | Self-ionized and inductively-coupled plasma for sputtering and resputtering |
US6451692B1 (en) * | 2000-08-18 | 2002-09-17 | Micron Technology, Inc. | Preheating of chemical vapor deposition precursors |
US6951804B2 (en) | 2001-02-02 | 2005-10-04 | Applied Materials, Inc. | Formation of a tantalum-nitride layer |
US6878206B2 (en) | 2001-07-16 | 2005-04-12 | Applied Materials, Inc. | Lid assembly for a processing system to facilitate sequential deposition techniques |
WO2003030224A2 (en) * | 2001-07-25 | 2003-04-10 | Applied Materials, Inc. | Barrier formation using novel sputter-deposition method |
US20030029715A1 (en) * | 2001-07-25 | 2003-02-13 | Applied Materials, Inc. | An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems |
US7085616B2 (en) | 2001-07-27 | 2006-08-01 | Applied Materials, Inc. | Atomic layer deposition apparatus |
US6718126B2 (en) * | 2001-09-14 | 2004-04-06 | Applied Materials, Inc. | Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition |
US6936906B2 (en) * | 2001-09-26 | 2005-08-30 | Applied Materials, Inc. | Integration of barrier layer and seed layer |
US7780789B2 (en) * | 2001-10-26 | 2010-08-24 | Applied Materials, Inc. | Vortex chamber lids for atomic layer deposition |
US20080102203A1 (en) * | 2001-10-26 | 2008-05-01 | Dien-Yeh Wu | Vortex chamber lids for atomic layer deposition |
US6939801B2 (en) * | 2001-12-21 | 2005-09-06 | Applied Materials, Inc. | Selective deposition of a barrier layer on a dielectric material |
US6866746B2 (en) * | 2002-01-26 | 2005-03-15 | Applied Materials, Inc. | Clamshell and small volume chamber with fixed substrate support |
US6998014B2 (en) * | 2002-01-26 | 2006-02-14 | Applied Materials, Inc. | Apparatus and method for plasma assisted deposition |
US7220312B2 (en) * | 2002-03-13 | 2007-05-22 | Micron Technology, Inc. | Methods for treating semiconductor substrates |
US6861094B2 (en) * | 2002-04-25 | 2005-03-01 | Micron Technology, Inc. | Methods for forming thin layers of materials on micro-device workpieces |
US6838114B2 (en) * | 2002-05-24 | 2005-01-04 | Micron Technology, Inc. | Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces |
US7041335B2 (en) * | 2002-06-04 | 2006-05-09 | Applied Materials, Inc. | Titanium tantalum nitride silicide layer |
US7118783B2 (en) * | 2002-06-26 | 2006-10-10 | Micron Technology, Inc. | Methods and apparatus for vapor processing of micro-device workpieces |
US6821347B2 (en) * | 2002-07-08 | 2004-11-23 | Micron Technology, Inc. | Apparatus and method for depositing materials onto microelectronic workpieces |
US7524374B2 (en) | 2002-07-17 | 2009-04-28 | Applied Materials, Inc. | Method and apparatus for generating a precursor for a semiconductor processing system |
KR100476370B1 (en) * | 2002-07-19 | 2005-03-16 | 주식회사 하이닉스반도체 | Batch type Atomic Layer Deposition and method for insitu-cleaning in the batch type atomic layer deposition |
US6915592B2 (en) * | 2002-07-29 | 2005-07-12 | Applied Materials, Inc. | Method and apparatus for generating gas to a processing chamber |
US7504006B2 (en) | 2002-08-01 | 2009-03-17 | Applied Materials, Inc. | Self-ionized and capacitively-coupled plasma for sputtering and resputtering |
US6753271B2 (en) * | 2002-08-15 | 2004-06-22 | Micron Technology, Inc. | Atomic layer deposition methods |
US20050181212A1 (en) * | 2004-02-17 | 2005-08-18 | General Electric Company | Composite articles having diffusion barriers and devices incorporating the same |
US20040069227A1 (en) * | 2002-10-09 | 2004-04-15 | Applied Materials, Inc. | Processing chamber configured for uniform gas flow |
US6905737B2 (en) * | 2002-10-11 | 2005-06-14 | Applied Materials, Inc. | Method of delivering activated species for rapid cyclical deposition |
US7540920B2 (en) * | 2002-10-18 | 2009-06-02 | Applied Materials, Inc. | Silicon-containing layer deposition with silicon compounds |
JP3866655B2 (en) * | 2002-12-26 | 2007-01-10 | 励起 渡辺 | Processing apparatus and processing method |
US7262133B2 (en) * | 2003-01-07 | 2007-08-28 | Applied Materials, Inc. | Enhancement of copper line reliability using thin ALD tan film to cap the copper line |
US20040177813A1 (en) | 2003-03-12 | 2004-09-16 | Applied Materials, Inc. | Substrate support lift mechanism |
US20040180551A1 (en) * | 2003-03-13 | 2004-09-16 | Biles Peter John | Carbon hard mask for aluminum interconnect fabrication |
US7342984B1 (en) | 2003-04-03 | 2008-03-11 | Zilog, Inc. | Counting clock cycles over the duration of a first character and using a remainder value to determine when to sample a bit of a second character |
JP5342110B2 (en) * | 2003-05-27 | 2013-11-13 | アプライド マテリアルズ インコーポレイテッド | Source canister containing precursor and method for filling features using the same |
KR100724181B1 (en) * | 2003-06-16 | 2007-05-31 | 동경 엘렉트론 주식회사 | Process for depositing film, process for fabricating semiconductor device, semiconductor device and system for depositing film |
US6880592B2 (en) * | 2003-06-26 | 2005-04-19 | Advanced Technology Materials, Inc. | Canister guard |
US20050037613A1 (en) * | 2003-08-14 | 2005-02-17 | Stephan Grunow | Diffusion barrier for copper lines in integrated circuits |
US8152922B2 (en) * | 2003-08-29 | 2012-04-10 | Asm America, Inc. | Gas mixer and manifold assembly for ALD reactor |
US7282239B2 (en) * | 2003-09-18 | 2007-10-16 | Micron Technology, Inc. | Systems and methods for depositing material onto microfeature workpieces in reaction chambers |
US7169713B2 (en) * | 2003-09-26 | 2007-01-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Atomic layer deposition (ALD) method with enhanced deposition rate |
US8501594B2 (en) * | 2003-10-10 | 2013-08-06 | Applied Materials, Inc. | Methods for forming silicon germanium layers |
US7166528B2 (en) * | 2003-10-10 | 2007-01-23 | Applied Materials, Inc. | Methods of selective deposition of heavily doped epitaxial SiGe |
US7647886B2 (en) * | 2003-10-15 | 2010-01-19 | Micron Technology, Inc. | Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers |
US8536492B2 (en) * | 2003-10-27 | 2013-09-17 | Applied Materials, Inc. | Processing multilayer semiconductors with multiple heat sources |
US20050095859A1 (en) * | 2003-11-03 | 2005-05-05 | Applied Materials, Inc. | Precursor delivery system with rate control |
US20050109276A1 (en) * | 2003-11-25 | 2005-05-26 | Applied Materials, Inc. | Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber |
US7258892B2 (en) | 2003-12-10 | 2007-08-21 | Micron Technology, Inc. | Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition |
US7906393B2 (en) | 2004-01-28 | 2011-03-15 | Micron Technology, Inc. | Methods for forming small-scale capacitor structures |
US20060051966A1 (en) * | 2004-02-26 | 2006-03-09 | Applied Materials, Inc. | In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber |
US7780793B2 (en) * | 2004-02-26 | 2010-08-24 | Applied Materials, Inc. | Passivation layer formation by plasma clean process to reduce native oxide growth |
US20050230350A1 (en) * | 2004-02-26 | 2005-10-20 | Applied Materials, Inc. | In-situ dry clean chamber for front end of line fabrication |
US7445810B2 (en) * | 2004-04-15 | 2008-11-04 | Hewlett-Packard Development Company, L.P. | Method of making a tantalum layer and apparatus using a tantalum layer |
US8133554B2 (en) | 2004-05-06 | 2012-03-13 | Micron Technology, Inc. | Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces |
US7211507B2 (en) * | 2004-06-02 | 2007-05-01 | International Business Machines Corporation | PE-ALD of TaN diffusion barrier region on low-k materials |
US7699932B2 (en) | 2004-06-02 | 2010-04-20 | Micron Technology, Inc. | Reactors, systems and methods for depositing thin films onto microfeature workpieces |
US8202575B2 (en) * | 2004-06-28 | 2012-06-19 | Cambridge Nanotech, Inc. | Vapor deposition systems and methods |
US20060019032A1 (en) * | 2004-07-23 | 2006-01-26 | Yaxin Wang | Low thermal budget silicon nitride formation for advance transistor fabrication |
KR100552820B1 (en) * | 2004-09-17 | 2006-02-21 | 동부아남반도체 주식회사 | Manufacturing method of semiconductor device |
US20060084283A1 (en) * | 2004-10-20 | 2006-04-20 | Paranjpe Ajit P | Low temperature sin deposition methods |
US7312128B2 (en) * | 2004-12-01 | 2007-12-25 | Applied Materials, Inc. | Selective epitaxy process with alternating gas supply |
US7682940B2 (en) * | 2004-12-01 | 2010-03-23 | Applied Materials, Inc. | Use of Cl2 and/or HCl during silicon epitaxial film formation |
US7560352B2 (en) * | 2004-12-01 | 2009-07-14 | Applied Materials, Inc. | Selective deposition |
US20060199386A1 (en) * | 2004-12-27 | 2006-09-07 | Jim-Jey Huang | Semiconductor device with low-resistance inlaid copper/barrier interconnects and method for manufacturing the same |
US7235492B2 (en) * | 2005-01-31 | 2007-06-26 | Applied Materials, Inc. | Low temperature etchant for treatment of silicon-containing surfaces |
US20080070017A1 (en) * | 2005-02-10 | 2008-03-20 | Naoki Yoshii | Layered Thin Film Structure, Layered Thin Film Forming Method, Film Forming System and Storage Medium |
US7265048B2 (en) | 2005-03-01 | 2007-09-04 | Applied Materials, Inc. | Reduction of copper dewetting by transition metal deposition |
JP4931173B2 (en) * | 2005-03-03 | 2012-05-16 | 株式会社アルバック | Method for forming tantalum nitride film |
JP4931174B2 (en) * | 2005-03-03 | 2012-05-16 | 株式会社アルバック | Method for forming tantalum nitride film |
US20060246699A1 (en) * | 2005-03-18 | 2006-11-02 | Weidman Timothy W | Process for electroless copper deposition on a ruthenium seed |
US7651934B2 (en) | 2005-03-18 | 2010-01-26 | Applied Materials, Inc. | Process for electroless copper deposition |
US8486845B2 (en) * | 2005-03-21 | 2013-07-16 | Tokyo Electron Limited | Plasma enhanced atomic layer deposition system and method |
US7351285B2 (en) * | 2005-03-29 | 2008-04-01 | Tokyo Electron Limited | Method and system for forming a variable thickness seed layer |
CN100595974C (en) * | 2005-03-30 | 2010-03-24 | 松下电器产业株式会社 | Transmission line |
US8298336B2 (en) * | 2005-04-01 | 2012-10-30 | Lam Research Corporation | High strip rate downstream chamber |
US7662729B2 (en) | 2005-04-28 | 2010-02-16 | Micron Technology, Inc. | Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer |
US20060286774A1 (en) * | 2005-06-21 | 2006-12-21 | Applied Materials. Inc. | Method for forming silicon-containing materials during a photoexcitation deposition process |
US7651955B2 (en) * | 2005-06-21 | 2010-01-26 | Applied Materials, Inc. | Method for forming silicon-containing materials during a photoexcitation deposition process |
US7648927B2 (en) | 2005-06-21 | 2010-01-19 | Applied Materials, Inc. | Method for forming silicon-containing materials during a photoexcitation deposition process |
US7550381B2 (en) * | 2005-07-18 | 2009-06-23 | Applied Materials, Inc. | Contact clean by remote plasma and repair of silicide surface |
US20070020890A1 (en) * | 2005-07-19 | 2007-01-25 | Applied Materials, Inc. | Method and apparatus for semiconductor processing |
US20070049043A1 (en) * | 2005-08-23 | 2007-03-01 | Applied Materials, Inc. | Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement |
US20070054046A1 (en) * | 2005-09-06 | 2007-03-08 | Tokyo Electron Limited | Method of forming a tantalum-containing layer from a metalorganic precursor |
US20070054047A1 (en) * | 2005-09-06 | 2007-03-08 | Tokyo Electron Limited | Method of forming a tantalum-containing layer from a metalorganic precursor |
US20070065576A1 (en) * | 2005-09-09 | 2007-03-22 | Vikram Singh | Technique for atomic layer deposition |
US20070082507A1 (en) * | 2005-10-06 | 2007-04-12 | Applied Materials, Inc. | Method and apparatus for the low temperature deposition of doped silicon nitride films |
US7464917B2 (en) * | 2005-10-07 | 2008-12-16 | Appiled Materials, Inc. | Ampoule splash guard apparatus |
US8460519B2 (en) * | 2005-10-28 | 2013-06-11 | Applied Materials Inc. | Protective offset sputtering |
US8454804B2 (en) * | 2005-10-28 | 2013-06-04 | Applied Materials Inc. | Protective offset sputtering |
US7884032B2 (en) * | 2005-10-28 | 2011-02-08 | Applied Materials, Inc. | Thin film deposition |
US8216374B2 (en) * | 2005-12-22 | 2012-07-10 | Applied Materials, Inc. | Gas coupler for substrate processing chamber |
KR100717501B1 (en) * | 2005-12-29 | 2007-05-14 | 동부일렉트로닉스 주식회사 | Manufacturing method of metal line in semiconductor device |
WO2007084493A2 (en) * | 2006-01-19 | 2007-07-26 | Asm America, Inc. | High temperature ald inlet manifold |
US20070169687A1 (en) * | 2006-01-26 | 2007-07-26 | Caracal, Inc. | Silicon carbide formation by alternating pulses |
US7709402B2 (en) | 2006-02-16 | 2010-05-04 | Micron Technology, Inc. | Conductive layers for hafnium silicon oxynitride films |
US20070193637A1 (en) * | 2006-02-23 | 2007-08-23 | Micron Technology, Inc. | Systems and methods for controlling fluid flow |
US7645484B2 (en) * | 2006-03-31 | 2010-01-12 | Tokyo Electron Limited | Method of forming a metal carbide or metal carbonitride film having improved adhesion |
US7674337B2 (en) * | 2006-04-07 | 2010-03-09 | Applied Materials, Inc. | Gas manifolds for use during epitaxial film formation |
US20070252299A1 (en) * | 2006-04-27 | 2007-11-01 | Applied Materials, Inc. | Synchronization of precursor pulsing and wafer rotation |
US20070259111A1 (en) * | 2006-05-05 | 2007-11-08 | Singh Kaushal K | Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film |
US20080026149A1 (en) * | 2006-05-31 | 2008-01-31 | Asm America, Inc. | Methods and systems for selectively depositing si-containing films using chloropolysilanes |
US7501355B2 (en) * | 2006-06-29 | 2009-03-10 | Applied Materials, Inc. | Decreasing the etch rate of silicon nitride by carbon addition |
WO2008005892A2 (en) * | 2006-06-30 | 2008-01-10 | Applied Materials, Inc. | Nanocrystal formation |
JP2008034648A (en) * | 2006-07-28 | 2008-02-14 | Dainippon Screen Mfg Co Ltd | Substrate processing device |
CN103981568A (en) | 2006-07-31 | 2014-08-13 | 应用材料公司 | Methods of forming carbon-containing silicon epitaxial layers |
CN101496150B (en) * | 2006-07-31 | 2012-07-18 | 应用材料公司 | Methods of controlling morphology during epitaxial layer formation |
US7727908B2 (en) | 2006-08-03 | 2010-06-01 | Micron Technology, Inc. | Deposition of ZrA1ON films |
JP5125031B2 (en) * | 2006-08-29 | 2013-01-23 | 東京エレクトロン株式会社 | Vacuum processing apparatus and vacuum processing method |
US7759747B2 (en) | 2006-08-31 | 2010-07-20 | Micron Technology, Inc. | Tantalum aluminum oxynitride high-κ dielectric |
US7605030B2 (en) | 2006-08-31 | 2009-10-20 | Micron Technology, Inc. | Hafnium tantalum oxynitride high-k dielectric and metal gates |
US7432548B2 (en) | 2006-08-31 | 2008-10-07 | Micron Technology, Inc. | Silicon lanthanide oxynitride films |
US7563730B2 (en) | 2006-08-31 | 2009-07-21 | Micron Technology, Inc. | Hafnium lanthanide oxynitride films |
US7776765B2 (en) | 2006-08-31 | 2010-08-17 | Micron Technology, Inc. | Tantalum silicon oxynitride high-k dielectrics and metal gates |
US7544604B2 (en) * | 2006-08-31 | 2009-06-09 | Micron Technology, Inc. | Tantalum lanthanide oxynitride films |
CN101528973B (en) * | 2006-10-24 | 2012-04-25 | 应用材料公司 | Vortex chamber lids for atomic layer deposition |
US7775508B2 (en) * | 2006-10-31 | 2010-08-17 | Applied Materials, Inc. | Ampoule for liquid draw and vapor draw with a continuous level sensor |
US7692222B2 (en) * | 2006-11-07 | 2010-04-06 | Raytheon Company | Atomic layer deposition in the formation of gate structures for III-V semiconductor |
US7741200B2 (en) * | 2006-12-01 | 2010-06-22 | Applied Materials, Inc. | Formation and treatment of epitaxial layer containing silicon and carbon |
US7837790B2 (en) * | 2006-12-01 | 2010-11-23 | Applied Materials, Inc. | Formation and treatment of epitaxial layer containing silicon and carbon |
US20080132039A1 (en) * | 2006-12-01 | 2008-06-05 | Yonah Cho | Formation and treatment of epitaxial layer containing silicon and carbon |
US20080138955A1 (en) * | 2006-12-12 | 2008-06-12 | Zhiyuan Ye | Formation of epitaxial layer containing silicon |
US8394196B2 (en) * | 2006-12-12 | 2013-03-12 | Applied Materials, Inc. | Formation of in-situ phosphorus doped epitaxial layer containing silicon and carbon |
US7897495B2 (en) * | 2006-12-12 | 2011-03-01 | Applied Materials, Inc. | Formation of epitaxial layer containing silicon and carbon |
US7960236B2 (en) * | 2006-12-12 | 2011-06-14 | Applied Materials, Inc. | Phosphorus containing Si epitaxial layers in N-type source/drain junctions |
US20080145536A1 (en) * | 2006-12-13 | 2008-06-19 | Applied Materials, Inc. | METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION |
US8026605B2 (en) * | 2006-12-14 | 2011-09-27 | Lam Research Corporation | Interconnect structure and method of manufacturing a damascene structure |
US8821637B2 (en) | 2007-01-29 | 2014-09-02 | Applied Materials, Inc. | Temperature controlled lid assembly for tungsten nitride deposition |
US9064960B2 (en) * | 2007-01-31 | 2015-06-23 | Applied Materials, Inc. | Selective epitaxy process control |
US7776733B2 (en) * | 2007-05-02 | 2010-08-17 | Tokyo Electron Limited | Method for depositing titanium nitride films for semiconductor manufacturing |
US7589020B2 (en) * | 2007-05-02 | 2009-09-15 | Tokyo Electron Limited | Method for depositing titanium nitride films for semiconductor manufacturing |
KR20090018290A (en) * | 2007-08-17 | 2009-02-20 | 에이에스엠지니텍코리아 주식회사 | Deposition apparatus |
KR101046520B1 (en) * | 2007-09-07 | 2011-07-04 | 어플라이드 머티어리얼스, 인코포레이티드 | Source gas flow path control in pecvd system to control a by-product film deposition on inside chamber |
US8182608B2 (en) * | 2007-09-26 | 2012-05-22 | Eastman Kodak Company | Deposition system for thin film formation |
US20090087550A1 (en) * | 2007-09-27 | 2009-04-02 | Tokyo Electron Limited | Sequential flow deposition of a tungsten silicide gate electrode film |
US7776698B2 (en) | 2007-10-05 | 2010-08-17 | Applied Materials, Inc. | Selective formation of silicon carbon epitaxial layer |
JP2011500961A (en) * | 2007-10-11 | 2011-01-06 | バレンス プロセス イクウィップメント,インコーポレイテッド | Chemical vapor deposition reactor |
CN101939812B (en) * | 2007-10-19 | 2013-05-01 | Mks仪器股份有限公司 | Toroidal plasma chamber for high gas flow rate process |
US20090107955A1 (en) * | 2007-10-26 | 2009-04-30 | Tiner Robin L | Offset liner for chamber evacuation |
US8137463B2 (en) * | 2007-12-19 | 2012-03-20 | Applied Materials, Inc. | Dual zone gas injection nozzle |
US8187381B2 (en) * | 2008-08-22 | 2012-05-29 | Applied Materials, Inc. | Process gas delivery for semiconductor process chamber |
US8425977B2 (en) * | 2008-09-29 | 2013-04-23 | Applied Materials, Inc. | Substrate processing chamber with off-center gas delivery funnel |
US9328417B2 (en) * | 2008-11-01 | 2016-05-03 | Ultratech, Inc. | System and method for thin film deposition |
US9175388B2 (en) * | 2008-11-01 | 2015-11-03 | Ultratech, Inc. | Reaction chamber with removable liner |
US20100120245A1 (en) * | 2008-11-07 | 2010-05-13 | Agus Sofian Tjandra | Plasma and thermal anneal treatment to improve oxidation resistance of metal-containing films |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US20100183825A1 (en) * | 2008-12-31 | 2010-07-22 | Cambridge Nanotech Inc. | Plasma atomic layer deposition system and method |
FI123539B (en) * | 2009-02-09 | 2013-06-28 | Beneq Oy | ALD reactor, procedure for charging ALD reactor and production line |
US20110045182A1 (en) * | 2009-03-13 | 2011-02-24 | Tokyo Electron Limited | Substrate processing apparatus, trap device, control method for substrate processing apparatus, and control method for trap device |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8307854B1 (en) | 2009-05-14 | 2012-11-13 | Vistadeltek, Inc. | Fluid delivery substrates for building removable standard fluid delivery sticks |
TWI534922B (en) | 2009-06-10 | 2016-05-21 | 威士塔戴爾泰克有限責任公司 | Extreme flow rate and/or high temperature fluid delivery substrates |
US8107274B2 (en) * | 2009-07-30 | 2012-01-31 | Chrong-Jung Lin | Variable and reversible resistive element, non-volatile memory device and methods for operating and manufacturing the non-volatile memory device |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US20110097487A1 (en) * | 2009-10-27 | 2011-04-28 | Kerr Roger S | Fluid distribution manifold including bonded plates |
FI20096380A0 (en) * | 2009-12-22 | 2009-12-22 | Beneq Oy | Thin film solar cell, preparation method and use |
JP5445252B2 (en) * | 2010-03-16 | 2014-03-19 | 東京エレクトロン株式会社 | Deposition equipment |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
US9443753B2 (en) * | 2010-07-30 | 2016-09-13 | Applied Materials, Inc. | Apparatus for controlling the flow of a gas in a process chamber |
WO2012039833A2 (en) | 2010-09-24 | 2012-03-29 | Applied Materials, Inc. | Low temperature silicon carbide deposition process |
CN102002666B (en) * | 2010-10-22 | 2012-06-27 | 哈尔滨工业大学 | Preparation method of tantalum nitride diffusion impervious layer for copper interconnection |
US8835308B2 (en) * | 2010-12-21 | 2014-09-16 | Applied Materials, Inc. | Methods for depositing materials in high aspect ratio features |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
JP5661523B2 (en) * | 2011-03-18 | 2015-01-28 | 東京エレクトロン株式会社 | Film forming method and film forming apparatus |
US8524600B2 (en) | 2011-03-31 | 2013-09-03 | Applied Materials, Inc. | Post deposition treatments for CVD cobalt films |
US9695510B2 (en) * | 2011-04-21 | 2017-07-04 | Kurt J. Lesker Company | Atomic layer deposition apparatus and process |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US8679982B2 (en) | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
US8679983B2 (en) | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9574268B1 (en) | 2011-10-28 | 2017-02-21 | Asm America, Inc. | Pulsed valve manifold for atomic layer deposition |
WO2013070436A1 (en) | 2011-11-08 | 2013-05-16 | Applied Materials, Inc. | Methods of reducing substrate dislocation during gapfill processing |
US9941100B2 (en) | 2011-12-16 | 2018-04-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Adjustable nozzle for plasma deposition and a method of controlling the adjustable nozzle |
US9388492B2 (en) | 2011-12-27 | 2016-07-12 | Asm America, Inc. | Vapor flow control apparatus for atomic layer deposition |
US8815344B2 (en) * | 2012-03-14 | 2014-08-26 | Applied Materials, Inc. | Selective atomic layer depositions |
US9076661B2 (en) | 2012-04-13 | 2015-07-07 | Applied Materials, Inc. | Methods for manganese nitride integration |
US9048294B2 (en) | 2012-04-13 | 2015-06-02 | Applied Materials, Inc. | Methods for depositing manganese and manganese nitrides |
TWI554636B (en) | 2012-04-25 | 2016-10-21 | 應用材料股份有限公司 | Methods of fabricating dielectric films from metal amidinate precursors |
US9598766B2 (en) | 2012-05-27 | 2017-03-21 | Air Products And Chemicals, Inc. | Vessel with filter |
US10233541B2 (en) | 2012-06-29 | 2019-03-19 | Applied Materials, Inc. | Deposition of films containing alkaline earth metals |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US8736056B2 (en) * | 2012-07-31 | 2014-05-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Device for reducing contact resistance of a metal |
US8911826B2 (en) * | 2012-08-02 | 2014-12-16 | Asm Ip Holding B.V. | Method of parallel shift operation of multiple reactors |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
TWI480417B (en) | 2012-11-02 | 2015-04-11 | Ind Tech Res Inst | Air showr device having air curtain and apparatus for depositing film using the same |
US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
JP6017396B2 (en) * | 2012-12-18 | 2016-11-02 | 東京エレクトロン株式会社 | Thin film forming method and thin film forming apparatus |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US8735280B1 (en) | 2012-12-21 | 2014-05-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of semiconductor integrated circuit fabrication |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
US9018108B2 (en) | 2013-01-25 | 2015-04-28 | Applied Materials, Inc. | Low shrinkage dielectric films |
JP6046752B2 (en) * | 2013-01-30 | 2016-12-21 | 京セラ株式会社 | Gas nozzle and plasma apparatus using the same |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9659814B2 (en) | 2013-02-01 | 2017-05-23 | Applied Materials, Inc. | Doping control of metal nitride films |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US9005704B2 (en) | 2013-03-06 | 2015-04-14 | Applied Materials, Inc. | Methods for depositing films comprising cobalt and cobalt nitrides |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
US20150001720A1 (en) * | 2013-06-27 | 2015-01-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Interconnect Structure and Method for Forming Interconnect Structure |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
KR20150050638A (en) * | 2013-10-29 | 2015-05-11 | 에이에스엠 아이피 홀딩 비.브이. | Deposition apparatus |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US20150125628A1 (en) * | 2013-11-06 | 2015-05-07 | Asm Ip Holding B.V. | Method of depositing thin film |
US9460932B2 (en) | 2013-11-11 | 2016-10-04 | Applied Materials, Inc. | Surface poisoning using ALD for high selectivity deposition of high aspect ratio features |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
CN104805418B (en) * | 2014-01-23 | 2018-05-08 | 北京北方华创微电子装备有限公司 | Process control method and system in Films Prepared by APCVD |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US10683571B2 (en) * | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US9425078B2 (en) * | 2014-02-26 | 2016-08-23 | Lam Research Corporation | Inhibitor plasma mediated atomic layer deposition for seamless feature fill |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
CN104979276B (en) * | 2014-04-09 | 2018-05-08 | 中芯国际集成电路制造(上海)有限公司 | A kind of manufacture method of semiconductor devices |
US9177858B1 (en) | 2014-05-08 | 2015-11-03 | GlobalFoundries, Inc. | Methods for fabricating integrated circuits including barrier layers for interconnect structures |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
JP5837962B1 (en) * | 2014-07-08 | 2015-12-24 | 株式会社日立国際電気 | Substrate processing apparatus, semiconductor device manufacturing method, and gas rectifier |
KR102247560B1 (en) | 2014-07-14 | 2021-05-03 | 삼성전자 주식회사 | Plasma generating method in RPS(Remote Plasma Source) and method for fabricating semiconductor device comprising the same plasma generating method |
EP3169432B1 (en) | 2014-07-17 | 2020-05-27 | Reliance Industries Limited | Process for modifying a heterogeneous catalyst with an organometallic compound, heterogeneous catalyst and use of the catalyst for preventing coke formation |
JP6446881B2 (en) * | 2014-07-17 | 2019-01-09 | 東京エレクトロン株式会社 | Gas supply device and valve device |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
JP5792364B1 (en) * | 2014-07-31 | 2015-10-07 | 株式会社日立国際電気 | Substrate processing apparatus, chamber lid assembly, semiconductor device manufacturing method, program, and recording medium |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US10465288B2 (en) * | 2014-08-15 | 2019-11-05 | Applied Materials, Inc. | Nozzle for uniform plasma processing |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9355862B2 (en) | 2014-09-24 | 2016-05-31 | Applied Materials, Inc. | Fluorine-based hardmask removal |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
KR102300403B1 (en) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | Method of depositing thin film |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
KR102263121B1 (en) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | Semiconductor device and manufacuring method thereof |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
TW201634738A (en) * | 2015-01-22 | 2016-10-01 | 應用材料股份有限公司 | Improved injector for spatially separated atomic layer deposition chamber |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
TWI723024B (en) | 2015-06-26 | 2021-04-01 | 美商應用材料股份有限公司 | Recursive inject apparatus for improved distribution of gas |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
WO2017052905A1 (en) * | 2015-09-22 | 2017-03-30 | Applied Materials, Inc. | Apparatus and method for selective deposition |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9905420B2 (en) | 2015-12-01 | 2018-02-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium tin films and structures and devices including the films |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10176999B2 (en) | 2015-12-31 | 2019-01-08 | Taiwan Semiconductor Manufacturing Company Ltd. | Semiconductor device having a multi-layer, metal-containing film |
FR3046878B1 (en) * | 2016-01-19 | 2018-05-18 | Kobus Sas | METHOD FOR MANUFACTURING AN INTERCONNECTION COMPRISING A VIA EXTENDING THROUGH A SUBSTRATE |
CN107026113B (en) * | 2016-02-02 | 2020-03-31 | 中芯国际集成电路制造(上海)有限公司 | Method and system for manufacturing semiconductor device |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
KR102592471B1 (en) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming metal interconnection and method of fabricating semiconductor device using the same |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10662527B2 (en) | 2016-06-01 | 2020-05-26 | Asm Ip Holding B.V. | Manifolds for uniform vapor deposition |
KR102553629B1 (en) * | 2016-06-17 | 2023-07-11 | 삼성전자주식회사 | Plasma processing apparatus |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (en) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | Method of processing a substrate |
KR102532607B1 (en) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus and method of operating the same |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US20180076065A1 (en) * | 2016-09-15 | 2018-03-15 | Applied Materials, Inc. | Integrated system for semiconductor process |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
CN108063117B (en) * | 2016-11-09 | 2020-12-01 | 中芯国际集成电路制造(上海)有限公司 | Interconnect structure and method of forming the same |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
KR102546317B1 (en) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | Gas supply unit and substrate processing apparatus including the same |
TW201823501A (en) | 2016-11-16 | 2018-07-01 | 美商陶氏全球科技有限責任公司 | Process for producing thin coatings on film |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (en) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
KR102700194B1 (en) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
US11694911B2 (en) * | 2016-12-20 | 2023-07-04 | Lam Research Corporation | Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
RU2673515C2 (en) * | 2017-02-02 | 2018-11-27 | Общество С Ограниченной Ответственностью "Монолюм" | Gases to the reactor supplying method for the group iii metals nitrides based epitaxial structures growing and device for its implementation |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US9972501B1 (en) | 2017-03-14 | 2018-05-15 | Nano-Master, Inc. | Techniques and systems for continuous-flow plasma enhanced atomic layer deposition (PEALD) |
DE102017106410A1 (en) * | 2017-03-24 | 2018-09-27 | Osram Opto Semiconductors Gmbh | Method for producing an optoelectronic component and optoelectronic component |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD876504S1 (en) | 2017-04-03 | 2020-02-25 | Asm Ip Holding B.V. | Exhaust flow control ring for semiconductor deposition apparatus |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
KR102457289B1 (en) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | Method for depositing a thin film and manufacturing a semiconductor device |
US10157785B2 (en) | 2017-05-01 | 2018-12-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method |
DE17908402T1 (en) * | 2017-05-02 | 2020-06-25 | Picosun Oy | ALD DEVICE, METHOD AND VALVE |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10622214B2 (en) | 2017-05-25 | 2020-04-14 | Applied Materials, Inc. | Tungsten defluorination by high pressure treatment |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
KR20190009245A (en) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | Methods for forming a semiconductor device structure and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11004722B2 (en) | 2017-07-20 | 2021-05-11 | Applied Materials, Inc. | Lift pin assembly |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102491945B1 (en) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
KR102401446B1 (en) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
JP6964473B2 (en) * | 2017-09-14 | 2021-11-10 | 東京エレクトロン株式会社 | Gas supply equipment and film formation equipment |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (en) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
KR102443047B1 (en) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | Method of processing a substrate and a device manufactured by the same |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
WO2019103610A1 (en) | 2017-11-27 | 2019-05-31 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
JP7214724B2 (en) | 2017-11-27 | 2023-01-30 | エーエスエム アイピー ホールディング ビー.ブイ. | Storage device for storing wafer cassettes used in batch furnaces |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
CN108060410B (en) * | 2017-12-15 | 2023-08-18 | 浙江晶盛机电股份有限公司 | Air inlet pipeline protection structure for flat-plate PECVD |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
CN111630203A (en) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | Method for depositing gap filling layer by plasma auxiliary deposition |
TWI799494B (en) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | Deposition method |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
CN108415354A (en) * | 2018-01-31 | 2018-08-17 | 深圳市方瑞科技有限公司 | A kind of wide cut plasma processor and its control method |
CN108415353A (en) * | 2018-01-31 | 2018-08-17 | 深圳市方瑞科技有限公司 | A kind of line style plasma machine and its control method |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
JP7124098B2 (en) | 2018-02-14 | 2022-08-23 | エーエスエム・アイピー・ホールディング・ベー・フェー | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
KR102636427B1 (en) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing method and apparatus |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
TWI716818B (en) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | Systems and methods to form airgaps |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (en) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming an electrode on a substrate and a semiconductor device structure including an electrode |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
KR102501472B1 (en) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing method |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
TWI843623B (en) | 2018-05-08 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures |
KR20190129718A (en) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures |
KR102596988B1 (en) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | Method of processing a substrate and a device manufactured by the same |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
TWI840362B (en) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | Wafer handling chamber with moisture reduction |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
EP3785494A4 (en) | 2018-06-14 | 2022-01-26 | MKS Instruments, Inc. | Radical output monitor for a remote plasma source and method of use |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (en) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing system |
TW202409324A (en) | 2018-06-27 | 2024-03-01 | 荷蘭商Asm Ip私人控股有限公司 | Cyclic deposition processes for forming metal-containing material |
WO2020003000A1 (en) | 2018-06-27 | 2020-01-02 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
KR102686758B1 (en) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | Method for depositing a thin film and manufacturing a semiconductor device |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR102707956B1 (en) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | Method for deposition of a thin film |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
TWI844567B (en) | 2018-10-01 | 2024-06-11 | 荷蘭商Asm Ip私人控股有限公司 | Substrate retaining apparatus, system including the apparatus, and method of using same |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (en) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (en) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus and substrate processing method |
KR102546322B1 (en) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus and substrate processing method |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11124874B2 (en) | 2018-10-25 | 2021-09-21 | Applied Materials, Inc. | Methods for depositing metallic iridium and iridium silicide |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
KR102601581B1 (en) * | 2018-10-31 | 2023-11-14 | 삼성전자주식회사 | Device for providing gas into a plasma chamber and plasma processing device applying thereof |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US20220013332A1 (en) * | 2018-11-02 | 2022-01-13 | Nihon University | Magnetized plasmoid injection device |
KR20200051105A (en) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | Substrate support unit and substrate processing apparatus including the same |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US10636705B1 (en) | 2018-11-29 | 2020-04-28 | Applied Materials, Inc. | High pressure annealing of metal gate structures |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (en) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | A method for cleaning a substrate processing apparatus |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (en) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | Method and system for forming device structures using selective deposition of gallium nitride - Patents.com |
CN113196444B (en) * | 2018-12-20 | 2024-07-02 | 应用材料公司 | Method and apparatus for supplying improved gas flow to a processing volume of a processing chamber |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
TWI819180B (en) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | Methods of forming a transition metal containing film on a substrate by a cyclical deposition process |
KR20200091543A (en) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | Semiconductor processing device |
JP2020126881A (en) * | 2019-02-01 | 2020-08-20 | 東京エレクトロン株式会社 | Substrate processing apparatus and cleaning method |
CN111524788B (en) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | Method for topologically selective film formation of silicon oxide |
TWI845607B (en) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | Cyclical deposition method and apparatus for filling a recess formed within a substrate surface |
JP2020136678A (en) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | Method for filing concave part formed inside front surface of base material, and device |
KR102626263B1 (en) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | Cyclical deposition method including treatment step and apparatus for same |
KR20200102357A (en) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | Apparatus and methods for plug fill deposition in 3-d nand applications |
TWI842826B (en) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | Substrate processing apparatus and method for processing substrate |
KR20200108242A (en) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
KR20200108243A (en) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | Structure Including SiOC Layer and Method of Forming Same |
US11492701B2 (en) | 2019-03-19 | 2022-11-08 | Asm Ip Holding B.V. | Reactor manifolds |
KR20200116033A (en) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | Door opener and substrate processing apparatus provided therewith |
KR20200116855A (en) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | Method of manufacturing semiconductor device |
KR20200123380A (en) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | Layer forming method and apparatus |
KR20200125453A (en) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | Gas-phase reactor system and method of using same |
KR20200130121A (en) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | Chemical source vessel with dip tube |
KR20200130118A (en) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | Method for Reforming Amorphous Carbon Polymer Film |
KR20200130652A (en) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | Method of depositing material onto a surface and structure formed according to the method |
JP2020188254A (en) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | Wafer boat handling device, vertical batch furnace, and method |
JP2020188255A (en) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | Wafer boat handling device, vertical batch furnace, and method |
CN111948907B (en) * | 2019-05-16 | 2022-01-28 | 上海微电子装备(集团)股份有限公司 | Mask plate temperature control device and mask exposure device |
CN110112096A (en) * | 2019-05-17 | 2019-08-09 | 长江存储科技有限责任公司 | Metal interconnection structure and forming method thereof |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (en) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | Gas-phase reactor system including a gas detector |
KR20200143254A (en) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (en) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | Temperature control assembly for substrate processing apparatus and method of using same |
JP7499079B2 (en) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | Plasma device using coaxial waveguide and substrate processing method |
CN112216646A (en) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | Substrate supporting assembly and substrate processing device comprising same |
KR20210010307A (en) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
KR20210010816A (en) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | Radical assist ignition plasma system and method |
KR20210010820A (en) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | Methods of forming silicon germanium structures |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
KR20210010817A (en) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | Method of Forming Topology-Controlled Amorphous Carbon Polymer Film |
TWI839544B (en) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | Method of forming topology-controlled amorphous carbon polymer film |
CN112309843A (en) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | Selective deposition method for achieving high dopant doping |
CN112309899A (en) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
CN112309900A (en) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN118422165A (en) | 2019-08-05 | 2024-08-02 | Asm Ip私人控股有限公司 | Liquid level sensor for chemical source container |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (en) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | Production apparatus of mixed gas of film deposition raw material and film deposition apparatus |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
KR20210024423A (en) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | Method for forming a structure with a hole |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (en) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane |
KR20210029090A (en) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | Methods for selective deposition using a sacrificial capping layer |
KR20210029663A (en) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
TW202117068A (en) * | 2019-09-19 | 2021-05-01 | 美商應用材料股份有限公司 | Methods for controlling pulse shape in ald processes |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (en) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process |
US11205589B2 (en) * | 2019-10-06 | 2021-12-21 | Applied Materials, Inc. | Methods and apparatuses for forming interconnection structures |
TWI846953B (en) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | Substrate processing device |
KR20210042810A (en) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | Reactor system including a gas distribution assembly for use with activated species and method of using same |
KR20210043460A (en) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming a photoresist underlayer and structure including same |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (en) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | Method of topology-selective film formation of silicon oxide |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (en) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | Apparatus and methods for selectively etching films |
KR20210048408A (en) | 2019-10-22 | 2021-05-03 | 에이에스엠 아이피 홀딩 비.브이. | Semiconductor deposition reactor manifolds |
KR20210050453A (en) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (en) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | Structures with doped semiconductor layers and methods and systems for forming same |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (en) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure |
KR20210065848A (en) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112951697A (en) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
CN112885692A (en) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
CN112885693A (en) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
JP7527928B2 (en) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | Substrate processing apparatus and substrate processing method |
KR20210070898A (en) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
TW202125596A (en) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | Method of forming vanadium nitride layer and structure including the vanadium nitride layer |
CN111029299A (en) * | 2019-12-18 | 2020-04-17 | 华虹半导体(无锡)有限公司 | Method for forming metal interconnection structure |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
TW202140135A (en) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | Gas supply assembly and valve plate assembly |
KR20210089079A (en) | 2020-01-06 | 2021-07-15 | 에이에스엠 아이피 홀딩 비.브이. | Channeled lift pin |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
US11087959B2 (en) | 2020-01-09 | 2021-08-10 | Nano-Master, Inc. | Techniques for a hybrid design for efficient and economical plasma enhanced atomic layer deposition (PEALD) and plasma enhanced chemical vapor deposition (PECVD) |
KR102675856B1 (en) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming thin film and method of modifying surface of thin film |
TW202130846A (en) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | Method of forming structures including a vanadium or indium layer |
TW202146882A (en) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11640900B2 (en) | 2020-02-12 | 2023-05-02 | Nano-Master, Inc. | Electron cyclotron rotation (ECR)-enhanced hollow cathode plasma source (HCPS) |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (en) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | System dedicated for parts cleaning |
KR20210116240A (en) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | Substrate handling device with adjustable joints |
KR20210116249A (en) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | lockout tagout assembly and system and method of using same |
CN113394086A (en) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | Method for producing a layer structure having a target topological profile |
KR20210124042A (en) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | Thin film forming method |
TW202146689A (en) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | Method for forming barrier layer and method for manufacturing semiconductor device |
TW202145344A (en) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | Apparatus and methods for selectively etching silcon oxide films |
KR20210128343A (en) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming chromium nitride layer and structure including the chromium nitride layer |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210132600A (en) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element |
JP2021172884A (en) | 2020-04-24 | 2021-11-01 | エーエスエム・アイピー・ホールディング・ベー・フェー | Method of forming vanadium nitride-containing layer and structure comprising vanadium nitride-containing layer |
TW202146831A (en) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | Vertical batch furnace assembly, and method for cooling vertical batch furnace |
KR20210134226A (en) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | Solid source precursor vessel |
KR20210134869A (en) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Fast FOUP swapping with a FOUP handler |
TW202147543A (en) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | Semiconductor processing system |
KR20210141379A (en) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | Laser alignment fixture for a reactor system |
TW202146699A (en) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | Method of forming a silicon germanium layer, semiconductor structure, semiconductor device, method of forming a deposition layer, and deposition system |
KR20210143653A (en) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
KR20210145078A (en) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | Structures including multiple carbon layers and methods of forming and using same |
KR102702526B1 (en) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | Apparatus for depositing thin films using hydrogen peroxide |
TW202201602A (en) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | Substrate processing device |
TW202212620A (en) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | Apparatus for processing substrate, method of forming film, and method of controlling apparatus for processing substrate |
TW202218133A (en) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | Method for forming a layer provided with silicon |
TW202217953A (en) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | Substrate processing method |
KR102707957B1 (en) | 2020-07-08 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | Method for processing a substrate |
TW202219628A (en) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | Structures and methods for use in photolithography |
TW202204662A (en) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | Method and system for depositing molybdenum layers |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (en) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | Method and system for forming metal silicon oxide and metal silicon oxynitride |
TW202229601A (en) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | Method of forming patterned structures, method of manipulating mechanical property, device structure, and substrate processing system |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
US12054823B2 (en) * | 2020-09-17 | 2024-08-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Apparatus and method for manufacturing metal gate structures |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (en) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | Deposition method and an apparatus for depositing a silicon-containing material |
CN114293174A (en) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | Gas supply unit and substrate processing apparatus including the same |
TW202229613A (en) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | Method of depositing material on stepped structure |
KR20220053482A (en) | 2020-10-22 | 2022-04-29 | 에이에스엠 아이피 홀딩 비.브이. | Method of depositing vanadium metal, structure, device and a deposition assembly |
TW202223136A (en) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | Method for forming layer on substrate, and semiconductor processing system |
CN112378603B (en) * | 2020-11-18 | 2023-01-10 | 北京自动化控制设备研究所 | Leakage rate detection method and system for atomic gas chamber |
TW202235649A (en) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | Methods for filling a gap and related systems and devices |
TW202235675A (en) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | Injector, and substrate processing apparatus |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (en) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate |
US11976002B2 (en) | 2021-01-05 | 2024-05-07 | Applied Materials, Inc. | Methods for encapsulating silver mirrors on optical structures |
CN112956012B (en) * | 2021-01-27 | 2024-02-23 | 长江存储科技有限责任公司 | Method for forming barrier layer in semiconductor structure |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
TWI806532B (en) * | 2022-03-31 | 2023-06-21 | 景碩科技股份有限公司 | Circuit board structure |
Citations (86)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4389973A (en) * | 1980-03-18 | 1983-06-28 | Oy Lohja Ab | Apparatus for performing growth of compound thin films |
US5000113A (en) * | 1986-12-19 | 1991-03-19 | Applied Materials, Inc. | Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process |
US5221449A (en) * | 1990-10-26 | 1993-06-22 | International Business Machines Corporation | Method of making Alpha-Ta thin films |
US5281485A (en) * | 1990-10-26 | 1994-01-25 | International Business Machines Corporation | Structure and method of making Alpha-Ta in thin films |
US5306666A (en) * | 1992-07-24 | 1994-04-26 | Nippon Steel Corporation | Process for forming a thin metal film by chemical vapor deposition |
US5526244A (en) * | 1993-05-24 | 1996-06-11 | Bishop; Vernon R. | Overhead luminaire |
US5711811A (en) * | 1994-11-28 | 1998-01-27 | Mikrokemia Oy | Method and equipment for growing thin films |
US5916365A (en) * | 1996-08-16 | 1999-06-29 | Sherman; Arthur | Sequential chemical vapor deposition |
US5923056A (en) * | 1996-10-10 | 1999-07-13 | Lucent Technologies Inc. | Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials |
US6015590A (en) * | 1994-11-28 | 2000-01-18 | Neste Oy | Method for growing thin films |
US6015917A (en) * | 1998-01-23 | 2000-01-18 | Advanced Technology Materials, Inc. | Tantalum amide precursors for deposition of tantalum nitride on a substrate |
US6068358A (en) * | 1997-02-27 | 2000-05-30 | Schroff Gmbh | Cabinet for accepting electrical and electronic components |
US6084302A (en) * | 1995-12-26 | 2000-07-04 | Micron Technologies, Inc. | Barrier layer cladding around copper interconnect lines |
US6174809B1 (en) * | 1997-12-31 | 2001-01-16 | Samsung Electronics, Co., Ltd. | Method for forming metal layer using atomic layer deposition |
US6184138B1 (en) * | 1999-09-07 | 2001-02-06 | Chartered Semiconductor Manufacturing Ltd. | Method to create a controllable and reproducible dual copper damascene structure |
US6197683B1 (en) * | 1997-09-29 | 2001-03-06 | Samsung Electronics Co., Ltd. | Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same |
US6200893B1 (en) * | 1999-03-11 | 2001-03-13 | Genus, Inc | Radical-assisted sequential CVD |
US6203613B1 (en) * | 1999-10-19 | 2001-03-20 | International Business Machines Corporation | Atomic layer deposition with nitrate containing precursors |
US6207302B1 (en) * | 1997-03-04 | 2001-03-27 | Denso Corporation | Electroluminescent device and method of producing the same |
US6207487B1 (en) * | 1998-10-13 | 2001-03-27 | Samsung Electronics Co., Ltd. | Method for forming dielectric film of capacitor having different thicknesses partly |
US6218302B1 (en) * | 1998-07-21 | 2001-04-17 | Motorola Inc. | Method for forming a semiconductor device |
US20010000866A1 (en) * | 1999-03-11 | 2001-05-10 | Ofer Sneh | Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition |
US20010009695A1 (en) * | 2000-01-18 | 2001-07-26 | Saanila Ville Antero | Process for growing metalloid thin films |
US20010009140A1 (en) * | 1999-05-10 | 2001-07-26 | Niklas Bondestam | Apparatus for fabrication of thin films |
US20010041250A1 (en) * | 2000-03-07 | 2001-11-15 | Werkhoven Christian J. | Graded thin films |
US20010054769A1 (en) * | 2000-05-15 | 2001-12-27 | Ivo Raaijmakers | Protective layers prior to alternating layer deposition |
US20020000598A1 (en) * | 1999-12-08 | 2002-01-03 | Sang-Bom Kang | Semiconductor devices having metal layers as barrier layers on upper or lower electrodes of capacitors |
US20020007790A1 (en) * | 2000-07-22 | 2002-01-24 | Park Young-Hoon | Atomic layer deposition (ALD) thin film deposition equipment having cleaning apparatus and cleaning method |
US6342277B1 (en) * | 1996-08-16 | 2002-01-29 | Licensee For Microelectronics: Asm America, Inc. | Sequential chemical vapor deposition |
US6348376B2 (en) * | 1997-09-29 | 2002-02-19 | Samsung Electronics Co., Ltd. | Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same |
US20020020869A1 (en) * | 1999-12-22 | 2002-02-21 | Ki-Seon Park | Semiconductor device incorporated therein high K capacitor dielectric and method for the manufacture thereof |
US20020021544A1 (en) * | 2000-08-11 | 2002-02-21 | Hag-Ju Cho | Integrated circuit devices having dielectric regions protected with multi-layer insulation structures and methods of fabricating same |
US6358829B2 (en) * | 1998-09-17 | 2002-03-19 | Samsung Electronics Company., Ltd. | Semiconductor device fabrication method using an interface control layer to improve a metal interconnection layer |
US6368954B1 (en) * | 2000-07-28 | 2002-04-09 | Advanced Micro Devices, Inc. | Method of copper interconnect formation using atomic layer copper deposition |
US6372598B2 (en) * | 1998-06-16 | 2002-04-16 | Samsung Electronics Co., Ltd. | Method of forming selective metal layer and method of forming capacitor and filling contact hole using the same |
US20020048635A1 (en) * | 1998-10-16 | 2002-04-25 | Kim Yeong-Kwan | Method for manufacturing thin film |
US20020052097A1 (en) * | 2000-06-24 | 2002-05-02 | Park Young-Hoon | Apparatus and method for depositing thin film on wafer using atomic layer deposition |
US6391785B1 (en) * | 1999-08-24 | 2002-05-21 | Interuniversitair Microelektronica Centrum (Imec) | Method for bottomless deposition of barrier layers in integrated circuit metallization schemes |
US20020060363A1 (en) * | 1997-05-14 | 2002-05-23 | Applied Materials, Inc. | Reliability barrier integration for Cu application |
US6399491B2 (en) * | 2000-04-20 | 2002-06-04 | Samsung Electronics Co., Ltd. | Method of manufacturing a barrier metal layer using atomic layer deposition |
US20020068458A1 (en) * | 2000-12-06 | 2002-06-06 | Chiang Tony P. | Method for integrated in-situ cleaning and susequent atomic layer deposition within a single processing chamber |
US20020076508A1 (en) * | 2000-12-15 | 2002-06-20 | Chiang Tony P. | Varying conductance out of a process region to control gas flux in an ALD reactor |
US20020076481A1 (en) * | 2000-12-15 | 2002-06-20 | Chiang Tony P. | Chamber pressure state-based control for a reactor |
US20020076537A1 (en) * | 2000-12-16 | 2002-06-20 | Unipac Optoelectronics Corporation | Laminated structure |
US20020073924A1 (en) * | 2000-12-15 | 2002-06-20 | Chiang Tony P. | Gas introduction system for a reactor |
US20020076507A1 (en) * | 2000-12-15 | 2002-06-20 | Chiang Tony P. | Process sequence for atomic layer deposition |
US20020076837A1 (en) * | 2000-11-30 | 2002-06-20 | Juha Hujanen | Thin films for magnetic device |
US20020074588A1 (en) * | 2000-12-20 | 2002-06-20 | Kyu-Mann Lee | Ferroelectric capacitors for integrated circuit memory devices and methods of manufacturing same |
US20020086111A1 (en) * | 2001-01-03 | 2002-07-04 | Byun Jeong Soo | Method of forming refractory metal nitride layers using chemisorption techniques |
US20020086507A1 (en) * | 2000-12-29 | 2002-07-04 | Park Dae Gyu | Method of forming a metal gate in a semiconductor device |
US20030013300A1 (en) * | 2001-07-16 | 2003-01-16 | Applied Materials, Inc. | Method and apparatus for depositing tungsten after surface treatment to improve film characteristics |
US20030013320A1 (en) * | 2001-05-31 | 2003-01-16 | Samsung Electronics Co., Ltd. | Method of forming a thin film using atomic layer deposition |
US6511539B1 (en) * | 1999-09-08 | 2003-01-28 | Asm America, Inc. | Apparatus and method for growth of a thin film |
US20030031807A1 (en) * | 1999-10-15 | 2003-02-13 | Kai-Erik Elers | Deposition of transition metal carbides |
US20030042630A1 (en) * | 2001-09-05 | 2003-03-06 | Babcoke Jason E. | Bubbler for gas delivery |
US20030049942A1 (en) * | 2001-08-31 | 2003-03-13 | Suvi Haukka | Low temperature gate stack |
US20030049931A1 (en) * | 2001-09-19 | 2003-03-13 | Applied Materials, Inc. | Formation of refractory metal nitrides using chemisorption techniques |
US20030053799A1 (en) * | 2001-09-14 | 2003-03-20 | Lei Lawrence C. | Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition |
US20030057526A1 (en) * | 2001-09-26 | 2003-03-27 | Applied Materials, Inc. | Integration of barrier layer and seed layer |
US20030059538A1 (en) * | 2001-09-26 | 2003-03-27 | Applied Materials, Inc. | Integration of barrier layer and seed layer |
US20030057527A1 (en) * | 2001-09-26 | 2003-03-27 | Applied Materials, Inc. | Integration of barrier layer and seed layer |
US6548424B2 (en) * | 2000-04-14 | 2003-04-15 | Asm Microchemistry Oy | Process for producing oxide thin films |
US20030072975A1 (en) * | 2001-10-02 | 2003-04-17 | Shero Eric J. | Incorporation of nitrogen into high k dielectric film |
US20030072884A1 (en) * | 2001-10-15 | 2003-04-17 | Applied Materials, Inc. | Method of titanium and titanium nitride layer deposition |
US6551929B1 (en) * | 2000-06-28 | 2003-04-22 | Applied Materials, Inc. | Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques |
US20030082296A1 (en) * | 2001-09-14 | 2003-05-01 | Kai Elers | Metal nitride deposition by ALD with reduction pulse |
US20030082307A1 (en) * | 2001-10-26 | 2003-05-01 | Applied Materials, Inc. | Integration of ALD tantalum nitride and alpha-phase tantalum for copper metallization application |
US20030082300A1 (en) * | 2001-02-12 | 2003-05-01 | Todd Michael A. | Improved Process for Deposition of Semiconductor Films |
US6569501B2 (en) * | 2000-12-06 | 2003-05-27 | Angstron Systems, Inc. | Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD) |
US20030106490A1 (en) * | 2001-12-06 | 2003-06-12 | Applied Materials, Inc. | Apparatus and method for fast-cycle atomic layer deposition |
US20030108674A1 (en) * | 2001-12-07 | 2003-06-12 | Applied Materials, Inc. | Cyclical deposition of refractory metal silicon nitride |
US6580111B2 (en) * | 2000-06-07 | 2003-06-17 | Samsung Electronics Co., Ltd. | Metal-insulator-metal capacitor |
US20030113187A1 (en) * | 2001-12-14 | 2003-06-19 | Applied Materials, Inc. | Dual robot processing system |
US20030116087A1 (en) * | 2001-12-21 | 2003-06-26 | Nguyen Anh N. | Chamber hardware design for titanium nitride atomic layer deposition |
US20040009307A1 (en) * | 2000-06-08 | 2004-01-15 | Won-Yong Koh | Thin film forming method |
US20040015300A1 (en) * | 2002-07-22 | 2004-01-22 | Seshadri Ganguli | Method and apparatus for monitoring solid precursor delivery |
US20040011504A1 (en) * | 2002-07-17 | 2004-01-22 | Ku Vincent W. | Method and apparatus for gas temperature control in a semiconductor processing system |
US20040014320A1 (en) * | 2002-07-17 | 2004-01-22 | Applied Materials, Inc. | Method and apparatus of generating PDMAT precursor |
US20040013803A1 (en) * | 2002-07-16 | 2004-01-22 | Applied Materials, Inc. | Formation of titanium nitride films using a cyclical deposition process |
US20040018747A1 (en) * | 2002-07-20 | 2004-01-29 | Lee Jung-Hyun | Deposition method of a dielectric layer |
US20040018304A1 (en) * | 2002-07-10 | 2004-01-29 | Applied Materials, Inc. | Method of film deposition using activated precursor gases |
US20040018723A1 (en) * | 2000-06-27 | 2004-01-29 | Applied Materials, Inc. | Formation of boride barrier layers using chemisorption techniques |
US20040033698A1 (en) * | 2002-08-17 | 2004-02-19 | Lee Yun-Jung | Method of forming oxide layer using atomic layer deposition method and method of forming capacitor of semiconductor device using the same |
US20040043630A1 (en) * | 2002-08-28 | 2004-03-04 | Micron Technology, Inc. | Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides |
US20040046197A1 (en) * | 2002-05-16 | 2004-03-11 | Cem Basceri | MIS capacitor and method of formation |
US6706115B2 (en) * | 2001-03-16 | 2004-03-16 | Asm International N.V. | Method for preparing metal nitride thin films |
Family Cites Families (497)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US187256A (en) * | 1877-02-13 | Improvement in windmills | ||
US76837A (en) * | 1868-04-14 | John somerville and egbert elsdon | ||
US54769A (en) * | 1866-05-15 | Iiviproveivient in churn-dashers | ||
US124262A (en) * | 1872-03-05 | Improvement in oscillating-swings | ||
US31807A (en) * | 1861-03-26 | Improved culinary boiler | ||
US86507A (en) * | 1869-02-02 | Improvement in whip-sockets | ||
US224578A (en) * | 1880-02-17 | Fence-post driver | ||
US224600A (en) * | 1880-02-17 | Steam-trap | ||
US622893A (en) * | 1899-04-11 | Electric igniter for gas-engines | ||
US76507A (en) * | 1868-04-07 | Egbert o br | ||
US28924A (en) * | 1860-06-26 | Vapor-lamp | ||
US14320A (en) * | 1856-02-26 | Process of painting or varnishing woven wire | ||
US213987A (en) * | 1879-04-08 | Improvement in bevels | ||
US172872A (en) * | 1876-02-01 | Improvement in colters | ||
US41250A (en) * | 1864-01-12 | Improvement in burning, roasting,, and smelting ores | ||
US31618A (en) * | 1861-03-05 | Water-elevator | ||
FI117944B (en) | 1999-10-15 | 2007-04-30 | Asm Int | A method for growing transition metal nitride thin films |
FI118158B (en) | 1999-10-15 | 2007-07-31 | Asm Int | Process for modifying the starting chemical in an ALD process |
US3291456A (en) | 1964-07-01 | 1966-12-13 | Combustion Eng | Self-agitating, stabilized flow mixing vessel |
SE393967B (en) | 1974-11-29 | 1977-05-31 | Sateko Oy | PROCEDURE AND PERFORMANCE OF LAYING BETWEEN THE STORAGE IN A LABOR PACKAGE |
FI57975C (en) | 1979-02-28 | 1980-11-10 | Lohja Ab Oy | OVER ANCHORING VIDEO UPDATE FOR AVAILABILITY |
US4415275A (en) | 1981-12-21 | 1983-11-15 | Dietrich David E | Swirl mixing device |
FI64878C (en) | 1982-05-10 | 1984-01-10 | Lohja Ab Oy | KOMBINATIONSFILM FOER ISYNNERHET TUNNFILMELEKTROLUMINENSSTRUKTURER |
US4732110A (en) | 1983-04-29 | 1988-03-22 | Hughes Aircraft Company | Inverted positive vertical flow chemical vapor deposition reactor chamber |
US5259881A (en) | 1991-05-17 | 1993-11-09 | Materials Research Corporation | Wafer processing cluster tool batch preheating and degassing apparatus |
US5693139A (en) | 1984-07-26 | 1997-12-02 | Research Development Corporation Of Japan | Growth of doped semiconductor monolayers |
US5294286A (en) | 1984-07-26 | 1994-03-15 | Research Development Corporation Of Japan | Process for forming a thin film of silicon |
GB2162207B (en) | 1984-07-26 | 1989-05-10 | Japan Res Dev Corp | Semiconductor crystal growth apparatus |
JPH0766910B2 (en) | 1984-07-26 | 1995-07-19 | 新技術事業団 | Semiconductor single crystal growth equipment |
US4614639A (en) * | 1985-04-26 | 1986-09-30 | Tegal Corporation | Compound flow plasma reactor |
US5250148A (en) | 1985-05-15 | 1993-10-05 | Research Development Corporation | Process for growing GaAs monocrystal film |
US4829022A (en) | 1985-12-09 | 1989-05-09 | Nippon Telegraph And Telephone Corporation | Method for forming thin films of compound semiconductors by flow rate modulation epitaxy |
US4917556A (en) | 1986-04-28 | 1990-04-17 | Varian Associates, Inc. | Modular wafer transport and processing system |
US4761269A (en) | 1986-06-12 | 1988-08-02 | Crystal Specialties, Inc. | Apparatus for depositing material on a substrate |
US4838983A (en) | 1986-07-03 | 1989-06-13 | Emcore, Inc. | Gas treatment apparatus and method |
US4767494A (en) | 1986-07-04 | 1988-08-30 | Nippon Telegraph & Telephone Corporation | Preparation process of compound semiconductor |
JPH0834180B2 (en) | 1986-08-26 | 1996-03-29 | セイコー電子工業株式会社 | Method for growing compound semiconductor thin film |
JPH0639357B2 (en) | 1986-09-08 | 1994-05-25 | 新技術開発事業団 | Method for growing element semiconductor single crystal thin film |
US5246536A (en) | 1986-09-08 | 1993-09-21 | Research Development Corporation Of Japan | Method for growing single crystal thin films of element semiconductor |
JP2587623B2 (en) | 1986-11-22 | 1997-03-05 | 新技術事業団 | Epitaxial crystal growth method for compound semiconductor |
JP2929291B2 (en) | 1986-12-04 | 1999-08-03 | セイコーインスツルメンツ株式会社 | Method of manufacturing insulated gate field effect transistor |
US5882165A (en) * | 1986-12-19 | 1999-03-16 | Applied Materials, Inc. | Multiple chamber integrated process system |
US4951601A (en) | 1986-12-19 | 1990-08-28 | Applied Materials, Inc. | Multi-chamber integrated process system |
US5923985A (en) | 1987-01-05 | 1999-07-13 | Seiko Instruments Inc. | MOS field effect transistor and its manufacturing method |
DE3704505A1 (en) | 1987-02-13 | 1988-08-25 | Leybold Ag | INSERT UNIT FOR VACUUM SYSTEMS |
JPS63227011A (en) * | 1987-03-17 | 1988-09-21 | Fujitsu Ltd | Chemical vapor deposition system |
JPH0727861B2 (en) | 1987-03-27 | 1995-03-29 | 富士通株式会社 | Method for growing group III compound semiconductor crystal |
JPH0812844B2 (en) | 1987-03-27 | 1996-02-07 | 日本電気株式会社 | (III) -Group V compound semiconductor and method for forming the same |
DE3721637A1 (en) | 1987-06-30 | 1989-01-12 | Aixtron Gmbh | GAS INLET FOR A MULTIPLE DIFFERENT REACTION GAS IN REACTION VESSELS |
US5348911A (en) | 1987-06-30 | 1994-09-20 | Aixtron Gmbh | Material-saving process for fabricating mixed crystals |
EP0297867B1 (en) | 1987-07-01 | 1993-10-06 | Nec Corporation | A process for the growth of iii-v group compound semiconductor crystal on a si substrate |
JPH0666274B2 (en) | 1987-07-01 | 1994-08-24 | 日本電気株式会社 | (III) -Method for forming group V compound semiconductor |
FI81926C (en) | 1987-09-29 | 1990-12-10 | Nokia Oy Ab | FOERFARANDE FOER UPPBYGGNING AV GAAS-FILMER PAO SI- OCH GAAS-SUBSTRATER. |
WO1989003587A1 (en) | 1987-10-14 | 1989-04-20 | The Furukawa Electric Co., Ltd. | Method and apparatus for thin film formation by plasma cvd |
DE3743938C2 (en) | 1987-12-23 | 1995-08-31 | Cs Halbleiter Solartech | Process for atomic layer epitaxy growth of a III / V compound semiconductor thin film |
FR2626110A1 (en) | 1988-01-19 | 1989-07-21 | Thomson Csf | Process for producing a layer of a superconductive material by epitaxy |
US5166092A (en) | 1988-01-28 | 1992-11-24 | Fujitsu Limited | Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy |
US5229081A (en) * | 1988-02-12 | 1993-07-20 | Regal Joint Co., Ltd. | Apparatus for semiconductor process including photo-excitation process |
FR2628985B1 (en) | 1988-03-22 | 1990-12-28 | Labo Electronique Physique | EPITAXY REACTOR WITH WALL PROTECTION |
US5130269A (en) | 1988-04-27 | 1992-07-14 | Fujitsu Limited | Hetero-epitaxially grown compound semiconductor substrate and a method of growing the same |
US5261959A (en) | 1988-05-26 | 1993-11-16 | General Electric Company | Diamond crystal growth apparatus |
DE3851701T2 (en) | 1988-06-03 | 1995-03-30 | Ibm | Process for the production of artificial high-temperature superconductors with a multilayer structure. |
US4927670A (en) | 1988-06-22 | 1990-05-22 | Georgia Tech Research Corporation | Chemical vapor deposition of mixed metal oxide coatings |
US5234561A (en) | 1988-08-25 | 1993-08-10 | Hauzer Industries Bv | Physical vapor deposition dual coating process |
US4931132A (en) | 1988-10-07 | 1990-06-05 | Bell Communications Research, Inc. | Optical control of deposition of crystal monolayers |
US4917566A (en) * | 1988-11-17 | 1990-04-17 | Contractors Crane Service, Inc. | Crane attachment for backhoe |
US4907534A (en) * | 1988-12-09 | 1990-03-13 | Siemens Aktiengesellschaft | Gas distributor for OMVPE Growth |
US5013683A (en) | 1989-01-23 | 1991-05-07 | The Regents Of The University Of California | Method for growing tilted superlattices |
JPH0824191B2 (en) | 1989-03-17 | 1996-03-06 | 富士通株式会社 | Thin film transistor |
US5186718A (en) | 1989-05-19 | 1993-02-16 | Applied Materials, Inc. | Staged-vacuum wafer processing system and method |
US4987856A (en) | 1989-05-22 | 1991-01-29 | Advanced Semiconductor Materials America, Inc. | High throughput multi station processor for multiple single wafers |
US5134965A (en) * | 1989-06-16 | 1992-08-04 | Hitachi, Ltd. | Processing apparatus and method for plasma processing |
EP0413982B1 (en) | 1989-07-27 | 1997-05-14 | Junichi Nishizawa | Impurity doping method with adsorbed diffusion source |
JP2506451B2 (en) | 1989-08-18 | 1996-06-12 | 富士通株式会社 | Chemical vapor deposition apparatus and chemical vapor deposition method |
US5028565A (en) | 1989-08-25 | 1991-07-02 | Applied Materials, Inc. | Process for CVD deposition of tungsten layer on semiconductor wafer |
JP2926798B2 (en) | 1989-11-20 | 1999-07-28 | 国際電気株式会社 | Continuous processing etching method and apparatus |
CA2031253A1 (en) | 1989-12-01 | 1991-06-02 | Kenji Aoki | Method of producing bipolar transistor |
FI84562C (en) | 1990-01-16 | 1991-12-27 | Neste Oy | FARING EQUIPMENT FOR THE FRAME STATION OF HETEROGENE CATALYSTATORS. |
US5290748A (en) | 1990-01-16 | 1994-03-01 | Neste Oy | Polymerization catalyst for olefines |
FI87892C (en) | 1991-07-16 | 1993-03-10 | Neste Oy | METHOD OF FREQUENCY CONTAINER WITH METAL HALF |
US5338389A (en) | 1990-01-19 | 1994-08-16 | Research Development Corporation Of Japan | Method of epitaxially growing compound crystal and doping method therein |
JPH07105497B2 (en) | 1990-01-31 | 1995-11-13 | 新技術事業団 | Semiconductor device and manufacturing method thereof |
JP2822536B2 (en) | 1990-02-14 | 1998-11-11 | 住友電気工業株式会社 | Method for forming cubic boron nitride thin film |
US5316615A (en) | 1990-03-23 | 1994-05-31 | International Business Machines Corporation | Surfactant-enhanced epitaxy |
JPH042699A (en) | 1990-04-18 | 1992-01-07 | Mitsubishi Electric Corp | Growing of crystal |
US5173474A (en) | 1990-04-18 | 1992-12-22 | Xerox Corporation | Silicon substrate having an epitaxial superconducting layer thereon and method of making same |
US5091320A (en) | 1990-06-15 | 1992-02-25 | Bell Communications Research, Inc. | Ellipsometric control of material growth |
US5225366A (en) | 1990-06-22 | 1993-07-06 | The United States Of America As Represented By The Secretary Of The Navy | Apparatus for and a method of growing thin films of elemental semiconductors |
US5483919A (en) | 1990-08-31 | 1996-01-16 | Nippon Telegraph And Telephone Corporation | Atomic layer epitaxy method and apparatus |
DE4027628A1 (en) | 1990-08-31 | 1992-03-05 | Wolters Peter Fa | DEVICE FOR CONTROLLING OR CONTROLLING LAEPP, HONING OR POLISHING MACHINES |
US5085887A (en) * | 1990-09-07 | 1992-02-04 | Applied Materials, Inc. | Wafer reactor vessel window with pressure-thermal compensation |
US5085885A (en) | 1990-09-10 | 1992-02-04 | University Of Delaware | Plasma-induced, in-situ generation, transport and use or collection of reactive precursors |
EP0491521B1 (en) * | 1990-12-15 | 1997-03-12 | Fujitsu Limited | Process for producing diamond film |
US5286296A (en) | 1991-01-10 | 1994-02-15 | Sony Corporation | Multi-chamber wafer process equipment having plural, physically communicating transfer means |
US5178681A (en) | 1991-01-29 | 1993-01-12 | Applied Materials, Inc. | Suspension system for semiconductor reactors |
US5204145A (en) * | 1991-03-04 | 1993-04-20 | General Electric Company | Apparatus for producing diamonds by chemical vapor deposition and articles produced therefrom |
US5705224A (en) | 1991-03-20 | 1998-01-06 | Kokusai Electric Co., Ltd. | Vapor depositing method |
US5316793A (en) | 1992-07-27 | 1994-05-31 | Texas Instruments Incorporated | Directed effusive beam atomic layer epitaxy system and method |
US5224513A (en) * | 1991-06-04 | 1993-07-06 | Cselt - Centro Studi E Laboratori Telecomunicazioni S.P.A. | Device for introducing reagents into an organometallic vapor phase deposition apparatus |
US5173327A (en) | 1991-06-18 | 1992-12-22 | Micron Technology, Inc. | LPCVD process for depositing titanium films for semiconductor devices |
US5270247A (en) | 1991-07-12 | 1993-12-14 | Fujitsu Limited | Atomic layer epitaxy of compound semiconductor |
US6001669A (en) | 1991-09-09 | 1999-12-14 | Philips Electronics North America Corporation | Method for producing II-VI compound semiconductor epitaxial layers having low defects |
US5311055A (en) | 1991-11-22 | 1994-05-10 | The United States Of America As Represented By The Secretary Of The Navy | Trenched bipolar transistor structures |
JP2987379B2 (en) | 1991-11-30 | 1999-12-06 | 科学技術振興事業団 | Method for epitaxial growth of semiconductor crystal |
US5336324A (en) | 1991-12-04 | 1994-08-09 | Emcore Corporation | Apparatus for depositing a coating on a substrate |
JP2763222B2 (en) * | 1991-12-13 | 1998-06-11 | 三菱電機株式会社 | Chemical vapor deposition method, chemical vapor deposition processing system and chemical vapor deposition apparatus therefor |
US5397428A (en) | 1991-12-20 | 1995-03-14 | The University Of North Carolina At Chapel Hill | Nucleation enhancement for chemical vapor deposition of diamond |
US5256244A (en) | 1992-02-10 | 1993-10-26 | General Electric Company | Production of diffuse reflective coatings by atomic layer epitaxy |
US5480818A (en) | 1992-02-10 | 1996-01-02 | Fujitsu Limited | Method for forming a film and method for manufacturing a thin film transistor |
JP2987663B2 (en) * | 1992-03-10 | 1999-12-06 | 株式会社日立製作所 | Substrate processing equipment |
US5458084A (en) | 1992-04-16 | 1995-10-17 | Moxtek, Inc. | X-ray wave diffraction optics constructed by atomic layer epitaxy |
AU4378893A (en) | 1992-05-22 | 1993-12-30 | Minnesota Mining And Manufacturing Company | Ii-vi laser diodes with quantum wells grown by atomic layer epitaxy and migration enhanced epitaxy |
US5285885A (en) * | 1992-05-29 | 1994-02-15 | Fishburne International, Inc. | Tobacco container sorting conveyor |
US5278435A (en) | 1992-06-08 | 1994-01-11 | Apa Optics, Inc. | High responsivity ultraviolet gallium nitride detector |
FI91422C (en) | 1992-06-18 | 1994-06-27 | Mikrokemia Oy | Process and apparatus for supplying liquid reagents to a chemical reactor |
JPH0750690B2 (en) | 1992-08-21 | 1995-05-31 | 日本電気株式会社 | Method and apparatus for epitaxial growth of semiconductor crystal using halide |
US5381485A (en) * | 1992-08-29 | 1995-01-10 | Adaptive Control Limited | Active sound control systems and sound reproduction systems |
US5338362A (en) | 1992-08-29 | 1994-08-16 | Tokyo Electron Limited | Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments |
JP3405466B2 (en) | 1992-09-17 | 2003-05-12 | 富士通株式会社 | Fluid switching valve and semiconductor device manufacturing apparatus |
US5532511A (en) | 1992-10-23 | 1996-07-02 | Research Development Corp. Of Japan | Semiconductor device comprising a highspeed static induction transistor |
US5455072A (en) | 1992-11-18 | 1995-10-03 | Bension; Rouvain M. | Initiation and bonding of diamond and other thin films |
JPH06177349A (en) * | 1992-12-02 | 1994-06-24 | Matsushita Electric Ind Co Ltd | High density dram and manufacture thereof |
US5607009A (en) | 1993-01-28 | 1997-03-04 | Applied Materials, Inc. | Method of heating and cooling large area substrates and apparatus therefor |
JP3265042B2 (en) | 1993-03-18 | 2002-03-11 | 東京エレクトロン株式会社 | Film formation method |
JP3124861B2 (en) | 1993-03-24 | 2001-01-15 | 富士通株式会社 | Thin film growth method and semiconductor device manufacturing method |
US5443647A (en) | 1993-04-28 | 1995-08-22 | The United States Of America As Represented By The Secretary Of The Army | Method and apparatus for depositing a refractory thin film by chemical vapor deposition |
US5330610A (en) | 1993-05-28 | 1994-07-19 | Martin Marietta Energy Systems, Inc. | Method of digital epilaxy by externally controlled closed-loop feedback |
JPH0729897A (en) | 1993-06-25 | 1995-01-31 | Nec Corp | Manufacture of semiconductor device |
US5643366A (en) | 1994-01-31 | 1997-07-01 | Applied Materials, Inc. | Wafer handling within a vacuum chamber using vacuum |
US6130147A (en) | 1994-04-07 | 2000-10-10 | Sdl, Inc. | Methods for forming group III-V arsenide-nitride semiconductor materials |
JP3181171B2 (en) * | 1994-05-20 | 2001-07-03 | シャープ株式会社 | Vapor phase growth apparatus and vapor phase growth method |
US5665640A (en) * | 1994-06-03 | 1997-09-09 | Sony Corporation | Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor |
US5580421A (en) * | 1994-06-14 | 1996-12-03 | Fsi International | Apparatus for surface conditioning |
US5916395A (en) * | 1994-07-05 | 1999-06-29 | Murata Manufacturing Co., Ltd. | Method for fabricating ceramic electronic parts |
JP3008782B2 (en) | 1994-07-15 | 2000-02-14 | 信越半導体株式会社 | Vapor phase growth method and apparatus |
US5796116A (en) | 1994-07-27 | 1998-08-18 | Sharp Kabushiki Kaisha | Thin-film semiconductor device including a semiconductor film with high field-effect mobility |
US5641984A (en) * | 1994-08-19 | 1997-06-24 | General Electric Company | Hermetically sealed radiation imager |
TW295677B (en) | 1994-08-19 | 1997-01-11 | Tokyo Electron Co Ltd | |
US5730801A (en) * | 1994-08-23 | 1998-03-24 | Applied Materials, Inc. | Compartnetalized substrate processing chamber |
US5644128A (en) | 1994-08-25 | 1997-07-01 | Ionwerks | Fast timing position sensitive detector |
US6158446A (en) | 1994-11-14 | 2000-12-12 | Fsi International | Ultra-low particle semiconductor cleaner |
JPH08148431A (en) | 1994-11-24 | 1996-06-07 | Mitsubishi Electric Corp | Mbe apparatus and gas branch-piping apparatus |
FI97730C (en) * | 1994-11-28 | 1997-02-10 | Mikrokemia Oy | Equipment for the production of thin films |
US5558717A (en) | 1994-11-30 | 1996-09-24 | Applied Materials | CVD Processing chamber |
WO1996018756A1 (en) | 1994-12-16 | 1996-06-20 | Nkt Research Center A/S | A PA-CVD PROCESS FOR DEPOSITION OF A SOLID METAL-CONTAINING FILM ONTO A SUBSTRATE CONTAINING AT LEAST 50 % of Fe or WC |
US5542452A (en) * | 1995-02-07 | 1996-08-06 | Cdc Technologies, Inc. | Valve assembly |
DE69625265T2 (en) * | 1995-03-28 | 2003-09-04 | Texas Instruments Inc., Dallas | Semiconductor structures |
JPH08288965A (en) | 1995-04-18 | 1996-11-01 | Hitachi Ltd | Switching system |
US5573566A (en) * | 1995-05-26 | 1996-11-12 | Advanced Semiconductor Materials America, Inc. | Method of making a quartz dome reactor chamber |
TW434745B (en) * | 1995-06-07 | 2001-05-16 | Tokyo Electron Ltd | Plasma processing apparatus |
JP3288200B2 (en) | 1995-06-09 | 2002-06-04 | 東京エレクトロン株式会社 | Vacuum processing equipment |
JPH0922896A (en) | 1995-07-07 | 1997-01-21 | Toshiba Corp | Method of selective forming of metal film |
TW283250B (en) * | 1995-07-10 | 1996-08-11 | Watkins Johnson Co | Plasma enhanced chemical processing reactor and method |
TW294820B (en) * | 1995-07-10 | 1997-01-01 | Watkins Johnson Co | Gas distribution apparatus |
KR0167248B1 (en) | 1995-07-24 | 1999-02-01 | 문정환 | Heat treatment of substrate |
KR100310249B1 (en) | 1995-08-05 | 2001-12-17 | 엔도 마코토 | Substrate Processing Equipment |
US5804488A (en) | 1995-08-24 | 1998-09-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming a tungsten silicide capacitor having a high breakdown voltage |
US6066358A (en) * | 1995-11-21 | 2000-05-23 | Applied Materials, Inc. | Blanket-selective chemical vapor deposition using an ultra-thin nucleation layer |
US5672054A (en) | 1995-12-07 | 1997-09-30 | Carrier Corporation | Rotary compressor with reduced lubrication sensitivity |
FI107533B (en) | 1996-04-03 | 2001-08-31 | Fortum Oil & Gas Oy | Functional surfaces for conducting chemical reactions and processes for their preparation |
US5667592A (en) | 1996-04-16 | 1997-09-16 | Gasonics International | Process chamber sleeve with ring seals for isolating individual process modules in a common cluster |
US5906683A (en) | 1996-04-16 | 1999-05-25 | Applied Materials, Inc. | Lid assembly for semiconductor processing chamber |
US6313035B1 (en) * | 1996-05-31 | 2001-11-06 | Micron Technology, Inc. | Chemical vapor deposition using organometallic precursors |
US5788799A (en) | 1996-06-11 | 1998-08-04 | Applied Materials, Inc. | Apparatus and method for cleaning of semiconductor process chamber surfaces |
US6062798A (en) | 1996-06-13 | 2000-05-16 | Brooks Automation, Inc. | Multi-level substrate processing apparatus |
US6534133B1 (en) * | 1996-06-14 | 2003-03-18 | Research Foundation Of State University Of New York | Methodology for in-situ doping of aluminum coatings |
US5996528A (en) * | 1996-07-02 | 1999-12-07 | Novellus Systems, Inc. | Method and apparatus for flowing gases into a manifold at high potential |
US5846332A (en) | 1996-07-12 | 1998-12-08 | Applied Materials, Inc. | Thermally floating pedestal collar in a chemical vapor deposition chamber |
AUPO129096A0 (en) | 1996-07-26 | 1996-08-22 | Boc Gases Australia Limited | Oxygen dissolver for pipelines or pipe outlets |
US5747113A (en) | 1996-07-29 | 1998-05-05 | Tsai; Charles Su-Chang | Method of chemical vapor deposition for producing layer variation by planetary susceptor rotation |
US5830270A (en) | 1996-08-05 | 1998-11-03 | Lockheed Martin Energy Systems, Inc. | CaTiO3 Interfacial template structure on semiconductor-based material and the growth of electroceramic thin-films in the perovskite class |
JP3901252B2 (en) * | 1996-08-13 | 2007-04-04 | キヤノンアネルバ株式会社 | Chemical vapor deposition equipment |
JP2923753B2 (en) * | 1996-08-21 | 1999-07-26 | 工業技術院長 | Method for forming group III atomic layer |
KR100216542B1 (en) | 1996-08-27 | 1999-08-16 | 정선종 | Multi-target driving apparatus for pulse laser depositing system |
FI100758B (en) | 1996-09-11 | 1998-02-13 | Planar Internat Oy Ltd | Methods to Form a Luminescence Layer of ZnS: Mn for Thin Film Electroluminescence Components |
US5951771A (en) | 1996-09-30 | 1999-09-14 | Celestech, Inc. | Plasma jet system |
US5835677A (en) | 1996-10-03 | 1998-11-10 | Emcore Corporation | Liquid vaporizer system and method |
US6071572A (en) | 1996-10-15 | 2000-06-06 | Applied Materials, Inc. | Forming tin thin films using remote activated specie generation |
US5928389A (en) | 1996-10-21 | 1999-07-27 | Applied Materials, Inc. | Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool |
US5882411A (en) | 1996-10-21 | 1999-03-16 | Applied Materials, Inc. | Faceplate thermal choke in a CVD plasma reactor |
US5807792A (en) | 1996-12-18 | 1998-09-15 | Siemens Aktiengesellschaft | Uniform distribution of reactants in a device layer |
US6335280B1 (en) * | 1997-01-13 | 2002-01-01 | Asm America, Inc. | Tungsten silicide deposition process |
US6043177A (en) * | 1997-01-21 | 2000-03-28 | University Technology Corporation | Modification of zeolite or molecular sieve membranes using atomic layer controlled chemical vapor deposition |
US5913147A (en) * | 1997-01-21 | 1999-06-15 | Advanced Micro Devices, Inc. | Method for fabricating copper-aluminum metallization |
US6051286A (en) | 1997-02-12 | 2000-04-18 | Applied Materials, Inc. | High temperature, high deposition rate process and apparatus for depositing titanium layers |
US6174509B1 (en) * | 1997-02-11 | 2001-01-16 | Corning Incorporated | Pure fused silica, furnace and method |
DE19706789C2 (en) * | 1997-02-20 | 1999-10-21 | Siemens Ag | CMOS circuit with partially dielectrically isolated source-drain regions and method for their production |
US6174377B1 (en) * | 1997-03-03 | 2001-01-16 | Genus, Inc. | Processing chamber for atomic layer deposition processes |
US5879459A (en) * | 1997-08-29 | 1999-03-09 | Genus, Inc. | Vertically-stacked process reactor and cluster tool system for atomic layer deposition |
US5855675A (en) * | 1997-03-03 | 1999-01-05 | Genus, Inc. | Multipurpose processing chamber for chemical vapor deposition processes |
US5866795A (en) * | 1997-03-17 | 1999-02-02 | Applied Materials, Inc. | Liquid flow rate estimation and verification by direct liquid measurement |
US6153519A (en) | 1997-03-31 | 2000-11-28 | Motorola, Inc. | Method of forming a barrier layer |
US5888303A (en) * | 1997-04-07 | 1999-03-30 | R.E. Dixon Inc. | Gas inlet apparatus and method for chemical vapor deposition reactors |
USRE39969E1 (en) * | 1997-04-11 | 2008-01-01 | Tokyo Electron Limited | Processing system |
US6026762A (en) | 1997-04-23 | 2000-02-22 | Applied Materials, Inc. | Apparatus for improved remote microwave plasma source for use with substrate processing systems |
US6156382A (en) | 1997-05-16 | 2000-12-05 | Applied Materials, Inc. | Chemical vapor deposition process for depositing tungsten |
US5851849A (en) | 1997-05-22 | 1998-12-22 | Lucent Technologies Inc. | Process for passivating semiconductor laser structures with severe steps in surface topography |
US6140237A (en) | 1997-06-16 | 2000-10-31 | Chartered Semiconductor Manufacturing Ltd. | Damascene process for forming coplanar top surface of copper connector isolated by barrier layers in an insulating layer |
US5846330A (en) * | 1997-06-26 | 1998-12-08 | Celestech, Inc. | Gas injection disc assembly for CVD applications |
US6162715A (en) | 1997-06-30 | 2000-12-19 | Applied Materials, Inc. | Method of forming gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride |
US6309713B1 (en) | 1997-06-30 | 2001-10-30 | Applied Materials, Inc. | Deposition of tungsten nitride by plasma enhanced chemical vapor deposition |
FI972874A0 (en) | 1997-07-04 | 1997-07-04 | Mikrokemia Oy | Foerfarande och anordning Foer framstaellning av tunnfilmer |
US6073366A (en) | 1997-07-11 | 2000-06-13 | Asm America, Inc. | Substrate cooling system and method |
US5882413A (en) * | 1997-07-11 | 1999-03-16 | Brooks Automation, Inc. | Substrate processing apparatus having a substrate transport with a front end extension and an internal substrate buffer |
US5904565A (en) | 1997-07-17 | 1999-05-18 | Sharp Microelectronics Technology, Inc. | Low resistance contact between integrated circuit metal levels and method for same |
US6287965B1 (en) | 1997-07-28 | 2001-09-11 | Samsung Electronics Co, Ltd. | Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor |
KR100269306B1 (en) | 1997-07-31 | 2000-10-16 | 윤종용 | Integrate circuit device having buffer layer containing metal oxide stabilized by low temperature treatment and fabricating method thereof |
US7393561B2 (en) * | 1997-08-11 | 2008-07-01 | Applied Materials, Inc. | Method and apparatus for layer by layer deposition of thin films |
KR100261017B1 (en) | 1997-08-19 | 2000-08-01 | 윤종용 | Method for forming metal wiring of semiconductor device |
US5904569A (en) | 1997-09-03 | 1999-05-18 | National Semiconductor Corporation | Method for forming self-aligned vias in multi-metal integrated circuits |
US5801634A (en) | 1997-09-08 | 1998-09-01 | Sony Corporation | Signal tower controller |
KR100274603B1 (en) | 1997-10-01 | 2001-01-15 | 윤종용 | Method and apparatus for fabricating semiconductor device |
JPH11117071A (en) * | 1997-10-09 | 1999-04-27 | Anelva Corp | Cvd device |
US6110556A (en) | 1997-10-17 | 2000-08-29 | Applied Materials, Inc. | Lid assembly for a process chamber employing asymmetric flow geometries |
KR100252049B1 (en) | 1997-11-18 | 2000-04-15 | 윤종용 | The atomic layer deposition method for fabricating aluminum layer |
US5972430A (en) | 1997-11-26 | 1999-10-26 | Advanced Technology Materials, Inc. | Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer |
JP3550985B2 (en) * | 1997-12-02 | 2004-08-04 | 株式会社デンソー | Verification method, verification device, and recording medium for neural network |
US6079356A (en) | 1997-12-02 | 2000-06-27 | Applied Materials, Inc. | Reactor optimized for chemical vapor deposition of titanium |
US6099904A (en) * | 1997-12-02 | 2000-08-08 | Applied Materials, Inc. | Low resistivity W using B2 H6 nucleation step |
FI104383B (en) | 1997-12-09 | 2000-01-14 | Fortum Oil & Gas Oy | Procedure for coating the inside of a plant |
US6107192A (en) * | 1997-12-30 | 2000-08-22 | Applied Materials, Inc. | Reactive preclean prior to metallization for sub-quarter micron application |
TW439151B (en) | 1997-12-31 | 2001-06-07 | Samsung Electronics Co Ltd | Method for forming conductive layer using atomic layer deposition process |
KR100275727B1 (en) * | 1998-01-06 | 2001-01-15 | 윤종용 | Capacitor for semiconductor device & manufacturing method |
US6140234A (en) | 1998-01-20 | 2000-10-31 | International Business Machines Corporation | Method to selectively fill recesses with conductive metal |
US6303523B2 (en) | 1998-02-11 | 2001-10-16 | Applied Materials, Inc. | Plasma processes for depositing low dielectric constant films |
US6117244A (en) | 1998-03-24 | 2000-09-12 | Applied Materials, Inc. | Deposition resistant lining for CVD chamber |
US6316098B1 (en) | 1998-03-27 | 2001-11-13 | Yissum Research Development Company Of The Hebrew University Of Jerusalem | Molecular layer epitaxy method and compositions |
KR20010042296A (en) | 1998-03-31 | 2001-05-25 | 로즈 암스트롱, 크리스틴 에이. 트러트웨인 | Benzoxazinones/Benzothiazinones as Serine Protease Inhibitors |
JPH11297681A (en) * | 1998-04-07 | 1999-10-29 | Mitsubishi Electric Corp | Cvd apparatus for forming high permittivity thin film and method of forming high permittivity thin film |
US6433314B1 (en) | 1998-04-08 | 2002-08-13 | Applied Materials, Inc. | Direct temperature control for a component of a substrate processing chamber |
JP3955386B2 (en) * | 1998-04-09 | 2007-08-08 | 富士通株式会社 | Semiconductor device and manufacturing method thereof |
US6181012B1 (en) * | 1998-04-27 | 2001-01-30 | International Business Machines Corporation | Copper interconnection structure incorporating a metal seed layer |
KR100267885B1 (en) * | 1998-05-18 | 2000-11-01 | 서성기 | Deposition apparatus |
KR100282853B1 (en) | 1998-05-18 | 2001-04-02 | 서성기 | Apparatus for thin film deposition using cyclic gas injection |
US6025627A (en) * | 1998-05-29 | 2000-02-15 | Micron Technology, Inc. | Alternate method and structure for improved floating gate tunneling devices |
FI105313B (en) | 1998-06-03 | 2000-07-14 | Planar Systems Oy | Process for the preparation of thin film electroluminescence structures |
NL1009327C2 (en) | 1998-06-05 | 1999-12-10 | Asm Int | Method and device for transferring wafers. |
US6086677A (en) | 1998-06-16 | 2000-07-11 | Applied Materials, Inc. | Dual gas faceplate for a showerhead in a semiconductor wafer processing system |
KR100278657B1 (en) | 1998-06-24 | 2001-02-01 | 윤종용 | Metal line structure for semiconductor device & manufacturing method thereof |
JP2000031387A (en) | 1998-07-14 | 2000-01-28 | Fuji Electric Co Ltd | Manufacture of dielectric thin film capacitor |
US6358323B1 (en) * | 1998-07-21 | 2002-03-19 | Applied Materials, Inc. | Method and apparatus for improved control of process and purge material in a substrate processing system |
KR100275738B1 (en) | 1998-08-07 | 2000-12-15 | 윤종용 | Method for producing thin film using atomatic layer deposition |
KR20000013654A (en) | 1998-08-12 | 2000-03-06 | 윤종용 | Capacitor having an al2o3/aln mixed dielectric layer by using an atomic layer deposition and a manufacturing method thereof |
KR100327105B1 (en) | 1998-08-14 | 2002-03-09 | 오길록 | High luminance-phosphor and method for fabricating the same |
US6291876B1 (en) | 1998-08-20 | 2001-09-18 | The United States Of America As Represented By The Secretary Of The Navy | Electronic devices with composite atomic barrier film and process for making same |
FI105643B (en) | 1998-08-21 | 2000-09-15 | Planar Systems Oy | Thin-film electroluminescent device and method for its manufacture |
US6520218B1 (en) * | 1998-09-03 | 2003-02-18 | Advanced Technology Materials, Inc. | Container chemical guard |
KR20000022003A (en) | 1998-09-10 | 2000-04-25 | 이경수 | Method for forming three-components compound comprising metal and silicon |
FI108375B (en) | 1998-09-11 | 2002-01-15 | Asm Microchemistry Oy | Still for producing insulating oxide thin films |
KR100273474B1 (en) | 1998-09-14 | 2000-12-15 | 이경수 | Gas supply apparatus of chemical vapor deposition apparatus |
US6251759B1 (en) * | 1998-10-03 | 2001-06-26 | Applied Materials, Inc. | Method and apparatus for depositing material upon a semiconductor wafer using a transition chamber of a multiple chamber semiconductor wafer processing system |
US6143082A (en) | 1998-10-08 | 2000-11-07 | Novellus Systems, Inc. | Isolation of incompatible processes in a multi-station processing chamber |
US6445701B1 (en) * | 1998-10-09 | 2002-09-03 | Microsoft Corporation | Channel access scheme for use in network communications |
US6454860B2 (en) | 1998-10-27 | 2002-09-24 | Applied Materials, Inc. | Deposition reactor having vaporizing, mixing and cleaning capabilities |
US20030101938A1 (en) | 1998-10-27 | 2003-06-05 | Applied Materials, Inc. | Apparatus for the deposition of high dielectric constant films |
US6409904B1 (en) * | 1998-12-01 | 2002-06-25 | Nutool, Inc. | Method and apparatus for depositing and controlling the texture of a thin film |
KR100331544B1 (en) | 1999-01-18 | 2002-04-06 | 윤종용 | Method for introducing gases into a reactor chamber and a shower head used therein |
JP2995300B1 (en) | 1999-02-03 | 1999-12-27 | 工業技術院長 | Surface improvement method for machine element parts |
US6087726A (en) * | 1999-03-01 | 2000-07-11 | Lsi Logic Corporation | Metal interconnect stack for integrated circuit structure |
US6540838B2 (en) | 2000-11-29 | 2003-04-01 | Genus, Inc. | Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition |
US20020000665A1 (en) * | 1999-04-05 | 2002-01-03 | Alexander L. Barr | Semiconductor device conductive bump and interconnect barrier |
KR100273473B1 (en) | 1999-04-06 | 2000-11-15 | 이경수 | Method for forming a thin film |
JP2000290777A (en) * | 1999-04-07 | 2000-10-17 | Tokyo Electron Ltd | Gas treating device, buffle member, and gas treating method |
JP2000319773A (en) | 1999-04-30 | 2000-11-21 | Shindengen Electric Mfg Co Ltd | Production of selenium layer in x-ray detector |
KR100347379B1 (en) * | 1999-05-01 | 2002-08-07 | 주식회사 피케이엘 | Atomic layer deposition apparatus for depositing multi substrate |
TW512448B (en) * | 1999-05-11 | 2002-12-01 | Applied Materials Inc | Sequential sputter and reactive precleans of vias and contacts |
US6218298B1 (en) | 1999-05-19 | 2001-04-17 | Infineon Technologies North America Corp. | Tungsten-filled deep trenches |
JP2000340883A (en) | 1999-05-27 | 2000-12-08 | Fujitsu Ltd | Multiwavelength oscillating optical semiconductor device |
US6254602B1 (en) | 1999-05-28 | 2001-07-03 | Sdgi Holdings, Inc. | Advanced coupling device using shape-memory technology |
US6124158A (en) | 1999-06-08 | 2000-09-26 | Lucent Technologies Inc. | Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants |
JP2000353666A (en) | 1999-06-11 | 2000-12-19 | Matsushita Electric Ind Co Ltd | Semiconductor thin film and manufacture thereof |
DE60035948T2 (en) | 1999-06-19 | 2008-05-15 | Asm Genitech Korea Ltd. | CHEMICAL SEPARATION REACTOR AND ITS USE IN THE DISPOSAL OF A THIN FILM |
US6071808A (en) | 1999-06-23 | 2000-06-06 | Lucent Technologies Inc. | Method of passivating copper interconnects in a semiconductor |
WO2000079019A1 (en) | 1999-06-24 | 2000-12-28 | Prasad Narhar Gadgil | Apparatus for atomic layer chemical vapor deposition |
US6524952B1 (en) * | 1999-06-25 | 2003-02-25 | Applied Materials, Inc. | Method of forming a titanium silicide layer on a substrate |
US6309964B1 (en) * | 1999-07-08 | 2001-10-30 | Taiwan Semiconductor Manufacturing Company | Method for forming a copper damascene structure over tungsten plugs with improved adhesion, oxidation resistance, and diffusion barrier properties using nitridation of the tungsten plug |
KR100319494B1 (en) | 1999-07-15 | 2002-01-09 | 김용일 | Apparatus for Deposition of thin films on wafers through atomic layer epitaxial process |
FI110311B (en) | 1999-07-20 | 2002-12-31 | Asm Microchemistry Oy | Method and apparatus for eliminating substances from gases |
KR20010017820A (en) | 1999-08-14 | 2001-03-05 | 윤종용 | Semiconductor device and manufacturing method thereof |
US6328871B1 (en) | 1999-08-16 | 2001-12-11 | Applied Materials, Inc. | Barrier layer for electroplating processes |
KR200167993Y1 (en) | 1999-08-17 | 2000-02-15 | 박함규 | A supplying device for an upper thread of an embroidery-frame |
US6984415B2 (en) * | 1999-08-20 | 2006-01-10 | International Business Machines Corporation | Delivery systems for gases for gases via the sublimation of solid precursors |
EP1081751A3 (en) * | 1999-09-02 | 2003-03-19 | Applied Materials, Inc. | Methods of pre-cleaning dielectric layers of substrates |
EP1207967B1 (en) | 1999-09-03 | 2003-08-27 | ABB Fläkt Aktiebolag | Method for introducing and removing workpieces into or from a surface treatment area, a surface treatment device and an arrangement for surface treatment |
US6383330B1 (en) * | 1999-09-10 | 2002-05-07 | Asm America, Inc. | Quartz wafer processing chamber |
US6610151B1 (en) | 1999-10-02 | 2003-08-26 | Uri Cohen | Seed layers for interconnects and methods and apparatus for their fabrication |
DE10049257B4 (en) | 1999-10-06 | 2015-05-13 | Samsung Electronics Co., Ltd. | Process for thin film production by means of atomic layer deposition |
FI117942B (en) | 1999-10-14 | 2007-04-30 | Asm Int | Process for making oxide thin films |
US6902763B1 (en) | 1999-10-15 | 2005-06-07 | Asm International N.V. | Method for depositing nanolaminate thin films on sensitive surfaces |
KR100737901B1 (en) | 1999-10-15 | 2007-07-10 | 에이에스엠 인터내셔널 엔.브이. | Method for depositing nanolaminate thin films on sensitive surfaces |
ATE247632T1 (en) | 1999-10-15 | 2003-09-15 | Lonza Ag | METHOD FOR PRODUCING CYANECIC ACID ESTERS |
DE60028394T2 (en) | 1999-10-15 | 2007-03-29 | Asm International N.V. | CONFORMAL COATING LAYERS FOR DAMASCUM METALLIZATION |
KR100304714B1 (en) | 1999-10-20 | 2001-11-02 | 윤종용 | Method for fabricating metal layer of semiconductor device using metal-halide gas |
TW468212B (en) | 1999-10-25 | 2001-12-11 | Motorola Inc | Method for fabricating a semiconductor structure including a metal oxide interface with silicon |
US6548112B1 (en) | 1999-11-18 | 2003-04-15 | Tokyo Electron Limited | Apparatus and method for delivery of precursor vapor from low vapor pressure liquid sources to a CVD chamber |
KR20010047128A (en) | 1999-11-18 | 2001-06-15 | 이경수 | Method of vaporizing a liquid source and apparatus used therefor |
US6558509B2 (en) * | 1999-11-30 | 2003-05-06 | Applied Materials, Inc. | Dual wafer load lock |
FI118804B (en) | 1999-12-03 | 2008-03-31 | Asm Int | Process for making oxide films |
US6780704B1 (en) | 1999-12-03 | 2004-08-24 | Asm International Nv | Conformal thin films over textured capacitor electrodes |
KR100364257B1 (en) * | 1999-12-06 | 2002-12-11 | 삼성전자 주식회사 | Tungsten Chemical Vapor Deposition Method And Tungsten Plug Forming Method |
KR100330749B1 (en) | 1999-12-17 | 2002-04-03 | 서성기 | Thin film deposition apparatus for semiconductor |
KR100624903B1 (en) | 1999-12-22 | 2006-09-19 | 주식회사 하이닉스반도체 | Method of manufacturing a capacitor in a semiconductor device |
FI118474B (en) | 1999-12-28 | 2007-11-30 | Asm Int | Apparatus for making thin films |
FI118343B (en) | 1999-12-28 | 2007-10-15 | Asm Int | Apparatus for making thin films |
KR100390951B1 (en) | 1999-12-29 | 2003-07-10 | 주식회사 하이닉스반도체 | Method of forming copper wiring in a semiconductor device |
JP4817210B2 (en) | 2000-01-06 | 2011-11-16 | 東京エレクトロン株式会社 | Film forming apparatus and film forming method |
US6277249B1 (en) | 2000-01-21 | 2001-08-21 | Applied Materials Inc. | Integrated process for copper via filling using a magnetron and target producing highly energetic ions |
JP4362919B2 (en) | 2000-02-04 | 2009-11-11 | 株式会社デンソー | Deposition method by atomic layer epitaxial growth method |
JP4776054B2 (en) | 2000-02-04 | 2011-09-21 | 株式会社デンソー | Thin film formation method by atomic layer growth |
KR100378871B1 (en) | 2000-02-16 | 2003-04-07 | 주식회사 아펙스 | showerhead apparatus for radical assisted deposition |
US6492283B2 (en) | 2000-02-22 | 2002-12-10 | Asm Microchemistry Oy | Method of forming ultrathin oxide layer |
JP4211185B2 (en) | 2000-02-29 | 2009-01-21 | 株式会社デンソー | Glass substrate storage jig for CVD and ALE equipment |
JP3423665B2 (en) * | 2000-03-31 | 2003-07-07 | 理想科学工業株式会社 | Area determining method and device |
JP4556282B2 (en) | 2000-03-31 | 2010-10-06 | 株式会社デンソー | Organic EL device and method for manufacturing the same |
US7060132B2 (en) | 2000-04-14 | 2006-06-13 | Asm International N.V. | Method and apparatus of growing a thin film |
TW576873B (en) | 2000-04-14 | 2004-02-21 | Asm Int | Method of growing a thin film onto a substrate |
TW496907B (en) | 2000-04-14 | 2002-08-01 | Asm Microchemistry Oy | Method and apparatus of growing a thin film onto a substrate |
TW508658B (en) * | 2000-05-15 | 2002-11-01 | Asm Microchemistry Oy | Process for producing integrated circuits |
US6759325B2 (en) | 2000-05-15 | 2004-07-06 | Asm Microchemistry Oy | Sealing porous structures |
JP2001328900A (en) | 2000-05-15 | 2001-11-27 | Denso Corp | Method for forming thin film |
FI118805B (en) | 2000-05-15 | 2008-03-31 | Asm Int | A method and configuration for introducing a gas phase reactant into a reaction chamber |
KR100427423B1 (en) * | 2000-05-25 | 2004-04-13 | 가부시키가이샤 고베 세이코쇼 | Inner tube for cvd apparatus |
KR100647442B1 (en) | 2000-06-07 | 2006-11-17 | 주성엔지니어링(주) | Method of forming a thin film using atomic layer deposition |
US7253076B1 (en) * | 2000-06-08 | 2007-08-07 | Micron Technologies, Inc. | Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers |
KR100387255B1 (en) * | 2000-06-20 | 2003-06-11 | 주식회사 하이닉스반도체 | Method of forming a metal wiring in a semiconductor device |
KR100332314B1 (en) * | 2000-06-24 | 2002-04-12 | 서성기 | Reactor for depositing thin film on wafer |
US6818250B2 (en) * | 2000-06-29 | 2004-11-16 | The Regents Of The University Of Colorado | Method for forming SIO2 by chemical vapor deposition at room temperature |
US6585823B1 (en) | 2000-07-07 | 2003-07-01 | Asm International, N.V. | Atomic layer deposition |
US6592942B1 (en) | 2000-07-07 | 2003-07-15 | Asm International N.V. | Method for vapour deposition of a film onto a substrate |
FI20001694A0 (en) | 2000-07-20 | 2000-07-20 | Asm Microchemistry Oy | A method for growing a thin film on a substrate |
KR100630666B1 (en) | 2000-08-09 | 2006-10-02 | 삼성전자주식회사 | Method of manufacturing semiconductor device including metal contact and capacitor |
US6302965B1 (en) | 2000-08-15 | 2001-10-16 | Applied Materials, Inc. | Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces |
JP4013022B2 (en) | 2000-09-13 | 2007-11-28 | 日産自動車株式会社 | Jet pump |
JP4304854B2 (en) * | 2000-09-21 | 2009-07-29 | 宇部興産株式会社 | Multilayer polyimide film and laminate |
DE10064944A1 (en) * | 2000-09-22 | 2002-04-11 | Aixtron Ag | Process for depositing crystalline layers in particular, gas inlet element and device for carrying out the process |
US6685823B2 (en) * | 2000-10-16 | 2004-02-03 | Uniroyal Chemical Company, Inc. | C-nitrosoaniline compounds and their blends as polymerization inhibitors |
US6428847B1 (en) * | 2000-10-16 | 2002-08-06 | Primaxx, Inc. | Vortex based CVD reactor |
US6498091B1 (en) | 2000-11-01 | 2002-12-24 | Applied Materials, Inc. | Method of using a barrier sputter reactor to remove an underlying barrier layer |
KR100436941B1 (en) | 2000-11-07 | 2004-06-23 | 주성엔지니어링(주) | apparatus and method for depositing thin film |
US6355561B1 (en) | 2000-11-21 | 2002-03-12 | Micron Technology, Inc. | ALD method to improve surface coverage |
US6613695B2 (en) | 2000-11-24 | 2003-09-02 | Asm America, Inc. | Surface preparation prior to deposition |
KR100385947B1 (en) | 2000-12-06 | 2003-06-02 | 삼성전자주식회사 | Method of forming thin film by atomic layer deposition |
US6416822B1 (en) | 2000-12-06 | 2002-07-09 | Angstrom Systems, Inc. | Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD) |
US6878402B2 (en) | 2000-12-06 | 2005-04-12 | Novellus Systems, Inc. | Method and apparatus for improved temperature control in atomic layer deposition |
WO2002045871A1 (en) | 2000-12-06 | 2002-06-13 | Angstron Systems, Inc. | System and method for modulated ion-induced atomic layer deposition (mii-ald) |
KR100386034B1 (en) | 2000-12-06 | 2003-06-02 | 에이에스엠 마이크로케미스트리 리미티드 | Method of Fabricating Semiconductor Device Employing Copper Interconnect Structure Having Diffusion Barrier Stuffed with Metal Oxide |
US20020104481A1 (en) | 2000-12-06 | 2002-08-08 | Chiang Tony P. | System and method for modulated ion-induced atomic layer deposition (MII-ALD) |
US20020197402A1 (en) | 2000-12-06 | 2002-12-26 | Chiang Tony P. | System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD) |
US6368950B1 (en) * | 2000-12-12 | 2002-04-09 | Advanced Micro Devices, Inc. | Silicide gate transistors |
US6800173B2 (en) | 2000-12-15 | 2004-10-05 | Novellus Systems, Inc. | Variable gas conductance control for a process chamber |
JP3963078B2 (en) | 2000-12-25 | 2007-08-22 | 株式会社高純度化学研究所 | Tertiary amylimidotris (dimethylamido) tantalum, method for producing the same, raw material solution for MOCVD using the same, and method for forming a tantalum nitride film using the same |
US20020127336A1 (en) | 2001-01-16 | 2002-09-12 | Applied Materials, Inc. | Method for growing thin films by catalytic enhancement |
KR100434487B1 (en) | 2001-01-17 | 2004-06-05 | 삼성전자주식회사 | Shower head & film forming apparatus having the same |
KR100400031B1 (en) | 2001-01-17 | 2003-09-29 | 삼성전자주식회사 | Contact plug of semiconductor device and method of forming the same |
JP2002222934A (en) | 2001-01-29 | 2002-08-09 | Nec Corp | Semiconductor device and manufacturing method thereof |
US6844604B2 (en) | 2001-02-02 | 2005-01-18 | Samsung Electronics Co., Ltd. | Dielectric layer for semiconductor device and method of manufacturing the same |
US6951804B2 (en) * | 2001-02-02 | 2005-10-04 | Applied Materials, Inc. | Formation of a tantalum-nitride layer |
KR100400033B1 (en) | 2001-02-08 | 2003-09-29 | 삼성전자주식회사 | Semiconductor device having multi-interconnection structure and manufacturing method thereof |
KR100395766B1 (en) | 2001-02-12 | 2003-08-25 | 삼성전자주식회사 | Ferroelectric memory device and method of forming the same |
US6613656B2 (en) | 2001-02-13 | 2003-09-02 | Micron Technology, Inc. | Sequential pulse deposition |
US20020117399A1 (en) | 2001-02-23 | 2002-08-29 | Applied Materials, Inc. | Atomically thin highly resistive barrier layer in a copper via |
US20020121241A1 (en) | 2001-03-02 | 2002-09-05 | Nguyen Anh N. | Processing chamber and method of distributing process fluids therein to facilitate sequential deposition of films |
US6878206B2 (en) * | 2001-07-16 | 2005-04-12 | Applied Materials, Inc. | Lid assembly for a processing system to facilitate sequential deposition techniques |
US6660126B2 (en) | 2001-03-02 | 2003-12-09 | Applied Materials, Inc. | Lid assembly for a processing system to facilitate sequential deposition techniques |
US6734020B2 (en) | 2001-03-07 | 2004-05-11 | Applied Materials, Inc. | Valve control system for atomic layer deposition chamber |
US7348042B2 (en) | 2001-03-19 | 2008-03-25 | Novellus Systems, Inc. | Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD) |
US6369430B1 (en) | 2001-04-02 | 2002-04-09 | Motorola, Inc. | Method of preventing two neighboring contacts from a short-circuit caused by a void between them and device having the same |
WO2002082554A1 (en) | 2001-04-02 | 2002-10-17 | Matsushita Electric Industrial Co., Ltd. | Semiconductor device and method for manufacture thereof |
US20020144657A1 (en) | 2001-04-05 | 2002-10-10 | Chiang Tony P. | ALD reactor employing electrostatic chuck |
US20020144655A1 (en) | 2001-04-05 | 2002-10-10 | Chiang Tony P. | Gas valve system for a reactor |
US6561498B2 (en) | 2001-04-09 | 2003-05-13 | Lorex Industries, Inc. | Bubbler for use in vapor generation systems |
US6420189B1 (en) | 2001-04-27 | 2002-07-16 | Advanced Micro Devices, Inc. | Superconducting damascene interconnected for integrated circuit |
US20030019428A1 (en) * | 2001-04-28 | 2003-01-30 | Applied Materials, Inc. | Chemical vapor deposition chamber |
US6447933B1 (en) | 2001-04-30 | 2002-09-10 | Advanced Micro Devices, Inc. | Formation of alloy material using alternating depositions of alloy doping element and bulk material |
KR100363332B1 (en) | 2001-05-23 | 2002-12-05 | Samsung Electronics Co Ltd | Method for forming semiconductor device having gate all-around type transistor |
TW530739U (en) * | 2001-05-26 | 2003-05-01 | Salida Industry Co Ltd | Improved structure for carpentry corner chiseling machine |
US6528884B1 (en) * | 2001-06-01 | 2003-03-04 | Advanced Micro Devices, Inc. | Conformal atomic liner layer in an integrated circuit interconnect |
DE10128573A1 (en) | 2001-06-13 | 2003-01-02 | Infineon Technologies Ag | Prevent unwanted external detection of operations in integrated digital circuits |
US6686278B2 (en) * | 2001-06-19 | 2004-02-03 | United Microelectronics Corp. | Method for forming a plug metal layer |
US6849545B2 (en) | 2001-06-20 | 2005-02-01 | Applied Materials, Inc. | System and method to form a composite film stack utilizing sequential deposition techniques |
US6861334B2 (en) * | 2001-06-21 | 2005-03-01 | Asm International, N.V. | Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition |
JP4680429B2 (en) * | 2001-06-26 | 2011-05-11 | Okiセミコンダクタ株式会社 | High speed reading control method in text-to-speech converter |
TW539822B (en) | 2001-07-03 | 2003-07-01 | Asm Inc | Source chemical container assembly |
US20030198754A1 (en) | 2001-07-16 | 2003-10-23 | Ming Xi | Aluminum oxide chamber and process |
US7098131B2 (en) | 2001-07-19 | 2006-08-29 | Samsung Electronics Co., Ltd. | Methods for forming atomic layers and thin films including tantalum nitride and devices including the same |
US20030017697A1 (en) | 2001-07-19 | 2003-01-23 | Kyung-In Choi | Methods of forming metal layers using metallic precursors |
US7105444B2 (en) | 2001-07-19 | 2006-09-12 | Samsung Electronics Co., Ltd. | Method for forming a wiring of a semiconductor device, method for forming a metal layer of a semiconductor device and apparatus for performing the same |
WO2003030224A2 (en) | 2001-07-25 | 2003-04-10 | Applied Materials, Inc. | Barrier formation using novel sputter-deposition method |
US20030029715A1 (en) * | 2001-07-25 | 2003-02-13 | Applied Materials, Inc. | An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems |
US7085616B2 (en) | 2001-07-27 | 2006-08-01 | Applied Materials, Inc. | Atomic layer deposition apparatus |
US6820570B2 (en) | 2001-08-15 | 2004-11-23 | Nobel Biocare Services Ag | Atomic layer deposition reactor |
US6548906B2 (en) * | 2001-08-22 | 2003-04-15 | Agere Systems Inc. | Method for reducing a metal seam in an interconnect structure and a device manufactured thereby |
US6635544B2 (en) | 2001-09-07 | 2003-10-21 | Power Intergrations, Inc. | Method of fabricating a high-voltage transistor with a multi-layered extended drain structure |
KR20030025494A (en) | 2001-09-21 | 2003-03-29 | 삼성전자주식회사 | Semiconductor device having contact between ruthenium layer and metal layer and method for manufacturing the same |
US6607976B2 (en) | 2001-09-25 | 2003-08-19 | Applied Materials, Inc. | Copper interconnect barrier layer structure and formation method |
US7049226B2 (en) | 2001-09-26 | 2006-05-23 | Applied Materials, Inc. | Integration of ALD tantalum nitride for copper metallization |
US6797108B2 (en) | 2001-10-05 | 2004-09-28 | Applied Materials, Inc. | Apparatus and method for evenly flowing processing gas onto a semiconductor wafer |
TW589684B (en) | 2001-10-10 | 2004-06-01 | Applied Materials Inc | Method for depositing refractory metal layers employing sequential deposition techniques |
TW512504B (en) | 2001-10-12 | 2002-12-01 | Advanced Semiconductor Eng | Package substrate having protruded and recessed side edge |
US7780785B2 (en) * | 2001-10-26 | 2010-08-24 | Applied Materials, Inc. | Gas delivery apparatus for atomic layer deposition |
US7780789B2 (en) | 2001-10-26 | 2010-08-24 | Applied Materials, Inc. | Vortex chamber lids for atomic layer deposition |
US20080102203A1 (en) | 2001-10-26 | 2008-05-01 | Dien-Yeh Wu | Vortex chamber lids for atomic layer deposition |
US20080102208A1 (en) | 2001-10-26 | 2008-05-01 | Dien-Yeh Wu | Vortex chamber lids for atomic layer deposition |
US6902624B2 (en) | 2001-10-29 | 2005-06-07 | Genus, Inc. | Massively parallel atomic layer deposition/chemical vapor deposition system |
US6743681B2 (en) * | 2001-11-09 | 2004-06-01 | Micron Technology, Inc. | Methods of Fabricating Gate and Storage Dielectric Stacks having Silicon-Rich-Nitride |
US6620956B2 (en) * | 2001-11-16 | 2003-09-16 | Applied Materials, Inc. | Nitrogen analogs of copper II β-diketonates as source reagents for semiconductor processing |
US6423619B1 (en) | 2001-11-30 | 2002-07-23 | Motorola, Inc. | Transistor metal gate structure that minimizes non-planarity effects and method of formation |
US6939801B2 (en) | 2001-12-21 | 2005-09-06 | Applied Materials, Inc. | Selective deposition of a barrier layer on a dielectric material |
US6560111B1 (en) * | 2001-12-28 | 2003-05-06 | Fang Tien Huang | Bracket for CPU cooler |
US6674138B1 (en) | 2001-12-31 | 2004-01-06 | Advanced Micro Devices, Inc. | Use of high-k dielectric materials in modified ONO structure for semiconductor devices |
US6827815B2 (en) | 2002-01-15 | 2004-12-07 | Applied Materials, Inc. | Showerhead assembly for a processing chamber |
AU2003238853A1 (en) * | 2002-01-25 | 2003-09-02 | Applied Materials, Inc. | Apparatus for cyclical deposition of thin films |
US6911391B2 (en) | 2002-01-26 | 2005-06-28 | Applied Materials, Inc. | Integration of titanium and titanium nitride layers |
US6998014B2 (en) * | 2002-01-26 | 2006-02-14 | Applied Materials, Inc. | Apparatus and method for plasma assisted deposition |
US6866746B2 (en) | 2002-01-26 | 2005-03-15 | Applied Materials, Inc. | Clamshell and small volume chamber with fixed substrate support |
US6824816B2 (en) | 2002-01-29 | 2004-11-30 | Asm International N.V. | Process for producing metal thin films by ALD |
US7063981B2 (en) | 2002-01-30 | 2006-06-20 | Asm International N.V. | Active pulse monitoring in a chemical reactor |
US6777352B2 (en) | 2002-02-11 | 2004-08-17 | Applied Materials, Inc. | Variable flow deposition apparatus and method in semiconductor substrate processing |
US6972267B2 (en) * | 2002-03-04 | 2005-12-06 | Applied Materials, Inc. | Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor |
US6753618B2 (en) | 2002-03-11 | 2004-06-22 | Micron Technology, Inc. | MIM capacitor with metal nitride electrode materials and method of formation |
US20030216981A1 (en) | 2002-03-12 | 2003-11-20 | Michael Tillman | Method and system for hosting centralized online point-of-sale activities for a plurality of distributed customers and vendors |
TW552501B (en) | 2002-03-22 | 2003-09-11 | Taiwan Semiconductor Mfg | Version recording and tracking method |
US6846516B2 (en) * | 2002-04-08 | 2005-01-25 | Applied Materials, Inc. | Multiple precursor cyclical deposition system |
US6720027B2 (en) | 2002-04-08 | 2004-04-13 | Applied Materials, Inc. | Cyclical deposition of a variable content titanium silicon nitride layer |
US6875271B2 (en) | 2002-04-09 | 2005-04-05 | Applied Materials, Inc. | Simultaneous cyclical deposition in different processing regions |
US7279432B2 (en) | 2002-04-16 | 2007-10-09 | Applied Materials, Inc. | System and method for forming an integrated barrier layer |
US6932871B2 (en) | 2002-04-16 | 2005-08-23 | Applied Materials, Inc. | Multi-station deposition apparatus and method |
US20030235961A1 (en) | 2002-04-17 | 2003-12-25 | Applied Materials, Inc. | Cyclical sequential deposition of multicomponent films |
US6778762B1 (en) | 2002-04-17 | 2004-08-17 | Novellus Systems, Inc. | Sloped chamber top for substrate processing |
US6659543B2 (en) | 2002-04-18 | 2003-12-09 | 9110-3309 Quebec Inc. | Convertible bench and table assembly |
US20030213560A1 (en) | 2002-05-16 | 2003-11-20 | Yaxin Wang | Tandem wafer processing system and process |
KR100505043B1 (en) | 2002-05-25 | 2005-07-29 | 삼성전자주식회사 | Method for forming a capacitor |
US7404985B2 (en) | 2002-06-04 | 2008-07-29 | Applied Materials, Inc. | Noble metal layer formation for copper film deposition |
US7910165B2 (en) | 2002-06-04 | 2011-03-22 | Applied Materials, Inc. | Ruthenium layer formation for copper film deposition |
US7041335B2 (en) | 2002-06-04 | 2006-05-09 | Applied Materials, Inc. | Titanium tantalum nitride silicide layer |
US7264846B2 (en) | 2002-06-04 | 2007-09-04 | Applied Materials, Inc. | Ruthenium layer formation for copper film deposition |
US7183604B2 (en) * | 2002-06-10 | 2007-02-27 | Interuniversitair Microelektronica Centrum (Imec Vzw) | High dielectric constant device |
US7067439B2 (en) * | 2002-06-14 | 2006-06-27 | Applied Materials, Inc. | ALD metal oxide deposition process using direct oxidation |
KR100476926B1 (en) * | 2002-07-02 | 2005-03-17 | 삼성전자주식회사 | Method for forming dual gate of semiconductor device |
WO2004008491A2 (en) | 2002-07-15 | 2004-01-22 | Aviza Technology, Inc. | Thermal processing system and configurable vertical chamber |
US7524374B2 (en) | 2002-07-17 | 2009-04-28 | Applied Materials, Inc. | Method and apparatus for generating a precursor for a semiconductor processing system |
US7081409B2 (en) | 2002-07-17 | 2006-07-25 | Samsung Electronics Co., Ltd. | Methods of producing integrated circuit devices utilizing tantalum amine derivatives |
US7066194B2 (en) | 2002-07-19 | 2006-06-27 | Applied Materials, Inc. | Valve design and configuration for fast delivery system |
US7300038B2 (en) * | 2002-07-23 | 2007-11-27 | Advanced Technology Materials, Inc. | Method and apparatus to help promote contact of gas with vaporized material |
US6921062B2 (en) * | 2002-07-23 | 2005-07-26 | Advanced Technology Materials, Inc. | Vaporizer delivery ampoule |
TWI230544B (en) * | 2002-07-25 | 2005-04-01 | Veutron Corp | Light source control method and apparatus of image scanner |
US6915592B2 (en) * | 2002-07-29 | 2005-07-12 | Applied Materials, Inc. | Method and apparatus for generating gas to a processing chamber |
US7222636B2 (en) | 2002-08-20 | 2007-05-29 | Applied Materials, Inc. | Electronically actuated valve |
US6790773B1 (en) | 2002-08-28 | 2004-09-14 | Novellus Systems, Inc. | Process for forming barrier/seed structures for integrated circuits |
JP3925360B2 (en) * | 2002-08-30 | 2007-06-06 | ブリヂストンスポーツ株式会社 | Golf club head |
US6875678B2 (en) * | 2002-09-10 | 2005-04-05 | Samsung Electronics Co., Ltd. | Post thermal treatment methods of forming high dielectric layers in integrated circuit devices |
US6784096B2 (en) | 2002-09-11 | 2004-08-31 | Applied Materials, Inc. | Methods and apparatus for forming barrier layers in high aspect ratio vias |
JP2004111447A (en) * | 2002-09-13 | 2004-04-08 | Handotai Rikougaku Kenkyu Center:Kk | Semiconductor device and method for manufacturing the same |
US6946033B2 (en) | 2002-09-16 | 2005-09-20 | Applied Materials Inc. | Heated gas distribution plate for a processing chamber |
US6759286B2 (en) * | 2002-09-16 | 2004-07-06 | Ajay Kumar | Method of fabricating a gate structure of a field effect transistor using a hard mask |
US6821563B2 (en) | 2002-10-02 | 2004-11-23 | Applied Materials, Inc. | Gas distribution system for cyclical layer deposition |
US20040065255A1 (en) | 2002-10-02 | 2004-04-08 | Applied Materials, Inc. | Cyclical layer deposition system |
US20040069227A1 (en) | 2002-10-09 | 2004-04-15 | Applied Materials, Inc. | Processing chamber configured for uniform gas flow |
US6905737B2 (en) * | 2002-10-11 | 2005-06-14 | Applied Materials, Inc. | Method of delivering activated species for rapid cyclical deposition |
US6716287B1 (en) | 2002-10-18 | 2004-04-06 | Applied Materials Inc. | Processing chamber with flow-restricting ring |
EP1420080A3 (en) * | 2002-11-14 | 2005-11-09 | Applied Materials, Inc. | Apparatus and method for hybrid chemical deposition processes |
US7262133B2 (en) | 2003-01-07 | 2007-08-28 | Applied Materials, Inc. | Enhancement of copper line reliability using thin ALD tan film to cap the copper line |
US7244683B2 (en) | 2003-01-07 | 2007-07-17 | Applied Materials, Inc. | Integration of ALD/CVD barriers with porous low k materials |
US6994319B2 (en) * | 2003-01-29 | 2006-02-07 | Applied Materials, Inc. | Membrane gas valve for pulsing a gas |
US6868859B2 (en) | 2003-01-29 | 2005-03-22 | Applied Materials, Inc. | Rotary gas valve for pulsing a gas |
US6818094B2 (en) | 2003-01-29 | 2004-11-16 | Applied Materials, Inc. | Reciprocating gas valve for pulsing a gas |
US7442415B2 (en) | 2003-04-11 | 2008-10-28 | Sharp Laboratories Of America, Inc. | Modulated temperature method of atomic layer deposition (ALD) of high dielectric constant films |
TW200506093A (en) | 2003-04-21 | 2005-02-16 | Aviza Tech Inc | System and method for forming multi-component films |
US20050070126A1 (en) | 2003-04-21 | 2005-03-31 | Yoshihide Senzaki | System and method for forming multi-component dielectric films |
US6919250B2 (en) * | 2003-05-21 | 2005-07-19 | Advanced Micro Devices, Inc. | Multiple-gate MOS device and method for making the same |
JP5342110B2 (en) | 2003-05-27 | 2013-11-13 | アプライド マテリアルズ インコーポレイテッド | Source canister containing precursor and method for filling features using the same |
US6911093B2 (en) | 2003-06-02 | 2005-06-28 | Lsi Logic Corporation | Lid liner for chemical vapor deposition chamber |
US6881437B2 (en) | 2003-06-16 | 2005-04-19 | Blue29 Llc | Methods and system for processing a microelectronic topography |
JP2007523994A (en) * | 2003-06-18 | 2007-08-23 | アプライド マテリアルズ インコーポレイテッド | Atomic layer deposition of barrier materials |
JP4087323B2 (en) | 2003-09-25 | 2008-05-21 | 株式会社東芝 | Liquid tank and fuel cell |
US7408225B2 (en) | 2003-10-09 | 2008-08-05 | Asm Japan K.K. | Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms |
US8536492B2 (en) * | 2003-10-27 | 2013-09-17 | Applied Materials, Inc. | Processing multilayer semiconductors with multiple heat sources |
US20050095859A1 (en) | 2003-11-03 | 2005-05-05 | Applied Materials, Inc. | Precursor delivery system with rate control |
US20050104142A1 (en) | 2003-11-13 | 2005-05-19 | Vijav Narayanan | CVD tantalum compounds for FET get electrodes |
US20050153571A1 (en) | 2003-11-17 | 2005-07-14 | Yoshihide Senzaki | Nitridation of high-k dielectric films |
US6983892B2 (en) * | 2004-02-05 | 2006-01-10 | Applied Materials, Inc. | Gas distribution showerhead for semiconductor processing |
US7067422B2 (en) | 2004-03-31 | 2006-06-27 | Tokyo Electron Limited | Method of forming a tantalum-containing gate electrode structure |
US20050252449A1 (en) * | 2004-05-12 | 2005-11-17 | Nguyen Son T | Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system |
US8323754B2 (en) | 2004-05-21 | 2012-12-04 | Applied Materials, Inc. | Stabilization of high-k dielectric materials |
US20060153995A1 (en) | 2004-05-21 | 2006-07-13 | Applied Materials, Inc. | Method for fabricating a dielectric stack |
US20060062917A1 (en) | 2004-05-21 | 2006-03-23 | Shankar Muthukrishnan | Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane |
US20060019033A1 (en) * | 2004-05-21 | 2006-01-26 | Applied Materials, Inc. | Plasma treatment of hafnium-containing materials |
US7241686B2 (en) * | 2004-07-20 | 2007-07-10 | Applied Materials, Inc. | Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA |
WO2006055984A2 (en) | 2004-11-22 | 2006-05-26 | Applied Materials, Inc. | Substrate processing apparatus using a batch processing chamber |
US7429402B2 (en) | 2004-12-10 | 2008-09-30 | Applied Materials, Inc. | Ruthenium as an underlayer for tungsten film deposition |
US7265048B2 (en) | 2005-03-01 | 2007-09-04 | Applied Materials, Inc. | Reduction of copper dewetting by transition metal deposition |
US20070020890A1 (en) * | 2005-07-19 | 2007-01-25 | Applied Materials, Inc. | Method and apparatus for semiconductor processing |
US7317229B2 (en) * | 2005-07-20 | 2008-01-08 | Applied Materials, Inc. | Gate electrode structures and methods of manufacture |
US20070019371A1 (en) * | 2005-07-22 | 2007-01-25 | E-Lead Electronic Co., Ltd. | Multimedia display device attached to a sunroof of vehicles |
US7464917B2 (en) | 2005-10-07 | 2008-12-16 | Appiled Materials, Inc. | Ampoule splash guard apparatus |
US20070119371A1 (en) * | 2005-11-04 | 2007-05-31 | Paul Ma | Apparatus and process for plasma-enhanced atomic layer deposition |
DE102006014996A1 (en) | 2006-03-31 | 2007-10-04 | Robert Bosch Gmbh | Method for operating an Otto engine with direct fuel injection comprises passing and leaving residual gas in the combustion chamber using an internal and external exhaust gas re-circulating unit |
-
2001
- 2001-12-21 US US10/032,284 patent/US6916398B2/en not_active Expired - Lifetime
-
2002
- 2002-07-10 US US10/193,333 patent/US20030082307A1/en not_active Abandoned
- 2002-07-18 US US10/199,415 patent/US20030082301A1/en not_active Abandoned
- 2002-10-25 KR KR10-2004-7006190A patent/KR20040058239A/en not_active Application Discontinuation
- 2002-10-25 CN CNB2007101017141A patent/CN100524692C/en not_active Expired - Lifetime
- 2002-10-25 CN CN028239032A patent/CN1774525B/en not_active Expired - Lifetime
- 2002-10-25 US US10/281,386 patent/US20030124262A1/en not_active Abandoned
-
2005
- 2005-03-11 US US11/077,753 patent/US7780788B2/en not_active Expired - Fee Related
-
2006
- 2006-09-07 US US11/470,922 patent/US8318266B2/en not_active Expired - Fee Related
- 2006-09-07 US US11/470,915 patent/US8293328B2/en active Active
- 2006-10-16 US US11/549,941 patent/US20070099415A1/en not_active Abandoned
-
2007
- 2007-10-17 US US11/873,885 patent/US20080038463A1/en not_active Abandoned
-
2010
- 2010-06-10 US US12/797,999 patent/US8668776B2/en not_active Expired - Lifetime
Patent Citations (98)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4389973A (en) * | 1980-03-18 | 1983-06-28 | Oy Lohja Ab | Apparatus for performing growth of compound thin films |
US5000113A (en) * | 1986-12-19 | 1991-03-19 | Applied Materials, Inc. | Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process |
US5221449A (en) * | 1990-10-26 | 1993-06-22 | International Business Machines Corporation | Method of making Alpha-Ta thin films |
US5281485A (en) * | 1990-10-26 | 1994-01-25 | International Business Machines Corporation | Structure and method of making Alpha-Ta in thin films |
US5306666A (en) * | 1992-07-24 | 1994-04-26 | Nippon Steel Corporation | Process for forming a thin metal film by chemical vapor deposition |
US5526244A (en) * | 1993-05-24 | 1996-06-11 | Bishop; Vernon R. | Overhead luminaire |
US6015590A (en) * | 1994-11-28 | 2000-01-18 | Neste Oy | Method for growing thin films |
US5711811A (en) * | 1994-11-28 | 1998-01-27 | Mikrokemia Oy | Method and equipment for growing thin films |
US20020041931A1 (en) * | 1994-11-28 | 2002-04-11 | Tuomo Suntola | Method for growing thin films |
US6084302A (en) * | 1995-12-26 | 2000-07-04 | Micron Technologies, Inc. | Barrier layer cladding around copper interconnect lines |
US5916365A (en) * | 1996-08-16 | 1999-06-29 | Sherman; Arthur | Sequential chemical vapor deposition |
US6342277B1 (en) * | 1996-08-16 | 2002-01-29 | Licensee For Microelectronics: Asm America, Inc. | Sequential chemical vapor deposition |
US20020031618A1 (en) * | 1996-08-16 | 2002-03-14 | Arthur Sherman | Sequential chemical vapor deposition |
US5923056A (en) * | 1996-10-10 | 1999-07-13 | Lucent Technologies Inc. | Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials |
US6068358A (en) * | 1997-02-27 | 2000-05-30 | Schroff Gmbh | Cabinet for accepting electrical and electronic components |
US6207302B1 (en) * | 1997-03-04 | 2001-03-27 | Denso Corporation | Electroluminescent device and method of producing the same |
US20020060363A1 (en) * | 1997-05-14 | 2002-05-23 | Applied Materials, Inc. | Reliability barrier integration for Cu application |
US6197683B1 (en) * | 1997-09-29 | 2001-03-06 | Samsung Electronics Co., Ltd. | Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same |
US6348376B2 (en) * | 1997-09-29 | 2002-02-19 | Samsung Electronics Co., Ltd. | Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same |
US6174809B1 (en) * | 1997-12-31 | 2001-01-16 | Samsung Electronics, Co., Ltd. | Method for forming metal layer using atomic layer deposition |
US6379748B1 (en) * | 1998-01-23 | 2002-04-30 | Advanced Technology Materials, Inc. | Tantalum amide precursors for deposition of tantalum nitride on a substrate |
US6015917A (en) * | 1998-01-23 | 2000-01-18 | Advanced Technology Materials, Inc. | Tantalum amide precursors for deposition of tantalum nitride on a substrate |
US6372598B2 (en) * | 1998-06-16 | 2002-04-16 | Samsung Electronics Co., Ltd. | Method of forming selective metal layer and method of forming capacitor and filling contact hole using the same |
US6218302B1 (en) * | 1998-07-21 | 2001-04-17 | Motorola Inc. | Method for forming a semiconductor device |
US6358829B2 (en) * | 1998-09-17 | 2002-03-19 | Samsung Electronics Company., Ltd. | Semiconductor device fabrication method using an interface control layer to improve a metal interconnection layer |
US6207487B1 (en) * | 1998-10-13 | 2001-03-27 | Samsung Electronics Co., Ltd. | Method for forming dielectric film of capacitor having different thicknesses partly |
US20020048635A1 (en) * | 1998-10-16 | 2002-04-25 | Kim Yeong-Kwan | Method for manufacturing thin film |
US6200893B1 (en) * | 1999-03-11 | 2001-03-13 | Genus, Inc | Radical-assisted sequential CVD |
US20010002280A1 (en) * | 1999-03-11 | 2001-05-31 | Ofer Sneh | Radical-assisted sequential CVD |
US20010000866A1 (en) * | 1999-03-11 | 2001-05-10 | Ofer Sneh | Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition |
US20010009140A1 (en) * | 1999-05-10 | 2001-07-26 | Niklas Bondestam | Apparatus for fabrication of thin films |
US6391785B1 (en) * | 1999-08-24 | 2002-05-21 | Interuniversitair Microelektronica Centrum (Imec) | Method for bottomless deposition of barrier layers in integrated circuit metallization schemes |
US6184138B1 (en) * | 1999-09-07 | 2001-02-06 | Chartered Semiconductor Manufacturing Ltd. | Method to create a controllable and reproducible dual copper damascene structure |
US20030101927A1 (en) * | 1999-09-08 | 2003-06-05 | Ivo Raaijmakers | Apparatus and method for growth of a thin film |
US20030089308A1 (en) * | 1999-09-08 | 2003-05-15 | Ivo Raaijmakers | Apparatus and method for growth of a thin film |
US6511539B1 (en) * | 1999-09-08 | 2003-01-28 | Asm America, Inc. | Apparatus and method for growth of a thin film |
US20030031807A1 (en) * | 1999-10-15 | 2003-02-13 | Kai-Erik Elers | Deposition of transition metal carbides |
US6203613B1 (en) * | 1999-10-19 | 2001-03-20 | International Business Machines Corporation | Atomic layer deposition with nitrate containing precursors |
US20020000598A1 (en) * | 1999-12-08 | 2002-01-03 | Sang-Bom Kang | Semiconductor devices having metal layers as barrier layers on upper or lower electrodes of capacitors |
US20020020869A1 (en) * | 1999-12-22 | 2002-02-21 | Ki-Seon Park | Semiconductor device incorporated therein high K capacitor dielectric and method for the manufacture thereof |
US20010009695A1 (en) * | 2000-01-18 | 2001-07-26 | Saanila Ville Antero | Process for growing metalloid thin films |
US6534395B2 (en) * | 2000-03-07 | 2003-03-18 | Asm Microchemistry Oy | Method of forming graded thin films using alternating pulses of vapor phase reactants |
US20010041250A1 (en) * | 2000-03-07 | 2001-11-15 | Werkhoven Christian J. | Graded thin films |
US20030032281A1 (en) * | 2000-03-07 | 2003-02-13 | Werkhoven Christiaan J. | Graded thin films |
US6548424B2 (en) * | 2000-04-14 | 2003-04-15 | Asm Microchemistry Oy | Process for producing oxide thin films |
US20020081844A1 (en) * | 2000-04-20 | 2002-06-27 | In-Sang Jeon | Method of manufacturing a barrier metal layer using atomic layer deposition |
US6399491B2 (en) * | 2000-04-20 | 2002-06-04 | Samsung Electronics Co., Ltd. | Method of manufacturing a barrier metal layer using atomic layer deposition |
US6686271B2 (en) * | 2000-05-15 | 2004-02-03 | Asm International N.V. | Protective layers prior to alternating layer deposition |
US20030054631A1 (en) * | 2000-05-15 | 2003-03-20 | Ivo Raaijmakers | Protective layers prior to alternating layer deposition |
US20010054769A1 (en) * | 2000-05-15 | 2001-12-27 | Ivo Raaijmakers | Protective layers prior to alternating layer deposition |
US6580111B2 (en) * | 2000-06-07 | 2003-06-17 | Samsung Electronics Co., Ltd. | Metal-insulator-metal capacitor |
US20040009307A1 (en) * | 2000-06-08 | 2004-01-15 | Won-Yong Koh | Thin film forming method |
US20020052097A1 (en) * | 2000-06-24 | 2002-05-02 | Park Young-Hoon | Apparatus and method for depositing thin film on wafer using atomic layer deposition |
US20040018723A1 (en) * | 2000-06-27 | 2004-01-29 | Applied Materials, Inc. | Formation of boride barrier layers using chemisorption techniques |
US6551929B1 (en) * | 2000-06-28 | 2003-04-22 | Applied Materials, Inc. | Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques |
US20020007790A1 (en) * | 2000-07-22 | 2002-01-24 | Park Young-Hoon | Atomic layer deposition (ALD) thin film deposition equipment having cleaning apparatus and cleaning method |
US6368954B1 (en) * | 2000-07-28 | 2002-04-09 | Advanced Micro Devices, Inc. | Method of copper interconnect formation using atomic layer copper deposition |
US20020021544A1 (en) * | 2000-08-11 | 2002-02-21 | Hag-Ju Cho | Integrated circuit devices having dielectric regions protected with multi-layer insulation structures and methods of fabricating same |
US20020076837A1 (en) * | 2000-11-30 | 2002-06-20 | Juha Hujanen | Thin films for magnetic device |
US6569501B2 (en) * | 2000-12-06 | 2003-05-27 | Angstron Systems, Inc. | Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD) |
US20020068458A1 (en) * | 2000-12-06 | 2002-06-06 | Chiang Tony P. | Method for integrated in-situ cleaning and susequent atomic layer deposition within a single processing chamber |
US20020076507A1 (en) * | 2000-12-15 | 2002-06-20 | Chiang Tony P. | Process sequence for atomic layer deposition |
US20020076481A1 (en) * | 2000-12-15 | 2002-06-20 | Chiang Tony P. | Chamber pressure state-based control for a reactor |
US20020076508A1 (en) * | 2000-12-15 | 2002-06-20 | Chiang Tony P. | Varying conductance out of a process region to control gas flux in an ALD reactor |
US20020073924A1 (en) * | 2000-12-15 | 2002-06-20 | Chiang Tony P. | Gas introduction system for a reactor |
US20020076537A1 (en) * | 2000-12-16 | 2002-06-20 | Unipac Optoelectronics Corporation | Laminated structure |
US20020074588A1 (en) * | 2000-12-20 | 2002-06-20 | Kyu-Mann Lee | Ferroelectric capacitors for integrated circuit memory devices and methods of manufacturing same |
US20020086507A1 (en) * | 2000-12-29 | 2002-07-04 | Park Dae Gyu | Method of forming a metal gate in a semiconductor device |
US20020086111A1 (en) * | 2001-01-03 | 2002-07-04 | Byun Jeong Soo | Method of forming refractory metal nitride layers using chemisorption techniques |
US20030082300A1 (en) * | 2001-02-12 | 2003-05-01 | Todd Michael A. | Improved Process for Deposition of Semiconductor Films |
US6706115B2 (en) * | 2001-03-16 | 2004-03-16 | Asm International N.V. | Method for preparing metal nitride thin films |
US20030013320A1 (en) * | 2001-05-31 | 2003-01-16 | Samsung Electronics Co., Ltd. | Method of forming a thin film using atomic layer deposition |
US20030013300A1 (en) * | 2001-07-16 | 2003-01-16 | Applied Materials, Inc. | Method and apparatus for depositing tungsten after surface treatment to improve film characteristics |
US20030049942A1 (en) * | 2001-08-31 | 2003-03-13 | Suvi Haukka | Low temperature gate stack |
US20030042630A1 (en) * | 2001-09-05 | 2003-03-06 | Babcoke Jason E. | Bubbler for gas delivery |
US20030082296A1 (en) * | 2001-09-14 | 2003-05-01 | Kai Elers | Metal nitride deposition by ALD with reduction pulse |
US20030053799A1 (en) * | 2001-09-14 | 2003-03-20 | Lei Lawrence C. | Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition |
US20030049931A1 (en) * | 2001-09-19 | 2003-03-13 | Applied Materials, Inc. | Formation of refractory metal nitrides using chemisorption techniques |
US20030057527A1 (en) * | 2001-09-26 | 2003-03-27 | Applied Materials, Inc. | Integration of barrier layer and seed layer |
US20030059538A1 (en) * | 2001-09-26 | 2003-03-27 | Applied Materials, Inc. | Integration of barrier layer and seed layer |
US20030057526A1 (en) * | 2001-09-26 | 2003-03-27 | Applied Materials, Inc. | Integration of barrier layer and seed layer |
US20030072975A1 (en) * | 2001-10-02 | 2003-04-17 | Shero Eric J. | Incorporation of nitrogen into high k dielectric film |
US20030072884A1 (en) * | 2001-10-15 | 2003-04-17 | Applied Materials, Inc. | Method of titanium and titanium nitride layer deposition |
US20030082307A1 (en) * | 2001-10-26 | 2003-05-01 | Applied Materials, Inc. | Integration of ALD tantalum nitride and alpha-phase tantalum for copper metallization application |
US20030079686A1 (en) * | 2001-10-26 | 2003-05-01 | Ling Chen | Gas delivery apparatus and method for atomic layer deposition |
US20030106490A1 (en) * | 2001-12-06 | 2003-06-12 | Applied Materials, Inc. | Apparatus and method for fast-cycle atomic layer deposition |
US20030108674A1 (en) * | 2001-12-07 | 2003-06-12 | Applied Materials, Inc. | Cyclical deposition of refractory metal silicon nitride |
US20030113187A1 (en) * | 2001-12-14 | 2003-06-19 | Applied Materials, Inc. | Dual robot processing system |
US20030116087A1 (en) * | 2001-12-21 | 2003-06-26 | Nguyen Anh N. | Chamber hardware design for titanium nitride atomic layer deposition |
US20040046197A1 (en) * | 2002-05-16 | 2004-03-11 | Cem Basceri | MIS capacitor and method of formation |
US20040018304A1 (en) * | 2002-07-10 | 2004-01-29 | Applied Materials, Inc. | Method of film deposition using activated precursor gases |
US20040013803A1 (en) * | 2002-07-16 | 2004-01-22 | Applied Materials, Inc. | Formation of titanium nitride films using a cyclical deposition process |
US20040011504A1 (en) * | 2002-07-17 | 2004-01-22 | Ku Vincent W. | Method and apparatus for gas temperature control in a semiconductor processing system |
US20040014320A1 (en) * | 2002-07-17 | 2004-01-22 | Applied Materials, Inc. | Method and apparatus of generating PDMAT precursor |
US20040018747A1 (en) * | 2002-07-20 | 2004-01-29 | Lee Jung-Hyun | Deposition method of a dielectric layer |
US20040015300A1 (en) * | 2002-07-22 | 2004-01-22 | Seshadri Ganguli | Method and apparatus for monitoring solid precursor delivery |
US20040033698A1 (en) * | 2002-08-17 | 2004-02-19 | Lee Yun-Jung | Method of forming oxide layer using atomic layer deposition method and method of forming capacitor of semiconductor device using the same |
US20040043630A1 (en) * | 2002-08-28 | 2004-03-04 | Micron Technology, Inc. | Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides |
Cited By (142)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7670945B2 (en) | 1998-10-01 | 2010-03-02 | Applied Materials, Inc. | In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application |
US6831004B2 (en) | 2000-06-27 | 2004-12-14 | Applied Materials, Inc. | Formation of boride barrier layers using chemisorption techniques |
US7709385B2 (en) | 2000-06-28 | 2010-05-04 | Applied Materials, Inc. | Method for depositing tungsten-containing layers by vapor deposition techniques |
US7745333B2 (en) | 2000-06-28 | 2010-06-29 | Applied Materials, Inc. | Methods for depositing tungsten layers employing atomic layer deposition techniques |
US7674715B2 (en) | 2000-06-28 | 2010-03-09 | Applied Materials, Inc. | Method for forming tungsten materials during vapor deposition processes |
US7732327B2 (en) | 2000-06-28 | 2010-06-08 | Applied Materials, Inc. | Vapor deposition of tungsten materials |
US7846840B2 (en) | 2000-06-28 | 2010-12-07 | Applied Materials, Inc. | Method for forming tungsten materials during vapor deposition processes |
US20020036780A1 (en) * | 2000-09-27 | 2002-03-28 | Hiroaki Nakamura | Image processing apparatus |
US20040197492A1 (en) * | 2001-05-07 | 2004-10-07 | Applied Materials, Inc. | CVD TiSiN barrier for copper integration |
US7695563B2 (en) | 2001-07-13 | 2010-04-13 | Applied Materials, Inc. | Pulsed deposition process for tungsten nucleation |
US20050287807A1 (en) * | 2001-07-16 | 2005-12-29 | Applied Materials, Inc. | Formation of composite tungsten films |
US7749815B2 (en) | 2001-07-16 | 2010-07-06 | Applied Materials, Inc. | Methods for depositing tungsten after surface treatment |
US20030013300A1 (en) * | 2001-07-16 | 2003-01-16 | Applied Materials, Inc. | Method and apparatus for depositing tungsten after surface treatment to improve film characteristics |
US9209074B2 (en) | 2001-07-25 | 2015-12-08 | Applied Materials, Inc. | Cobalt deposition on barrier surfaces |
US8187970B2 (en) | 2001-07-25 | 2012-05-29 | Applied Materials, Inc. | Process for forming cobalt and cobalt silicide materials in tungsten contact applications |
US8563424B2 (en) | 2001-07-25 | 2013-10-22 | Applied Materials, Inc. | Process for forming cobalt and cobalt silicide materials in tungsten contact applications |
US9051641B2 (en) | 2001-07-25 | 2015-06-09 | Applied Materials, Inc. | Cobalt deposition on barrier surfaces |
US8110489B2 (en) | 2001-07-25 | 2012-02-07 | Applied Materials, Inc. | Process for forming cobalt-containing materials |
US8324095B2 (en) | 2001-09-26 | 2012-12-04 | Applied Materials, Inc. | Integration of ALD tantalum nitride for copper metallization |
US20100075494A1 (en) * | 2001-09-26 | 2010-03-25 | Hua Chung | Integration of ald tantalum nitride for copper metallization |
US20060040052A1 (en) * | 2001-10-10 | 2006-02-23 | Hongbin Fang | Methods for depositing tungsten layers employing atomic layer deposition techniques |
US20040247788A1 (en) * | 2001-10-10 | 2004-12-09 | Hongbin Fang | Method for depositing refractory metal layers employing sequential deposition techniques |
US7699023B2 (en) * | 2001-10-26 | 2010-04-20 | Applied Materials, Inc. | Gas delivery apparatus for atomic layer deposition |
US8668776B2 (en) | 2001-10-26 | 2014-03-11 | Applied Materials, Inc. | Gas delivery apparatus and method for atomic layer deposition |
US8293328B2 (en) | 2001-10-26 | 2012-10-23 | Applied Materials, Inc. | Enhanced copper growth with ultrathin barrier layer for high performance interconnects |
US8318266B2 (en) | 2001-10-26 | 2012-11-27 | Applied Materials, Inc. | Enhanced copper growth with ultrathin barrier layer for high performance interconnects |
US7780785B2 (en) | 2001-10-26 | 2010-08-24 | Applied Materials, Inc. | Gas delivery apparatus for atomic layer deposition |
US20080041313A1 (en) * | 2001-10-26 | 2008-02-21 | Ling Chen | Gas delivery apparatus for atomic layer deposition |
US7780788B2 (en) | 2001-10-26 | 2010-08-24 | Applied Materials, Inc. | Gas delivery apparatus for atomic layer deposition |
US20030082307A1 (en) * | 2001-10-26 | 2003-05-01 | Applied Materials, Inc. | Integration of ALD tantalum nitride and alpha-phase tantalum for copper metallization application |
US20030108674A1 (en) * | 2001-12-07 | 2003-06-12 | Applied Materials, Inc. | Cyclical deposition of refractory metal silicon nitride |
US7892602B2 (en) | 2001-12-07 | 2011-02-22 | Applied Materials, Inc. | Cyclical deposition of refractory metal silicon nitride |
US8123860B2 (en) * | 2002-01-25 | 2012-02-28 | Applied Materials, Inc. | Apparatus for cyclical depositing of thin films |
US20090056626A1 (en) * | 2002-01-25 | 2009-03-05 | Applied Materials, Inc. | Apparatus for cyclical depositing of thin films |
US7732325B2 (en) | 2002-01-26 | 2010-06-08 | Applied Materials, Inc. | Plasma-enhanced cyclic layer deposition process for barrier layers |
US7745329B2 (en) | 2002-02-26 | 2010-06-29 | Applied Materials, Inc. | Tungsten nitride atomic layer deposition processes |
US20030224600A1 (en) * | 2002-03-04 | 2003-12-04 | Wei Cao | Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor |
US7867896B2 (en) | 2002-03-04 | 2011-01-11 | Applied Materials, Inc. | Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor |
US7396565B2 (en) | 2002-04-08 | 2008-07-08 | Applied Materials, Inc. | Multiple precursor cyclical deposition system |
US20050008779A1 (en) * | 2002-04-08 | 2005-01-13 | Yang Michael Xi | Multiple precursor cyclical depositon system |
US7867914B2 (en) | 2002-04-16 | 2011-01-11 | Applied Materials, Inc. | System and method for forming an integrated barrier layer |
US20030235961A1 (en) * | 2002-04-17 | 2003-12-25 | Applied Materials, Inc. | Cyclical sequential deposition of multicomponent films |
US6858547B2 (en) | 2002-06-14 | 2005-02-22 | Applied Materials, Inc. | System and method for forming a gate dielectric |
US20080057737A1 (en) * | 2002-06-14 | 2008-03-06 | Metzner Craig R | System and method for forming a gate dielectric |
US7067439B2 (en) | 2002-06-14 | 2006-06-27 | Applied Materials, Inc. | ALD metal oxide deposition process using direct oxidation |
US20060223339A1 (en) * | 2002-06-14 | 2006-10-05 | Metzner Craig R | Ald metal oxide deposition process using direct oxidation |
US20050009371A1 (en) * | 2002-06-14 | 2005-01-13 | Metzner Craig R. | System and method for forming a gate dielectric |
US20100239758A1 (en) * | 2002-06-14 | 2010-09-23 | Kher Shreyas S | Surface pre-treatment for enhancement of nucleation of high dielectric constant materials |
US20060264067A1 (en) * | 2002-06-14 | 2006-11-23 | Kher Shreyas S | Surface pre-treatment for enhancement of nucleation of high dielectric constant materials |
US20030232511A1 (en) * | 2002-06-14 | 2003-12-18 | Applied Materials, Inc. | ALD metal oxide deposition process using direct oxidation |
US20030232501A1 (en) * | 2002-06-14 | 2003-12-18 | Kher Shreyas S. | Surface pre-treatment for enhancement of nucleation of high dielectric constant materials |
US8071167B2 (en) | 2002-06-14 | 2011-12-06 | Applied Materials, Inc. | Surface pre-treatment for enhancement of nucleation of high dielectric constant materials |
US20040018304A1 (en) * | 2002-07-10 | 2004-01-29 | Applied Materials, Inc. | Method of film deposition using activated precursor gases |
US7678194B2 (en) | 2002-07-17 | 2010-03-16 | Applied Materials, Inc. | Method for providing gas to a processing chamber |
US7402210B2 (en) * | 2002-11-14 | 2008-07-22 | Applied Materials, Inc. | Apparatus and method for hybrid chemical processing |
US7591907B2 (en) * | 2002-11-14 | 2009-09-22 | Applied Materials, Inc. | Apparatus for hybrid chemical processing |
US8070879B2 (en) * | 2002-11-14 | 2011-12-06 | Applied Materials, Inc. | Apparatus and method for hybrid chemical processing |
US20090308318A1 (en) * | 2002-11-14 | 2009-12-17 | Ling Chen | Apparatus and method for hybrid chemical processing |
US20040144311A1 (en) * | 2002-11-14 | 2004-07-29 | Ling Chen | Apparatus and method for hybrid chemical processing |
US20080274299A1 (en) * | 2002-11-14 | 2008-11-06 | Ling Chen | Apparatus and method for hybrid chemical processing |
US20040256351A1 (en) * | 2003-01-07 | 2004-12-23 | Hua Chung | Integration of ALD/CVD barriers with porous low k materials |
US20040175926A1 (en) * | 2003-03-07 | 2004-09-09 | Advanced Micro Devices, Inc. | Method for manufacturing a semiconductor component having a barrier-lined opening |
US20040198069A1 (en) * | 2003-04-04 | 2004-10-07 | Applied Materials, Inc. | Method for hafnium nitride deposition |
WO2004114398A1 (en) * | 2003-06-13 | 2004-12-29 | Applied Materials, Inc. | Integration of ald tantalum nitride for copper metallization |
US20050009325A1 (en) * | 2003-06-18 | 2005-01-13 | Hua Chung | Atomic layer deposition of barrier materials |
US20070178234A1 (en) * | 2003-11-20 | 2007-08-02 | Ulvac, Inc. | Method of cleaning surface of semiconductor substrate, method of manufacturing thin film, method of manufacturing semiconductor device, and semiconductor device |
US8216642B2 (en) * | 2003-11-20 | 2012-07-10 | Ulvac, Inc. | Method of manufacturing film |
US8343279B2 (en) | 2004-05-12 | 2013-01-01 | Applied Materials, Inc. | Apparatuses for atomic layer deposition |
US8282992B2 (en) | 2004-05-12 | 2012-10-09 | Applied Materials, Inc. | Methods for atomic layer deposition of hafnium-containing high-K dielectric materials |
US7794544B2 (en) | 2004-05-12 | 2010-09-14 | Applied Materials, Inc. | Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system |
US8119210B2 (en) | 2004-05-21 | 2012-02-21 | Applied Materials, Inc. | Formation of a silicon oxynitride layer on a high-k dielectric material |
US8323754B2 (en) | 2004-05-21 | 2012-12-04 | Applied Materials, Inc. | Stabilization of high-k dielectric materials |
US7605469B2 (en) * | 2004-06-30 | 2009-10-20 | Intel Corporation | Atomic layer deposited tantalum containing adhesion layer |
US20060003581A1 (en) * | 2004-06-30 | 2006-01-05 | Johnston Steven W | Atomic layer deposited tantalum containing adhesion layer |
US7601637B2 (en) | 2004-06-30 | 2009-10-13 | Intel Corporation | Atomic layer deposited tantalum containing adhesion layer |
US20060019495A1 (en) * | 2004-07-20 | 2006-01-26 | Applied Materials, Inc. | Atomic layer deposition of tantalum-containing materials using the tantalum precursor taimata |
US20090202710A1 (en) * | 2004-07-20 | 2009-08-13 | Christophe Marcadal | Atomic layer deposition of tantalum-containing materials using the tantalum precursor taimata |
US7691742B2 (en) | 2004-07-20 | 2010-04-06 | Applied Materials, Inc. | Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA |
US20100291290A1 (en) * | 2004-09-27 | 2010-11-18 | Mikio Watanabe | Method for forming copper distributing wires |
US20090098290A1 (en) * | 2004-09-27 | 2009-04-16 | Mikio Watanabe | Process for formation of copper-containing films |
US8034403B2 (en) * | 2004-09-27 | 2011-10-11 | Ulvac, Inc. | Method for forming copper distributing wires |
CN100452386C (en) * | 2004-12-01 | 2009-01-14 | 台湾积体电路制造股份有限公司 | Semiconductor device and fabrication method thereof |
US7405151B2 (en) | 2004-12-01 | 2008-07-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for forming a semiconductor device |
US20060205235A1 (en) * | 2004-12-01 | 2006-09-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and fabrication method thereof |
US20060128150A1 (en) * | 2004-12-10 | 2006-06-15 | Applied Materials, Inc. | Ruthenium as an underlayer for tungsten film deposition |
US9359672B2 (en) * | 2005-01-18 | 2016-06-07 | Asm America, Inc. | Reaction system for growing a thin film |
US20120266821A1 (en) * | 2005-01-18 | 2012-10-25 | Asm America, Inc. | Reaction system for growing a thin film |
US10468291B2 (en) | 2005-01-18 | 2019-11-05 | Asm America, Inc. | Reaction system for growing a thin film |
US7964505B2 (en) | 2005-01-19 | 2011-06-21 | Applied Materials, Inc. | Atomic layer deposition of tungsten materials |
US7972978B2 (en) | 2005-08-26 | 2011-07-05 | Applied Materials, Inc. | Pretreatment processes within a batch ALD reactor |
US7850779B2 (en) | 2005-11-04 | 2010-12-14 | Applied Materisals, Inc. | Apparatus and process for plasma-enhanced atomic layer deposition |
US7682946B2 (en) | 2005-11-04 | 2010-03-23 | Applied Materials, Inc. | Apparatus and process for plasma-enhanced atomic layer deposition |
US9032906B2 (en) | 2005-11-04 | 2015-05-19 | Applied Materials, Inc. | Apparatus and process for plasma-enhanced atomic layer deposition |
US7942970B2 (en) * | 2005-12-20 | 2011-05-17 | Momentive Performance Materials Inc. | Apparatus for making crystalline composition |
US20070151509A1 (en) * | 2005-12-20 | 2007-07-05 | General Electric Company | Apparatus for making crystalline composition |
US7798096B2 (en) | 2006-05-05 | 2010-09-21 | Applied Materials, Inc. | Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool |
US20080085611A1 (en) * | 2006-10-09 | 2008-04-10 | Amit Khandelwal | Deposition and densification process for titanium nitride barrier layers |
US7838441B2 (en) | 2006-10-09 | 2010-11-23 | Applied Materials, Inc. | Deposition and densification process for titanium nitride barrier layers |
US20090280640A1 (en) * | 2006-10-09 | 2009-11-12 | Applied Materials Incorporated | Deposition and densification process for titanium nitride barrier layers |
US20090078916A1 (en) * | 2007-09-25 | 2009-03-26 | Applied Materials, Inc. | Tantalum carbide nitride materials by vapor deposition processes |
US20090081868A1 (en) * | 2007-09-25 | 2009-03-26 | Applied Materials, Inc. | Vapor deposition processes for tantalum carbide nitride materials |
US7678298B2 (en) | 2007-09-25 | 2010-03-16 | Applied Materials, Inc. | Tantalum carbide nitride materials by vapor deposition processes |
US20090087585A1 (en) * | 2007-09-28 | 2009-04-02 | Wei Ti Lee | Deposition processes for titanium nitride barrier and aluminum |
US7824743B2 (en) | 2007-09-28 | 2010-11-02 | Applied Materials, Inc. | Deposition processes for titanium nitride barrier and aluminum |
US7659158B2 (en) | 2008-03-31 | 2010-02-09 | Applied Materials, Inc. | Atomic layer deposition processes for non-volatile memory devices |
US8043907B2 (en) | 2008-03-31 | 2011-10-25 | Applied Materials, Inc. | Atomic layer deposition processes for non-volatile memory devices |
US8293015B2 (en) | 2008-07-03 | 2012-10-23 | Applied Materials, Inc. | Apparatuses and methods for atomic layer deposition |
US9017776B2 (en) | 2008-07-03 | 2015-04-28 | Applied Materials, Inc. | Apparatuses and methods for atomic layer deposition |
US20100003406A1 (en) * | 2008-07-03 | 2010-01-07 | Applied Materials, Inc. | Apparatuses and methods for atomic layer deposition |
US8747556B2 (en) | 2008-07-03 | 2014-06-10 | Applied Materials, Inc. | Apparatuses and methods for atomic layer deposition |
US8291857B2 (en) | 2008-07-03 | 2012-10-23 | Applied Materials, Inc. | Apparatuses and methods for atomic layer deposition |
US9418890B2 (en) | 2008-09-08 | 2016-08-16 | Applied Materials, Inc. | Method for tuning a deposition rate during an atomic layer deposition process |
US8491967B2 (en) * | 2008-09-08 | 2013-07-23 | Applied Materials, Inc. | In-situ chamber treatment and deposition process |
US8146896B2 (en) | 2008-10-31 | 2012-04-03 | Applied Materials, Inc. | Chemical precursor ampoule for vapor deposition processes |
US9466574B2 (en) | 2009-02-02 | 2016-10-11 | Asm America, Inc. | Plasma-enhanced atomic layer deposition of conductive material over dielectric layers |
US20100193955A1 (en) * | 2009-02-02 | 2010-08-05 | Asm America, Inc. | Plasma-enhanced atomic layer deposition of conductive material over dielectric layers |
US8557702B2 (en) * | 2009-02-02 | 2013-10-15 | Asm America, Inc. | Plasma-enhanced atomic layers deposition of conductive material over dielectric layers |
US20110175233A1 (en) * | 2010-01-19 | 2011-07-21 | Akira Ueki | Semiconductor device and method for fabricating the same |
US9313895B2 (en) * | 2012-12-12 | 2016-04-12 | Tokyo Electron Limited | Method for forming copper wiring |
US20140161992A1 (en) * | 2012-12-12 | 2014-06-12 | Tokyo Electron Limited | Method for forming copper wiring |
US20160312360A1 (en) * | 2015-04-22 | 2016-10-27 | Applied Materials, Inc. | Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate |
US11932939B2 (en) | 2015-04-22 | 2024-03-19 | Applied Materials, Inc. | Lids and lid assembly kits for atomic layer deposition chambers |
US11384432B2 (en) * | 2015-04-22 | 2022-07-12 | Applied Materials, Inc. | Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate |
US11694912B2 (en) | 2017-08-18 | 2023-07-04 | Applied Materials, Inc. | High pressure and high temperature anneal chamber |
US10872804B2 (en) | 2017-11-03 | 2020-12-22 | Asm Ip Holding B.V. | Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination |
US10872803B2 (en) | 2017-11-03 | 2020-12-22 | Asm Ip Holding B.V. | Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination |
US11626313B2 (en) | 2017-11-03 | 2023-04-11 | Asm Ip Holding B.V. | Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination |
US11603767B2 (en) | 2018-03-19 | 2023-03-14 | Applied Materials, Inc. | Methods of protecting metallic components against corrosion using chromium-containing thin films |
US11384648B2 (en) | 2018-03-19 | 2022-07-12 | Applied Materials, Inc. | Methods for depositing coatings on aerospace components |
US11028480B2 (en) | 2018-03-19 | 2021-06-08 | Applied Materials, Inc. | Methods of protecting metallic components against corrosion using chromium-containing thin films |
US11560804B2 (en) | 2018-03-19 | 2023-01-24 | Applied Materials, Inc. | Methods for depositing coatings on aerospace components |
US11753726B2 (en) | 2018-04-27 | 2023-09-12 | Applied Materials, Inc. | Protection of components from corrosion |
US11015252B2 (en) | 2018-04-27 | 2021-05-25 | Applied Materials, Inc. | Protection of components from corrosion |
US11753727B2 (en) | 2018-04-27 | 2023-09-12 | Applied Materials, Inc. | Protection of components from corrosion |
US11761094B2 (en) | 2018-04-27 | 2023-09-19 | Applied Materials, Inc. | Protection of components from corrosion |
US11009339B2 (en) | 2018-08-23 | 2021-05-18 | Applied Materials, Inc. | Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries |
US11732353B2 (en) | 2019-04-26 | 2023-08-22 | Applied Materials, Inc. | Methods of protecting aerospace components against corrosion and oxidation |
US11794382B2 (en) | 2019-05-16 | 2023-10-24 | Applied Materials, Inc. | Methods for depositing anti-coking protective coatings on aerospace components |
US11697879B2 (en) | 2019-06-14 | 2023-07-11 | Applied Materials, Inc. | Methods for depositing sacrificial coatings on aerospace components |
US11466364B2 (en) | 2019-09-06 | 2022-10-11 | Applied Materials, Inc. | Methods for forming protective coatings containing crystallized aluminum oxide |
US11519066B2 (en) | 2020-05-21 | 2022-12-06 | Applied Materials, Inc. | Nitride protective coatings on aerospace components and methods for making the same |
US11739429B2 (en) | 2020-07-03 | 2023-08-29 | Applied Materials, Inc. | Methods for refurbishing aerospace components |
Also Published As
Publication number | Publication date |
---|---|
US20050173068A1 (en) | 2005-08-11 |
US20070003698A1 (en) | 2007-01-04 |
US20030079686A1 (en) | 2003-05-01 |
US20070026147A1 (en) | 2007-02-01 |
US20070099415A1 (en) | 2007-05-03 |
CN101174577A (en) | 2008-05-07 |
US20080038463A1 (en) | 2008-02-14 |
US20100247767A1 (en) | 2010-09-30 |
US7780788B2 (en) | 2010-08-24 |
US8668776B2 (en) | 2014-03-11 |
US20030082307A1 (en) | 2003-05-01 |
KR20040058239A (en) | 2004-07-03 |
US6916398B2 (en) | 2005-07-12 |
CN1774525B (en) | 2010-06-16 |
CN100524692C (en) | 2009-08-05 |
US8293328B2 (en) | 2012-10-23 |
US8318266B2 (en) | 2012-11-27 |
US20030124262A1 (en) | 2003-07-03 |
CN1774525A (en) | 2006-05-17 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US20030082301A1 (en) | Enhanced copper growth with ultrathin barrier layer for high performance interconnects | |
US7244683B2 (en) | Integration of ALD/CVD barriers with porous low k materials | |
US7507660B2 (en) | Deposition processes for tungsten-containing barrier layers | |
US7211508B2 (en) | Atomic layer deposition of tantalum based barrier materials | |
US7041335B2 (en) | Titanium tantalum nitride silicide layer | |
US7892602B2 (en) | Cyclical deposition of refractory metal silicon nitride | |
KR100737305B1 (en) | Method for bottomless deposition of barrier layers in integrated circuit metallization schemes | |
US6720027B2 (en) | Cyclical deposition of a variable content titanium silicon nitride layer | |
US7524762B2 (en) | Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA | |
US9051641B2 (en) | Cobalt deposition on barrier surfaces | |
JP4711624B2 (en) | Integration of ALD tantalum nitride and alpha phase tantalum for copper electrode formation applications |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
AS | Assignment |
Owner name: APPLIED MATERIALS, INC., CALIFORNIA Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHEN, LING;CHUNG, HUA;CHIN, BARRY L.;AND OTHERS;REEL/FRAME:013132/0833;SIGNING DATES FROM 20020710 TO 20020718 |
|
STCB | Information on status: application discontinuation |
Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION |