DE10049257B4 - Process for thin film production by means of atomic layer deposition - Google Patents

Process for thin film production by means of atomic layer deposition Download PDF

Info

Publication number
DE10049257B4
DE10049257B4 DE2000149257 DE10049257A DE10049257B4 DE 10049257 B4 DE10049257 B4 DE 10049257B4 DE 2000149257 DE2000149257 DE 2000149257 DE 10049257 A DE10049257 A DE 10049257A DE 10049257 B4 DE10049257 B4 DE 10049257B4
Authority
DE
Germany
Prior art keywords
reactant
film
reaction chamber
injecting
thin film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE2000149257
Other languages
German (de)
Other versions
DE10049257A1 (en
Inventor
Yeong-kwan Kim
Young-wook Park
Jae-soon Lim
Sung-Je Choi
Sang-in Lee
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from KR1020000053415A external-priority patent/KR100343144B1/en
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of DE10049257A1 publication Critical patent/DE10049257A1/en
Application granted granted Critical
Publication of DE10049257B4 publication Critical patent/DE10049257B4/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/409Oxides of the type ABO3 with A representing alkali, alkaline earth metal or lead and B representing a refractory metal, nickel, scandium or a lanthanide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/16Oxides
    • C30B29/20Aluminium oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02192Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing at least one rare earth metal element, e.g. oxides of lanthanides, scandium or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02197Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides the material having a perovskite structure, e.g. BaTiO3

Abstract

Verfahren zur Erzeugung eines Aluminiumoxid-Dünnfilms unter Verwendung eines Verfahrens zur atomaren Schichtdeposition (ALD), mit folgenden Schritten: – Injizieren eines ersten Reaktanden, der eine den Dünnfilm bildende Atomsorte sowie einen Liganden beinhaltet, in eine Reaktionskammer, die ein Substrat beinhaltet, so dass der erste Reaktand in das Substrat chemisorbiert wird, – Entfernen von jeglichem ersten Reaktanden, der in das Substrat lediglich physisorbiert ist, indem die Reaktionskammer mit Inertgas gespült wird, – Bilden eines Dünnfilms in Einheiten von atomaren Schichten durch eine chemische Reaktion zwischen der den Dünnfilm bildenden Atomsorte und einem zweiten Reaktanden, dessen Bindungsenergie bezüglich der den Dünnfilm bildenden Atomsorte größer als die Bindungsenergie des Liganden bezüglich der den Dünnfilm bildenden Atomsorte ist, indem der zweite Reaktand in die Reaktionskammer injiziert und der Ligand ohne Erzeugen von Nebenprodukten entfernt wird, und – Entfernen von jeglichem physisorbierten zweiten Reaktanden durch Spülen der Kammer mit Inertgas nach dem Schritt des Injizierens des zweiten Reaktanden, – wobei der erste Reaktand Al(CH3)3 ist und der zweite Reaktand ein oxidierendes Agens ist, das aus der Gruppe ausgewählt wird, die aus O3, O2-Plasma und N2O-Plasma besteht.A method of producing an alumina thin film using an atomic layer deposition (ALD) method comprising the steps of: injecting a first reactant containing a thin film forming species and a ligand into a reaction chamber including a substrate so that the first reactant is chemisorbed into the substrate, removing any first reactant physisorbed into the substrate merely by purging the reaction chamber with inert gas, forming a thin film in units of atomic layers by a chemical reaction between the thin film forming one An atomic species and a second reactant whose binding energy with respect to the thin-film forming atomic species is greater than the binding energy of the ligand with respect to the thin-film forming atomic species by injecting the second reactant into the reaction chamber and removing the ligand without generating by-products, e.g. nd - removing any physisorbed second reactant by purging the chamber with inert gas after the step of injecting the second reactant, - wherein the first reactant is Al (CH3) 3 and the second reactant is an oxidizing agent selected from the group consisting of which consists of O3, O2 plasma and N2O plasma.

Description

Die Erfindung bezieht sich auf ein Verfahren zur Dünnfilmerzeugung unter Verwendung eines Verfahrens zur atomaren Schichtdeposition (ALD).The invention relates to a method of thin film formation using an atomic layer deposition (ALD) method.

Im Allgemeinen wird eine dünne Schicht bzw. ein dünner Film, kurz als Dünnschicht oder Dünnfilm bezeichnet, z. B. als Dielektrikum eines Halbleiterbauelements, transparenter Leiter einer Flüssigkristallanzeige oder Schutzschicht einer Elektrolumineszenz-Dünnfilmanzeige verwendet. Ein Dünnfilm kann durch ein Sol-Gel-Verfahren, ein Sputterverfahren, ein Elektroplattierungsverfahren, ein Aufdampfverfahren, ein Verfahren zur chemischen Gasphasenabscheidung (CVD) oder ein Verfahren zur atomaren Schichtdeposition (ALD) erzeugt werden.In general, a thin film, called a thin film or thin film for short, e.g. Example, as a dielectric of a semiconductor device, transparent conductor of a liquid crystal display or protective layer of an electroluminescent Dünnfilmanzeige used. A thin film may be formed by a sol-gel method, a sputtering method, an electroplating method, a vapor deposition method, a chemical vapor deposition (CVD) method, or an atomic layer deposition (ALD) method.

Unter diesen Verfahren kann durch ein ALD-Verfahren eine bessere Stufenbedeckung erhalten werden als durch ein CVD-Verfahren, und es ist mit dem ALD-Verfahren möglich, einen Niedertemperatur-Prozess durchzuführen. Bei einem ALD-Verfahren wird der Dünnfilm durch Zersetzen eines Reaktanden nicht durch Pyrolyse, sondern durch chemischen Austausch unter periodischer Zuführung der jeweiligen Reaktanden gebildet. Nachfolgend wird ein Verfahren zur Erzeugung eines Aluminiumoxidfilms, der als dielektrischer Film eines Halbleiterbauelements verwendet werden kann, unter Verwendung eines herkömmlichen ALD-Verfahrens detailliert beschrieben. 1 ist ein Flussdiagramm des Prozesses zur Erzeugung eines Aluminiumoxidfilms unter Verwendung eines herkömmlichen ALD-Verfahrens. Die 2A bis 2D beschreiben den Reaktionsmechanismus während der Erzeugung des Aluminiumoxidfilms durch das Verfahren von 1.Among these methods, better step coverage can be obtained by an ALD method than by a CVD method, and it is possible with the ALD method to perform a low temperature process. In an ALD process, the thin film is formed by decomposing a reactant not by pyrolysis but by chemical exchange with periodic supply of the respective reactants. Hereinafter, a method of forming an aluminum oxide film which can be used as a dielectric film of a semiconductor device using a conventional ALD method will be described in detail. 1 FIG. 10 is a flowchart of the process of producing an alumina film using a conventional ALD method. FIG. The 2A to 2D describe the reaction mechanism during the production of the aluminum oxide film by the method of 1 ,

Speziell wird ein erster Reaktand A, nämlich Trimethylaluminium (Al(CH3)3, ”TMA”), das aus Aluminium a1 und einem Methylliganden a2 besteht, in eine Reaktionskammer (nicht gezeigt) injiziert, in die ein Siliciumsubstrat eingebracht wurde (Schritt 1). Die Reaktionskammer wird durch Injizieren eines Inertgases von einem physisorbierten ersten Reaktanden A gereinigt (Schritt 3). Somit bleibt lediglich der erste Reaktand A, der in ein Substrat S chemisorbiert ist, an das Substrat S gebunden, wie in 2A gezeigt.Specifically, a first reactant A, namely trimethylaluminum (Al (CH 3 ) 3 , "TMA") consisting of aluminum a 1 and a methyl ligand a 2, is injected into a reaction chamber (not shown) into which a silicon substrate has been introduced ( step 1 ). The reaction chamber is cleaned by injecting an inert gas from a physisorbed first reactant A (step 3 ). Thus, only the first reactant A chemisorbed into a substrate S remains bound to the substrate S, as in FIG 2A shown.

Ein zweiter Reaktand B, nämlich Wasserdampf, der aus Sauerstoff b1 und einem Wasserstoffradikal b2 besteht, wird in eine Reaktionskammer injiziert, die das Substrat S enthält, in das der erste Reaktand A chemisorbiert ist (Schritt 5). Dadurch wird der zweite Reaktand B in den ersten Reaktanden A chemisorbiert, wie in 2B gezeigt.A second reactant B, namely water vapor consisting of oxygen b 1 and a hydrogen radical b 2 , is injected into a reaction chamber containing the substrate S into which the first reactant A is chemisorbed (step 5 ). Thereby, the second reactant B is chemisorbed in the first reactant A, as in 2 B shown.

Das Wasserstoffradikal b2 des chemisorbierten zweiten Reaktanden B wandert zu dem Methylliganden a2 des ersten Reaktanden A, und der Methylligand wird von dem ersten Reaktanden A separiert, wie in 2C gezeigt. Wie in der untenstehenden chemischen Formel 1 und 2D gezeigt, reagiert das Wasserstoffradikal b2 des zweiten Reaktanden B mit dem Methylliganden a2 des separierten ersten Reaktanden A und bildet ein leicht flüchtiges Dampfphasenmaterial D, das aus CH4 besteht. Durch die Reaktion zwischen Aluminium a1 des ersten Reaktanden A und Wasserstoff b1 des zweiten Reaktanden B wird auf dem Substrat S ein Aluminiumoxidfilm C gebildet. 2Al(CH3)3 + 3H2O → Al2O3 + 6CH4 (1) The hydrogen radical b 2 of the chemisorbed second reactant B migrates to the methyl ligand a 2 of the first reactant A and the methyl ligand is separated from the first reactant A as in 2C shown. As in the chemical formula 1 and below 2D The hydrogen radical b 2 of the second reactant B reacts with the methyl ligand a 2 of the separated first reactant A to form a volatile vapor phase material D consisting of CH 4 . By the reaction between aluminum a 1 of the first reactant A and hydrogen b 1 of the second reactant B, an aluminum oxide film C is formed on the substrate S. 2Al (CH 3 ) 3 + 3H 2 O → Al 2 O 3 + 6CH 4 (1)

Das aus CH4 gebildete, leicht flüchtige Gasphasenmaterial D und der nicht reagierte Dampf werden durch entsprechendes Reinigen der Reaktionskammer mittels Injizieren eines Inertgases entfernt (Schritt 7). Es wird überprüft, ob der Aluminiumoxidfilm mit einer geeigneten Dicke gebildet ist (Schritt 9), und die Schritte 1 bis 7 werden bei Bedarf zyklisch wiederholt.The volatile gas phase material D formed from CH 4 and the unreacted vapor are removed by appropriately cleaning the reaction chamber by injecting an inert gas (step 7 ). It is checked whether the aluminum oxide film is formed with a suitable thickness (step 9 ), and steps 1 to 7 are cyclically repeated if necessary.

Bei einem herkömmlichen ALD-Verfahren tritt, da der Methyl-ligand durch die Bewegung des Wasserstoffradikals b2 entfernt wird, eine Subreaktion auf, die ein OH-Radikal erzeugt, das gemäß der Bewegung des Wasserstoffradikals b2 verbleibt, wie in der chemischen Formel 2 beschrieben. Al(CH3)3 + 3H2O → Al(OH)3 + 3CH4 (2) In a conventional ALD method, since the methyl ligand is removed by the movement of the hydrogen radical b 2 , a sub-reaction that generates an OH radical that remains in accordance with the movement of the hydrogen radical b 2 occurs , as in Chemical Formula 2 described. Al (CH 3 ) 3 + 3H 2 O → Al (OH) 3 + 3CH 4 (2)

Wenn die Subreaktion auftritt, werden unerwünschte Verunreinigungen, wie Al(OH)3, in den Aluminiumoxidfilm C eingebaut. Wenn Verunreinigungen, wie Al(OH)3, eingebaut werden, ist es nicht möglich, gewünschte Dünnfilmcharakteristika zu erzielen. insbesondere wirkt, wenn ein Aluminiumoxidfilm mit Al(OH)3 als dielektrischer Film eines Halbleiterbauelements verwendet wird, der Aluminiumoxidfilm mit Al(OH)3 als Einfangstelle für Elektronen oder als Leckstromstelle, womit die Eigenschaften des dielektrischen Films verschlechtert werden.When the subreaction occurs, unwanted impurities such as Al (OH) 3 are incorporated into the alumina film C. When incorporating impurities such as Al (OH) 3 , it is not possible to achieve desired thin film characteristics. particularly effective when an aluminum oxide film with Al (OH) 3 is used as a dielectric film of a semiconductor device, the aluminum oxide film having Al (OH) 3 as a capture site for electrons or as a leakage current location, whereby the properties of the dielectric film are deteriorated.

In dem Zeitschriftenaufsatz H. Kumagai et. al., Comparative Study of Al2O3 Optical Crystalline Thin Films Grown by Vapor Combinations of Al(CH3)3/N2O and Al(CH3)3/H2O2, Jpn. J. Appl. Phys. Band 32 (1993), Teil 1, Nr. 12B, Seite 6137 wird ein Verfahren zur Erzeugung kristalliner Al2O3-Dünnfilme hoher optischer Qualität mittels eines thermischen CVD-Prozesses unter Einsatz von N2O und H2O2 als Oxidationsmittel offenbart, wobei als Aluminiumdampfquelle hochreines TMA eingesetzt wird. Dabei werden TMA und N2O alternierend in einen zugehörigen CVD-Reaktor eingelassen, und für einen entsprechenden Oberflächenreaktionsprozess wird dann H2O2 anstelle von N2O eingesetzt.In the journal article H. Kumagai et. al., Comparative Study of Al 2 O 3 Optical Crystalline Thin Films Grown by Vapor Combinations of Al (CH 3 ) 3 / N 2 O and Al (CH 3 ) 3 / H 2 O 2 , Jpn. J. Appl. Phys. Vol. 32 (1993), Part 1, No. 12B, page 6137 discloses a method for producing high optical quality crystalline Al 2 O 3 thin films by means of a thermal CVD process using N 2 O and H 2 O 2 as the oxidizing agent , where as aluminum vapor source high purity TMA is used. In this case, TMA and N 2 O are alternately introduced into an associated CVD reactor, and for a corresponding surface reaction process then H 2 O 2 is used instead of N 2 O.

In der Offenlegungsschrift WO 99/29924 A1 ist ein Verfahren zum Beschichten von Oberflächen und insbesondere inneren Oberflächen beispielsweise von Rohren mittels atomarer Schichtepitaxie (ALE) offenbart, bei der die zu beschichtende Oberfläche in einem ALE-Reaktor wenigstens zwei beteiligten Reaktanden ausgesetzt wird, die alternierend und voneinander durch Inertgasspülungen getrennt in den Reaktor eingebracht werden. Zur Beschichtung mit einem Al2O3-Film werden z. B. TMA und Wasser als Reaktanden eingesetzt. Ein ähnliches ALD-Abscheideverfahren, bei dem alternierend unterschiedliche Reaktanden separiert durch zwischenliegende Inertgasspülungen in einen ALD-Reaktor eingebracht werden, z. B. die Reaktanden TMA und Wasser und Argon als Inertgas, ist in der Offenlegungsschrift JP 11-269652 A offenbart. In the published patent application WO 99/29924 A1 discloses a process for coating surfaces and in particular inner surfaces of, for example, tubes by atomic layer epitaxy (ALE), in which the surface to be coated in an ALE reactor is exposed to at least two reactants involved, which are alternately and separated from each other by inert gas purges into the reactor be introduced. For coating with an Al 2 O 3 film z. As TMA and water used as reactants. A similar ALD deposition process, in which alternately different reactants separated by intermediate inert gas flushes are introduced into an ALD reactor, z. As the reactants TMA and water and argon as an inert gas, is in the published patent application JP 11-269652 A disclosed.

In dem Zeitschriftenaufsatz Y. Kim et al., Substrate dependence an the optical properties of AL2O3 films grown by atomic layer deposition, Appl. Phys. Lett. 71 (25), 1997, Seite 3604 wird über die Abhängigkeit optischer Eigenschaften von mittels ALD aufgewachsenen Al2O3-Dünnfilmen vom Substrat berichtet. Die Dünnfilme wurden in einem vertikalen Warmwandreaktor mit Sprühkopf und resistiv beheiztem Substratträger unter Verwendung von TMA, Al(CH3)3 und dampfförmigem destilliertem Wasser als chemische Vorläufer bei einer Substrattemperatur von 370°C aufgebracht, nachdem zuvor das aus Silicium bestehende Substrat durch Nassätzen und Flusssäurebehandlung gereinigt wurde.In the journal article Y. Kim et al., Substrate dependence on the optical properties of Al 2 O 3 films grown by atomic layer deposition, Appl. Phys. Lett. 71 (25), 1997, page 3604 is reported on the dependence of optical properties of ALD grown Al 2 O 3 thin films from the substrate. The thin films were deposited in a vertical hot wall reactor with a spray head and resistively heated substrate support using TMA, Al (CH 3 ) 3 and vaporous distilled water as chemical precursors at a substrate temperature of 370 ° C after previously etching the silicon substrate by wet etching and Hydrofluoric acid treatment was purified.

Der Schichtwachstumsprozess erfolgte in mehreren Zyklen, zwischen denen jeweils mit Argon gespült wurde.The layer growth process was carried out in several cycles, each purged with argon.

Der Erfindung liegt als technisches Problem die Bereitstellung eines Verfahrens der eingangs genannten Art zugrunde, mit dem sich mittels einer ALD-Technik ein hochreiner Dünnfilm herstellen lässt, der weitestgehend frei von unerwünschten Verunreinigungen ist.The invention is based on the technical problem of providing a method of the type mentioned, with which by means of an ALD technique, a highly pure thin film can be produced, which is largely free of undesirable impurities.

Die Erfindung löst dieses Problem durch die Bereitstellung eines Verfahrens mit den Merkmalen des Anspruchs 1, 3 oder 10.The invention solves this problem by providing a method having the features of claim 1, 3 or 10.

Erfindungsgemäß wird der Ligand des ersten Reaktanden durch den Unterschied in der Bindungsenergie ohne Bewegung eines Radikals vom zweiten zum ersten Reaktanden separiert. Ein leicht flüchtiges Dampfphasenmaterial wird durch die Kombination von Liganden gebildet und ausgetrieben. Dementsprechend kann ein hochreiner Dünnfilm ohne störende Anteile unerwünschter Nebenprodukte, wie ein Hydroxid, erhalten werden, da in dem Dünnfilm durch eine Subreaktion gebildete Verunreinigungen ohne die Bewegung des Radikals verringert werden können.According to the invention, the ligand of the first reactant is separated from the second to the first reactant by the difference in binding energy without movement of a radical. A volatile vapor phase material is formed and expelled by the combination of ligands. Accordingly, a high-purity thin film can be obtained without interfering with unwanted by-products such as a hydroxide because impurities formed in the thin film by a sub-reaction can be reduced without the movement of the radical.

Vorteilhafte Weiterbildungen der Erfindung sind in den Unteransprüchen angegeben.Advantageous developments of the invention are specified in the subclaims.

Vorteilhafte, nachfolgend beschriebene Ausführungsformen der Erfindung sowie das zu deren besserem Verständnis oben erläuterte, herkömmliche Ausführungsbeispiel sind in den Zeichnungen dargestellt, in denen zeigen:Advantageous embodiments of the invention described below and the conventional embodiment explained above for better understanding thereof are shown in the drawings, in which:

1 ein Flussdiagramm des Prozesses zur Erzeugung eines Aluminiumoxidfilms unter Verwendung eines herkömmlichen Verfahrens zur atomaren Schichtdeposition (ALD), 1 10 is a flowchart of the process of producing an alumina film using a conventional atomic layer deposition (ALD) process;

2A bis 2D den Reaktionsmechanismus während der Erzeugung des Aluminiumoxidfilms von 1, 2A to 2D the reaction mechanism during the production of the aluminum oxide film of 1 .

3 schematisch eine Vorrichtung zur Erzeugung eines Dünnfilms aus einer atomaren Schicht durch ein erfindungsgemäßes ALD-Verfahren, 3 1 schematically shows an apparatus for producing a thin film from an atomic layer by means of an ALD method according to the invention,

4A bis 4D den Reaktionsmechanismus eines Verfahrens zur Erzeugung eines Dünnfilms unter Verwendung eines ALD-Verfahrens gemäß einer ersten Ausführungsform der Erfindung, 4A to 4D the reaction mechanism of a thin-film forming method using an ALD method according to a first embodiment of the invention;

5 ein Flussdiagramm des Prozesses zur Erzeugung eines Aluminiumoxidfilms gemäß der ersten Ausführungsform der Erfindung, 5 FIG. 4 is a flowchart of the process for producing an alumina film according to the first embodiment of the invention; FIG.

6A bis 6D den Reaktionsmechanismus für die Erzeugung des Aluminiumoxidfilms unter Verwendung des ALD-Verfahrens von 5, 6A to 6D the reaction mechanism for the production of the alumina film using the ALD method of 5 .

7 und 8 graphische Darstellungen, die Restgasanalyse(RGA)-Daten zeigen, wenn der Aluminiumoxidfilm durch die herkömmliche Technologie beziehungsweise die erste Ausführungsform der Erfindung gebildet wird, 7 and 8th Graphs showing residual gas analysis (RGA) data when the aluminum oxide film is formed by the conventional technology or the first embodiment of the invention,

9 eine graphische Darstellung, welche die Dicke des Aluminiumoxidfilms in Abhängigkeit von der Anzahl an Zyklen zeigt, wenn der Aluminiumoxidfilm durch die herkömmliche Technologie und die erste Ausführungsform der Erfindung gebildet wird, 9 Fig. 12 is a graph showing the thickness of the alumina film as a function of the number of cycles when the alumina film is formed by the conventional technology and the first embodiment of the invention;

10 eine graphische Darstellung, welche die Verspannungs-Hysterese in Abhängigkeit von der Temperatur von Aluminiumoxidfilmen zeigt, die durch die herkömmliche Technologie und die erste Ausführungsform der Erfindung gebildet wurden, 10 FIG. 12 is a graph showing strain hysteresis versus temperature of alumina films formed by the conventional technology and the first embodiment of the invention. FIG.

11 eine graphische Darstellung, die den Prozentsatz an Dickenkontraktion in Abhängigkeit von Nachtemperbedingungen von Aluminiumoxidfilmen zeigt, die durch die herkömmliche Technologie und die erste Ausführungsform der Erfindung gebildet wurden, 11 FIG. 4 is a graph showing the percentage of thickness contraction versus annealing conditions of alumina films formed by the conventional technology and the first embodiment of the invention; FIG.

12 und 13 graphische Darstellungen, welche die Absorptionskonstanten und Brechungsindizes von Aluminiumoxidfilmen, die durch die herkömmliche Technologie und die erste Ausführungsform der Erfindung gebildet wurden, in Abhängigkeit von der Wellenlänge zeigen, 12 and 13 graphs showing the absorption constants and refractive indices of alumina films formed by the conventional technology and the first embodiment of the invention as a function of wavelength,

14 eine graphische Darstellung, welche die Nassätzraten von Aluminiumoxidfilmen, die durch die herkömmliche Technologie und die erste Ausführungsform der Erfindung gebildet wurden, in Abhängigkeit von der Temperatur einer Nachtemperung und des Atmosphärengases zeigt, 14 FIG. 4 is a graph showing the wet etching rates of alumina films formed by the conventional technology and the first embodiment of the present invention as a function of the temperature of a post-annealing and the atmosphere gas; FIG.

15 eine Schnittansicht, die den Aufbau eines Kondensators eines Halbleiterbauelements zeigt, für den ein dielektrischer Film verwendet wird, der durch die erste Ausführungsform der Erfindung gebildet wurde, 15 10 is a sectional view showing the structure of a capacitor of a semiconductor device using a dielectric film formed by the first embodiment of the invention;

16 eine Schnittansicht, die den Aufbau eines Transistors eines Halbleiterbauelements zeigt, für den ein dielektrischer Film verwendet wird, der durch die erste Ausführungsform der Erfindung gebildet wurde, 16 10 is a sectional view showing the structure of a transistor of a semiconductor device using a dielectric film formed by the first embodiment of the invention;

17 eine graphische Darstellung, welche die Leckstromcharakteristik eines herkömmlichen Kondensators und eines SIS-Kondensators, für den ein dielektrischer Film verwendet wurde, der durch die erste Ausführungsform der Erfindung gebildet wurde, in Abhängigkeit von der angelegten Spannung zeigt, 17 FIG. 4 is a graph showing the leakage current characteristics of a conventional capacitor and an SIS capacitor using a dielectric film formed by the first embodiment of the present invention as a function of applied voltage; FIG.

18 eine graphische Darstellung, welche die Einsatzspannung des SIS-Kondensators, für den ein dielektrischer Film verwendet wird, der durch die erste Ausführungsform der vorliegenden Erfindung gebildet wurde, in Abhängigkeit von der Dicke eines äquivalenten Oxidfilm zeigt, 18 FIG. 12 is a graph showing the threshold voltage of the SIS capacitor using a dielectric film formed by the first embodiment of the present invention depending on the thickness of an equivalent oxide film. FIG.

19 eine graphische Darstellung, welche die Leckstromcharakteristik eines MIS-Kondensators, für den ein durch die erste Ausführungsform der Erfindung gebildeter dielektrischer Film verwendet wird, in Abhängigkeit von der angelegten Spannung zeigt, 19 12 is a graph showing the leakage current characteristic of an MIS capacitor to which a dielectric film formed by the first embodiment of the invention is applied depending on the applied voltage;

20 eine graphische Darstellung zum Vergleich der Leckstromcharakteristik des MIS-Kondensators, für den ein durch die erste Ausführungsform der Erfindung gebildeter dielektrischer Film verwendet wird, mit der Leckstromcharakteristik eines herkömmlichen Kondensators, 20 FIG. 4 is a graph comparing the leakage current characteristic of the MIS capacitor using a dielectric film formed by the first embodiment of the present invention with the leakage current characteristic of a conventional capacitor. FIG.

21A und 21B graphische Darstellungen, welche die Leckstromcharakteristik in Abhängigkeit von der angelegten Spannung zeigen, wenn die Aluminiumoxidfilme gemäß der herkömmlichen Technologie und der ersten Ausführungsform der Erfindung als Deckfilme eines MIM-Kondensators verwendet werden, 21A and 21B graphs showing the leakage current characteristic versus the applied voltage when the aluminum oxide films according to the conventional technology and the first embodiment of the invention are used as cover films of an MIM capacitor;

22 ein Flussdiagramm einer zweiten Ausführungsform des erfindungsgemäßen Verfahrens zur Erzeugung eines Dünnfilms unter Verwendung eines ALD-Verfahrens ist, 22 FIG. 3 is a flow chart of a second embodiment of the method of forming a thin film using ALD method of the present invention; FIG.

23A bis 23D eine Kombinationsbeziehung zwischen auf einem Substrat adsorbierten Reaktanden, wenn ein Aluminiumoxidfilm durch ein Verfahren zur Dünnfilmerzeugung unter Verwendung eines ALD-Verfahrens gemäß der zweiten Ausführungsform der Erfindung gebildet wird, 23A to 23D a combination relationship between reactants adsorbed on a substrate when an aluminum oxide film is formed by a thin film forming method using an ALD method according to the second embodiment of the invention,

24 eine Röntgenstrahl-Photoelektronenspektroskopie(XPS)-Graphik des durch ein herkömmliches ALD-Verfahren gebildeten Aluminiumoxidfilms, 24 an X-ray photoelectron spectroscopy (XPS) graphic of the aluminum oxide film formed by a conventional ALD method,

25A und 25B graphische Darstellungen zum Aufzeigen der Leckstromcharakteristik von Aluminiumoxidfilmen, die durch das herkömmliche Verfahren beziehungsweise durch die zweite Ausführungsform der Erfindung hergestellt wurden, 25A and 25B Graphs showing the leakage current characteristic of alumina films, which were prepared by the conventional method and by the second embodiment of the invention,

26 ein Flussdiagramm eines Verfahrens zur Dünnfilmerzeugung unter Verwendung eines ALD-Verfahrens gemäß einer dritten Ausführungsform der Erfindung, 26 FIG. 4 is a flowchart of a thin film formation method using an ALD method according to a third embodiment of the invention; FIG.

27 ein Zeitsteuerungsdiagramm, das die Zuführung von Reaktanden während der Erzeugung eines Dünnfilms unter Verwendung eines ALD-Verfahrens gemäß der dritten Ausführungsform der Erfindung zeigt, 27 FIG. 3 is a timing chart showing the supply of reactants during the formation of a thin film using an ALD method according to the third embodiment of the invention; FIG.

28 eine graphische Darstellung, welche die Dicke eines Aluminiumoxidfilms, der durch das Verfahren zur Erzeugung eines Dünnfilms aus einer atomaren Schicht gemäß der dritten Ausführungsform der Erfindung hergestellt wurde, in Abhängigkeit von der Anzahl an Wiederholungen der Verfahrensschritte zeigt, 28 Fig. 12 is a graph showing the thickness of an alumina film produced by the method of forming an atomic layer thin film according to the third embodiment of the invention, depending on the number of repetitions of the process steps;

29 eine graphische Darstellung zum Aufzeigen der Gleichmäßigkeit eines Aluminiumoxidfilms, der durch das Verfahren zur Erzeugung eines Dünnfilms aus einer atomaren Schicht gemäß der dritten Ausführungsform der Erfindung hergestellt wurde, 29 Fig. 12 is a graph showing the uniformity of an alumina film produced by the method of forming a thin film of an atomic layer according to the third embodiment of the invention;

30A und 30B graphische Darstellungen zum Analysieren der Aluminium-Spitzenwerte von Aluminiumoxidfilmen unter Verwendung von XPS, die durch die herkömmliche Technologie beziehungsweise das Verfahren zur Erzeugung eines Dünnfilms aus einer atomaren Schicht gemäß der dritten Ausführungsform der Erfindung hergestellt wurden, 30A and 30B graphs for analyzing the aluminum peak values of alumina films using XPS produced by the conventional technology and the method for producing an atomic layer thin film according to the third embodiment of the invention, respectively;

31A und 31B graphische Darstellungen zum Analysieren der Kohlenstoff-Spitzenwerte von Aluminiumoxidfilmen unter Verwendung von XPS, die durch die herkömmliche Technologie beziehungsweise das Verfahren zur Erzeugung eines Dünnfilms unter Verwendung eines ALD-Verfahrens gemäß der dritten Ausführungsform der Erfindung hergestellt wurden, und 31A and 31B Graphs for analyzing the carbon peaks of alumina films using XPS, which were prepared by the conventional technology or the method for producing a thin film using an ALD method according to the third embodiment of the invention, and

32 ein Flussdiagramm eines Verfahrens zur Erzeugung eines Dünnfilms aus einer atomaren Schicht gemäß einer vierten Ausführungsform der Erfindung. 32 a flowchart of a method for producing a thin film of an atomic layer according to a fourth embodiment of the invention.

Nunmehr wird die Erfindung unter Bezugnahme auf die begleitenden Zeichnungen vollständiger beschrieben, in denen bevorzugte Ausführungsformen der Erfindung gezeigt sind, ohne diese darauf zu beschränken. In den Zeichnungen sind die Dicken von Schichten und Bereichen zwecks Klarheit übertrieben dargestellt. Es versteht sich außerdem, dass wenn eine Schicht als ”auf” einer anderen Schicht oder einem Substrat liegend bezeichnet wird, diese direkt auf der anderen Schicht oder dem Substrat liegen kann oder zwischenliegende Schichten vorhanden sein können. Die gleichen Bezugszeichen in verschiedenen Darstellungen repräsentieren funktionell gleiche Elemente, die daher im Allgemeinen lediglich einmal beschrieben zu werden brauchen.The invention will now be described more fully with reference to the accompanying drawings, in which preferred embodiments of the invention are shown without being limited thereto. In the drawings, the thicknesses of layers and regions are exaggerated for clarity. It should also be understood that when a layer is referred to as being "on top" of another layer or substrate, it may be directly on top of the other layer or substrate, or intervening layers may be present. The same reference numerals in different representations represent functionally similar elements which, therefore, generally need only be described once.

3 stellt eine Vorrichtung zur Erzeugung eines Dünnfilms aus einer atomaren Schicht unter Verwendung eines erfindungsgemäßen Verfahrens zur atomaren Schichtdeposition (ALD) dar. Die Vorrichtung beinhaltet eine Reaktionskammer 11, die durch eine externe Heizvorrichtung (nicht gezeigt) geheizt werden kann, einen Halter 13, der am Boden der Reaktionskammer 11 installiert ist, um darauf ein Substrat 15, zum Beispiel ein Siliciumsubstrat, zu halten, einen Brausekopf 17, der über dem Halter 13 installiert ist, so dass das Reaktionsgas in die Reaktionskammer 11 injiziert werden kann, sowie eine Vakuumpumpe 19, die mit der Reaktionskammer 11 verbunden ist, um den Druck im Inneren der Reaktionskammer 11 zu steuern. 3 FIG. 5 illustrates an apparatus for forming a thin film from an atomic layer using an atomic layer deposition (ALD) method of the invention. The apparatus includes a reaction chamber 11 , which can be heated by an external heater (not shown), a holder 13 at the bottom of the reaction chamber 11 is installed on it a substrate 15 to hold, for example, a silicon substrate, a shower head 17 that over the holder 13 is installed so that the reaction gas enters the reaction chamber 11 can be injected, as well as a vacuum pump 19 connected to the reaction chamber 11 connected to the pressure inside the reaction chamber 11 to control.

Mit dem Brausekopf 17 sind zwei Gaseinlässe A und B verbunden, die voneinander getrennt sind. In den Brausekopf 17 können ein erster Reaktand, ein Inertgas, ein zweiter Reaktand und ein dritter Reaktand injiziert werden. Der erste Reaktand ist ein metallischer Reaktand. Das Inertgas ist Stickstoffgas oder Argongas. Der zweite Reaktand ist Wasserdampf oder ein oxidierendes Gas, das kein Hydroxid enthält, zum Beispiel N2O, O2, O3 oder CO2-Gas. Der dritte Reaktand ist Wasserdampf oder ein Material, das ein Sauerstoffradikal als aktiviertes oxidierendes Agens beinhaltet, wie Ozon, O2-Plasma oder N2O-Plasma. In 3 sind der zweite Reaktand und der dritte Reaktand separat installiert, sie können jedoch auch zusammen installiert sein.With the shower head 17 two gas inlets A and B are connected, which are separated from each other. In the shower head 17 For example, a first reactant, an inert gas, a second reactant, and a third reactant may be injected. The first reactant is a metallic reactant. The inert gas is nitrogen gas or argon gas. The second reactant is water vapor or an oxidizing gas that does not contain hydroxide, for example, N 2 O, O 2 , O 3, or CO 2 gas. The third reactant is water vapor or a material containing an oxygen radical as the activated oxidizing agent, such as ozone, O 2 plasma or N 2 O plasma. In 3 For example, the second reactant and the third reactant are installed separately, but they may be installed together.

Der erste Reaktand und das Inertgas werden durch den Gaseinlass A in die Reaktionskammer 11 injiziert. Der zweite Reaktand und der dritte Reaktand werden durch den Gaseinlass B in die Reaktionskammer 11 injiziert. Der erste Reaktand, der zweite Reaktand und der dritte Reaktand haben verschiedene Gaseinlässe, um zu verhindern, dass sie im Inneren eines Gaseinlasses miteinander reagieren. Die Injizierung des ersten Reaktanden und des Inertgases in die Reaktionskammer 11 wird durch ein erstes Ventil V1 und ein zweites Ventil V2 gesteuert. Die Injizierung des zweiten Reaktanden und des dritten Reaktanden in die Reaktionskammer 11 wird durch ein drittes Ventil V3 und ein viertes Ventil V4 gesteuert.The first reactant and the inert gas are introduced through the gas inlet A into the reaction chamber 11 injected. The second reactant and the third reactant are introduced into the reaction chamber through the gas inlet B 11 injected. The first reactant, the second reactant and the third reactant have different gas inlets to prevent them from reacting with each other inside a gas inlet. Injecting the first reactant and the inert gas into the reaction chamber 11 is controlled by a first valve V1 and a second valve V2. Injecting the second reactant and the third reactant into the reaction chamber 11 is controlled by a third valve V3 and a fourth valve V4.

Nunmehr werden verschiedene Ausführungsformen des erfindungsgemäßen Verfahrens zur Erzeugung eines Dünnfilms aus einer atomaren Schicht unter Verwendung der vorstehend beschriebenen Vorrichtung beschrieben.Now, various embodiments of the method of forming a thin film from an atomic layer using the apparatus described above will be described.

Erste AusführungsformFirst embodiment

Die 4A bis 4D illustrieren den Reaktionsmechanismus eines Verfahrens zur Erzeugung eines Dünnfilms unter Verwendung eines ALD-Verfahrens gemäß einer ersten Ausführungsform der vorliegenden Erfindung. Nach der Chemisorption eines ersten Reaktanden A, der aus einem Atom a1, das einen Dünnfilm bildet, und einem Liganden a2 besteht, in ein Substrat 15, zum Beispiel ein Siliciumsubstrat, durch Injizieren des ersten Reaktanden A in die Reaktionskammer 11, die mit dem Substrat bestückt ist, wird der physisorbierte erste Reaktand A durch Reinigen der Reaktionskammer mittels Injizieren des Inertgases entfernt (4A).The 4A to 4D illustrate the reaction mechanism of a method of forming a thin film using an ALD method according to a first embodiment of the present invention. After chemisorption of a first reactant A consisting of an atom a 1 forming a thin film and a ligand a 2 into a substrate 15 , for example, a silicon substrate, by injecting the first reactant A into the reaction chamber 11 provided with the substrate, the physisorbed first reactant A is removed by cleaning the reaction chamber by injecting the inert gas ( 4A ).

Ein zweiter Reaktand B wird in die Reaktionskammer 11 injiziert, die das Substrat enthält, in das der erste Reaktand A adsorbiert ist. Dadurch wird der zweite Reaktand B in den ersten Reaktanden A chemisorbiert. Als zweiter Reaktand B wird ein unperfektes Material verwendet, das aktiv mit dem ersten Reaktanden A reagiert. Speziell wird als zweiter Reaktand B ein Material verwendet, bei dem die Bindungsenergie zwischen dem zweiten Reaktanden B und dem dünnfilmbildenden Atom a1 des ersten Reaktanden A größer als die Bindungsenergie zwischen dem dünnfilmbildenden Atom a1 des ersten Reaktanden A und dem Liganden a2 ist (4B).A second reactant B is added to the reaction chamber 11 containing the substrate in which the first reactant A is adsorbed. As a result, the second reactant B is chemisorbed in the first reactant A. As the second reactant B, an imperfect material is used which reacts actively with the first reactant A. Specifically, as the second reactant B, a material is used in which the binding energy between the second reactant B and the thin film forming atom a 1 of the first reactant A is greater than the binding energy between the thin film forming atom a 1 of the first reactant A and the ligand a 2 ( 4B ).

Da die Bindungsenergie zwischen dem zweiten Reaktanden B und dem dünnfilmbildenden Atom a1 des ersten Reaktanden A größer als die Bindungsenergie zwischen dem dünnfilmbildenden Atom a1 des ersten Reaktanden A und dem Liganden a2 ist, verbindet sich der zweite Reaktand B mit dem dünnfilmbildenden Atom a1 des ersten Realstanden A, und der Ligand a2 wird von dem ersten Reaktanden A getrennt (4C).Since the binding energy between the second reactant B and the thin film forming atom a 1 of the first reactant A is greater than the binding energy between the thin film forming atom a 1 of the first reactant A and the ligand a 2 , the second reactant B combines with the thin film-forming atom a 1 of the first real state A, and the ligand a 2 is separated from the first reactant A ( 4C ).

Da der von dem ersten Reaktanden A getrennte Ligand a2 instabil ist, wird durch Verbindung der Liganden a2 ein leicht flüchtiges Gasphasenmaterial D gebildet. Durch eine Reaktion zwischen dem dünnfilmbildenden Atom a1 des ersten Reaktanden A und dem zweiten Reaktanden B wird auf dem Substrat 15 ein Dünnfilm C in Einheiten von atomaren Schichten gebildet. Das leicht flüchtige Gasphasenmaterial D wird durch Spülen mit dem Inertgas entfernt (4D).Since the ligand a 2 separated from the first reactant A is unstable, a highly volatile gas phase material D is formed by combining the ligands a 2 . A reaction between the thin film-forming atom a 1 of the first reactant A and the second reactant B occurs on the substrate 15 a thin film C is formed in units of atomic layers. The volatile gas phase material D is removed by purging with the inert gas ( 4D ).

Als Beispiel wird ein Fall betrachtet, bei dem das Verfahren zur Erzeugung eines Dünnfilms unter Verwendung eines Unterschiedes in der Bindungsenergie, das in den 4A bis 4D beschrieben ist, zur Erzeugung eines Aluminiumoxidfilms angewendet wird.As an example, consider a case where the method of forming a thin film using a difference in binding energy included in the 4A to 4D described is used to produce an aluminum oxide film.

5 ist ein Flussdiagramm des Prozesses zur Erzeugung eines Aluminiumoxidfilms gemäß einer ersten Ausführungsform der Erfindung. Die 6A bis 6D illustrieren den Reaktionsmechanismus, wenn der Aluminiumoxidfilm unter Verwendung des ALD-Verfahrens von 5 gebildet wird. Der erste Reaktand A, wie Trimethylaluminium (Al(CH3)3), TMA), der aus dünnfilmbildendem Aluminium a1 und einem Methylliganden a2 besteht, wird in die Reaktionskammer 11 injiziert, die mit dem Substrat 15, zum Beispiel einem Siliciumsubstrat, bestückt ist (Schritt 101). Das physikalisch adsorbierte TMA wird durch Spülen mit Inertgas entfernt (Schritt 103). Dadurch verbleibt lediglich TMA, das in das Substrat 15 chemisorbiert ist, wie in 6A gezeigt. 5 Fig. 10 is a flowchart of the process of producing an alumina film according to a first embodiment of the invention. The 6A to 6D illustrate the reaction mechanism when the aluminum oxide film using the ALD method of 5 is formed. The first reactant A, such as trimethylaluminum (Al (CH 3 ) 3 ), TMA), consisting of thin film-forming aluminum a 1 and a methyl ligand a 2 , is introduced into the reaction chamber 11 injected with the substrate 15 , for example, a silicon substrate, is equipped (step 101 ). The physically adsorbed TMA is removed by purging with inert gas (step 103 ). This leaves only TMA in the substrate 15 is chemisorbed, as in 6A shown.

Der zweite Reaktand, wie Ozon B, der ein oxidierendes Agens ist, wird in die Reaktionskammer 11 injiziert, in der das TMA adsorbiert ist (Schritt 105). Dadurch wird Ozon B in das Aluminium a1 des TMA chemisorbiert, wie in 6B gezeigt.The second reactant, such as ozone B, which is an oxidizing agent, enters the reaction chamber 11 in which the TMA is adsorbed (step 105 ). This ozone B is chemisorbed into the aluminum a 1 of the TMA, as in 6B shown.

Ozon B ist ein unperfektes Material, das aktiv mit TMA reagiert. Die Bindungsenergie zwischen Ozon B und dem Aluminium a1 des TMA beträgt etwa 540 kJ/mol, was größer als die Bindungsenergie zwischen dem Aluminium a1 des TMA und dem Methylliganden a2 (zum Beispiel der Al-C-Bindungsenergie) ist, die 255 kJ/mol beträgt. Da die Bindungsenergie zwischen Ozon B und dem dünnfilmbildenden Aluminium a1 des TMA größer als die Bindungsenergie zwischen dem dünnfilmbildenden Aluminium a1 des TMA und dem Methylliganden a2 ist, wird der Methylligand a2 von dem TMA getrennt, wie in 6C gezeigt.Ozone B is an imperfect material that actively reacts with TMA. The binding energy between ozone B and the aluminum a 1 of the TMA is about 540 kJ / mol, which is greater than the binding energy between the aluminum a 1 of the TMA and the methyl ligand a 2 (for example, the Al-C bond energy), which is 255 kJ / mol. Since the binding energy between ozone B and is larger than the bond energy between the thin film-forming aluminum a 1 of the TMA and the methylene ligand A 2 the thin film-forming aluminum a 1 of the TMA, the Methylligand is separated a 2 of the TMA, as described in 6C shown.

Außerdem wird, da der von dem TMA getrennte Methylligand a2 instabil ist, durch die Verbindung der Methylliganden a2 ein leicht flüchtiges Gasphasenmaterial D aus C2H6 gebildet, wie in 6D gezeigt. Durch die Reaktion zwischen dem dünnfilmbildenden Aluminium a1 des TMA und Ozon B wird auf dem Substrat 15 ein Aluminiumoxidfilm C in Einheiten atomarer Schichten gebildet, wie in der chemischen Formel 3 gezeigt. 2Al(CH3)3 + O3 → Al2O3 + 3C2H6 (3) In addition, since the methyl ligand a 2 separated from the TMA is unstable, the compound of the methyl ligands a 2 forms a highly volatile gas phase material D of C 2 H 6 as described in U.S. Pat 6D shown. The reaction between the thin film-forming aluminum a 1 of the TMA and ozone B occurs on the substrate 15 an aluminum oxide film C is formed in units of atomic layers as shown in Chemical Formula 3. 2Al (CH 3) 3 + O 3 → Al 2 O 3 + 3C 2 H 6 (3)

Das aus C2H6 gebildete, leicht flüchtige Gasphasenmaterial D und die nicht reagierten Methylliganden a2 werden entfernt, indem die Reaktionskammer zum zweiten Mal mit dem Inertgas gespült wird (Schritt 107). Es wird geprüft, ob der Aluminiumoxidfilm schon eine geeignete Dicke aufweist (Schritt 109), und die Schritte 101 bis 107 werden bei Bedarf zyklisch wiederholt.The volatile gas phase material D formed from C 2 H 6 and the unreacted methyl ligands a 2 are removed by rinsing the reaction chamber with the inert gas for a second time (step 107 ). It is checked whether the aluminum oxide film already has a suitable thickness (step 109 ), and the steps 101 to 107 are cyclically repeated if necessary.

In der vorliegenden Ausführungsform wird Ozon als zweiter Reaktand verwendet. Ozon kann jedoch unter Verwendung von Ultraviolett(UV)-Strahlen starker aktiviert werden, oder es kann statt Ozon ein O2-Plasma oder ein N2O-Plasma als das aktivierte oxidierende Agens verwendet werden, wie in der chemischen Formel 4 gezeigt. TMA + O3(aktiviert) ⇒ 4Al(CH3)3 + 3O2 → 2Al2O3 + 6C2H6 (4) In the present embodiment, ozone is used as the second reactant. However, ozone can be more strongly activated by using ultraviolet (UV) rays or, instead of ozone, an O 2 plasma or an N 2 O plasma can be used as the activated oxidizing agent as shown in Chemical Formula 4. TMA + O 3 (activated) ⇒ 4Al (CH 3 ) 3 + 3O 2 → 2Al 2 O 3 + 6C 2 H 6 (4)

Die 7 und 8 sind graphische Darstellungen, die Restgasanalyse(RGA)-Daten zeigen, wenn ein Aluminiumoxidfilm durch die herkömmliche Technologie beziehungsweise durch die erste Ausführungsform der vorliegenden Erfindung gebildet wird. In den 7 und 8 wird der Aluminiumoxidfilm in dem mit Pfeilen markierten Bereichen gebildet.The 7 and 8th Fig. 15 are graphs showing residual gas analysis (RGA) data when an aluminum oxide film is formed by the conventional technology and by the first embodiment of the present invention, respectively. In the 7 and 8th For example, the aluminum oxide film is formed in the arrow marked areas.

Da die Form des entfernten Liganden in Abhängigkeit von dem Mechanismus variiert, mit dem der zweite Reaktand B mit dem ersten Reaktanden A reagiert, wie vorstehend erwähnt, variiert das während eines Prozesses erzeugte Material. Wenn nämlich das TMA und Wasserdampf (H2O) als der erste Reaktand A beziehungsweise als der zweite Reaktand B verwendet werden, wie im Fall für 7, werden CH3 + und CH4 +, die durch Aufnehmen eines Wasserstoffradikals aus dem Wasserdampf (H2O) gebildet werden, als die hauptsächlichen Nebenprodukte detektiert. Wenn TMA und Ozon als der erste Reaktand A beziehungsweise als der zweite Reaktand B verwendet werden, wie es für 8 der Fall ist, werden CH3-Liganden entfernt, und somit wird C2H5 + oder C2H6 + als hauptsächliches Nebenprodukt detektiert.Since the shape of the ligand removed varies depending on the mechanism with which the second reactant B reacts with the first reactant A as mentioned above, the material generated during a process varies. Namely, when the TMA and water vapor (H 2 O) are used as the first reactant A and the second reactant B, respectively, as in the case of 7 , CH 3 + and CH 4 + , which are formed by taking up a hydrogen radical from the water vapor (H 2 O), are detected as the major by-products. When TMA and ozone are used as the first reactant A and the second reactant B, respectively, as it is 8th If this is the case, CH 3 ligands are removed, and thus C 2 H 5 + or C 2 H 6 + is detected as the major by-product.

9 ist eine graphische Darstellung, welche die Dicke des Aluminiumoxidfilms in Abhängigkeit von der Anzahl an Zyklen zeigt, wenn der Aluminiumoxidfilm durch die herkömmliche Technologie und die erste Ausführungsform der Erfindung gebildet wird. Die Dicke eines aufgebrachten Dünnfilms ist durch die Anzahl an Zufuhrzyklen jedes Reaktanden bestimmt, da ein Verfahren zur atomaren Schichtdeposition (ALD) ein Oberflächensteuerungsprozess ist. Wenn nämlich die Dicke linear mit der Anzahl an Zyklen zunimmt, bedeutet das, dass der Dünnfilm durch ein ALD-Verfahren gebildet wird. Aus 9 ist ersichtlich, dass die Dicke in der herkömmlichen Technologie und in der vorliegenden Erfindung tatsächlich linear zunimmt und somit der Dünnfilm durch ein ALD-Verfahren gebildet wird. 9 FIG. 12 is a graph showing the thickness of the alumina film as a function of the number of cycles when the Alumina film is formed by the conventional technology and the first embodiment of the invention. The thickness of an applied thin film is determined by the number of supply cycles of each reactant, since an atomic layer deposition (ALD) process is a surface control process. Namely, when the thickness increases linearly with the number of cycles, it means that the thin film is formed by an ALD method. Out 9 It can be seen that the thickness actually increases linearly in the conventional technology and in the present invention, and thus the thin film is formed by an ALD method.

Es wird der Unterschied in latenten Zyklen zwischen der herkömmlichen Technologie (markiert mit •), bei der Wasserdampf als zweiter Reaktand B verwendet wird, und der Erfindung (markiert mit o) gezeigt, bei der Ozon als zweiter Reaktand B verwendet wird. In der Erfindung (markiert mit o) wird nämlich der Dünnfilm von einem anfänglichen Zyklus ohne einen latenten Zyklus abgeschieden. In der herkömmlichen Technologie (markiert mit •) wird der Dünnfilm jedoch nach dem Verstreichen einer latenten Periode von 12 Zyklen abgeschieden. Daraus ist zu erkennen, dass der in der Erfindung gebildete Aluminiumoxidfilm stabiler erzeugt wird, da der Dünnfilm durch eine heterogene Reaktion gebildet wird.The difference in latent cycles between the conventional technology (marked with)) using water vapor as the second reactant B and the invention (marked with)) using ozone as the second reactant B is shown. Namely, in the invention (marked with o), the thin film is deposited from an initial cycle without a latent cycle. However, in the conventional technology (marked with •), the thin film is deposited after lapse of a latent period of 12 cycles. From this, it can be seen that the aluminum oxide film formed in the invention is more stably formed because the thin film is formed by a heterogeneous reaction.

10 ist eine graphische Darstellung, welche die Stress- bzw. Verspannungs-Hysterese in Abhängigkeit von der Temperatur von Aluminiumoxidfilmen zeigt, die durch die herkömmliche Technologie und die erste Ausführungsform der Erfindung gebildet wurden. 10 Fig. 12 is a graph showing the stress hysteresis depending on the temperature of alumina films formed by the conventional technology and the first embodiment of the invention.

Speziell ändert sich in der Stress-Hysterese (markiert mit ☐) des herkömmlichen Aluminiumoxidfilms, der durch Verwenden von TMA als erstem Reaktanden A und Verwenden von Wasserdampf als zweitem Reaktanden B gebildet wurde, die Art der Verspannung bei 450°C von Zugspannung in Druckspannung. Währenddessen ist in der Stress-Hysterese (markiert mit •) des erfindungsgemäßen Aluminiumoxidfilms, der durch Verwenden von TMA und Ozon als erstem Reaktanden A beziehungsweise als zweitem Reaktanden B die Art der Verspannung im gesamten Temperaturbereich Zugspannung, das heißt der Verspannungsmodus ändert sich nicht. Demgemäß ist festzustellen, dass der gemäß der Erfindung gebildete Film stabiler gegenüber Wärme ist.Specifically, in the stress hysteresis (marked with □) of the conventional alumina film formed by using TMA as the first reactant A and using water vapor as the second reactant B, the kind of stress at 450 ° C changes from tensile stress to compressive stress. Meanwhile, in the stress hysteresis (marked with)) of the alumina film of the present invention, by using TMA and ozone as the first reactant A and the second reactant B, respectively, the kind of stress is tensile stress in the entire temperature range, that is, the stress mode does not change. Accordingly, it should be noted that the film formed according to the invention is more stable to heat.

11 ist eine graphische Darstellung, die den Prozentsatz an Dickenkontraktion in Abhängigkeit von den Nachtemper-Bedingungen der Aluminiumoxidfilme zeigt, die durch die herkömmliche Technologie und die erste Ausführungsform der vorliegenden Erfindung gebildet wurden. Auf der x-Achse sind mit N450, N750 und N830 Proben bezeichnet, die in Stickstoffatmosphären bei 450°C, 750°C beziehungsweise 830°C nachgetempert wurden. Analog bezeichnen O450, O750 und O830 Proben, die in Sauerstoffatmosphären bei 450°C, 750°C beziehungsweise 830°C nachgetempert wurden. RTO ist eine Probe, bei der eine schnelle thermische Oxidation bei 850°C durchgeführt wurde. Es ist zu erkennen, dass der Prozentsatz an Dickenkontraktion (die Abnahmegeschwindigkeit der Dicke) als Funktion der Temperatur und den Gasbedingungen der Nachtemperung in den Aluminiumoxidfilmen nicht wesentlich in Abhängigkeit davon variiert, ob die Filme durch die herkömmliche Technologie oder die erste erfindungsgemäße Ausführungsform gebildet wurden. 11 Fig. 12 is a graph showing the percentage of thickness contraction versus the annealing conditions of the alumina films formed by the conventional technology and the first embodiment of the present invention. On the x-axis, N450, N750 and N830 are samples that have been post-annealed in nitrogen atmospheres at 450 ° C, 750 ° C and 830 ° C, respectively. Analogously, O450, O750 and O830 refer to samples that have been post-annealed in oxygen atmospheres at 450 ° C, 750 ° C and 830 ° C, respectively. RTO is a sample that has undergone rapid thermal oxidation at 850 ° C. It can be seen that the percentage of thickness contraction (the rate of decrease in thickness) as a function of temperature and gas conditions of post-annealing in the alumina films does not vary significantly depending on whether the films were formed by the conventional technology or the first embodiment of the present invention.

Die 12 und 13 sind graphische Darstellungen, welche die Absorptionskonstanten und Brechungsindizes der Aluminiumoxidfilme, die durch die herkömmliche Technologie und die erste erfindungsgemäße Ausführungsform gebildet wurden, in Abhängigkeit von der Wellenlänge zeigen. Die Absorptionskonstanten der Aluminiumoxidfilme, die durch die herkömmliche Technologie und die erste erfindungsgemäße Ausführungsform gebildet wurden, betragen weniger als 0,005 für Wellenlängen von 180 nm bis 900 nm, wie in 12 gezeigt. Das heißt, die Aluminiumoxidfilme, die durch die herkömmliche Technologie und die erste erfindungsgemäße Ausführungsform gebildet wurden, weisen eine ausgezeichnete Transparenz auf. Die Brechungsindizes der Aluminiumoxidfilme, die durch die herkömmliche Technologie und die erste erfindungsgemäße Ausführungsform gebildet wurden, variieren für Wellenlängen von 180 nm bis 900 nm nicht signifikant, wie in 13 gezeigt.The 12 and 13 Fig. 11 are graphs showing the absorption constants and refractive indices of the alumina films formed by the conventional technology and the first embodiment of the present invention as a function of wavelength. The absorption constants of the alumina films formed by the conventional technology and the first embodiment of the present invention are less than 0.005 for wavelengths from 180 nm to 900 nm as shown in FIG 12 shown. That is, the alumina films formed by the conventional technology and the first embodiment of the present invention have excellent transparency. The refractive indices of the alumina films formed by the conventional technology and the first embodiment of the present invention do not vary significantly for wavelengths from 180 nm to 900 nm, as in FIG 13 shown.

14 ist eine graphische Darstellung, welche die Nassätzraten von Aluminiumoxidfilmen, die durch die herkömmliche Technologie und die erste erfindungsgemäße Ausführungsform gebildet wurden, in Abhängigkeit von der Temperatur der Nachtemperung und dem Atmosphärengas zeigen. Auf der x-Achse ist as-dep eine Probe, die nach dem Aufbringen auf das Substrat nicht getempert wurde. N450, N750 und N830 sind Proben, die in Stickstoffatmosphären bei 450°C, 750°C und 830°C nachgetempert wurden. O450, O750 und O830 sind Proben, die in Sauerstoffatmosphären bei 450°C, 750°C und 830°C nachgetempert wurden. RTP ist eine Probe, die eine schnelle thermische Oxidation bei 850°C in einer Sauerstoffatmosphäre erfuhr. Die y-Achse bezeichnet Ätzraten, wenn die jeweiligen Proben durch eine HF-Lösung von 200:1 nassgeätzt wurden. 14 Fig. 12 is a graph showing the wet etching rates of alumina films formed by the conventional technology and the first embodiment of the present invention depending on the temperature of the post-annealing and the atmosphere gas. On the x-axis as-dep is a sample which was not tempered after application to the substrate. N450, N750 and N830 are samples post-annealed in nitrogen atmospheres at 450 ° C, 750 ° C and 830 ° C. O450, O750 and O830 are samples that have been post-annealed in oxygen atmospheres at 450 ° C, 750 ° C and 830 ° C. RTP is a sample that undergoes rapid thermal oxidation at 850 ° C in an oxygen atmosphere. The y-axis denotes etch rates when the respective samples were wet etched by an HF solution of 200: 1.

Wie in 14 gezeigt, verringern sich in den Aluminiumoxidfilmen, die durch die herkömmliche Technologie und die erste erfindungsgemäße Ausführungsform gebildet wurden, die Nassätzraten ungeachtet der Temperbedingungen mit zunehmenden Tempertemperaturen. Insbesondere wird die Ätzrate schnell auf 2 Å/min (= 0,2 nm/min) bis 3 Å/min (= 0,3 nm/min) verringert, wenn die Nachtemperung bei Temperaturen von mehr als 800°C durchgeführt wird. Außerdem ist die Ätzrate des Aluminiumoxidfilms gemäß der ersten erfindungsgemäßen Ausführungsform um etwa 30% geringer als die Ätzrate des Aluminiumoxidfilms gemäß der herkömmlichen Technologie, wenn die Nachtemperung bei Temperaturen von weniger als 800°C durchgeführt wird. Daraus ist zu erkennen, dass der Oxidfilm chemisch stabiler ist, wenn Ozon als oxidierendes Gas verwendet wird, als in dem Fall, in dem Wasserdampf als oxidierendes Gas verwendet wird.As in 14 In the alumina films formed by the conventional technology and the first embodiment of the present invention, the wet etching rates decrease with increasing annealing temperatures regardless of annealing conditions. In particular, the Etch rate rapidly reduced to 2 Å / min (= 0.2 nm / min) to 3 Å / min (= 0.3 nm / min), when the post-annealing is performed at temperatures greater than 800 ° C. In addition, the etching rate of the alumina film according to the first embodiment of the present invention is about 30% lower than the etching rate of the alumina film according to the conventional technology when the post-annealing is performed at temperatures lower than 800 ° C. From this, it can be seen that the oxide film is chemically more stable when ozone is used as the oxidizing gas than in the case where water vapor is used as the oxidizing gas.

Nunmehr wird ein Fall beschrieben, bei dem der durch die erste erfindungsgemäße Ausführungsform gebildete Aluminiumoxidfilm für ein Halbleiterbauelement verwendet wird.Now, a case will be described in which the aluminum oxide film formed by the first embodiment of the present invention is used for a semiconductor device.

15 ist eine Schnittansicht, die den Aufbau eines Kondensators eines Halbleiterbauelements zeigt, für den ein dielektrischer Film verwendet wird, der durch die erste erfindungsgemäße Ausführungsform gebildet wurde. Der Kondensator des Halbleiterbauelements, für den der durch die erste erfindungsgemäße Ausführungsform gebildete dielektrische Film verwendet wird, beinhaltet eine untere Elektrode 205, die auf einem Substrat 201, zum Beispiel einem Siliciumsubstrat, ausgebildet ist, einen dielektrischen Film 207 und eine obere Elektrode 209. In 15 bezeichnen die Bezugszeichen 203 und 211 einen dielektrischen Zwischenfilm beziehungsweise eine auf der oberen Elektrode des Kondensators ausgebildete Deckschicht. 15 Fig. 10 is a sectional view showing the structure of a capacitor of a semiconductor device using a dielectric film formed by the first embodiment of the present invention. The capacitor of the semiconductor device for which the dielectric film formed by the first embodiment of the present invention is used includes a lower electrode 205 on a substrate 201 , For example, a silicon substrate is formed, a dielectric film 207 and an upper electrode 209 , In 15 denote the reference numerals 203 and 211 a dielectric intermediate film or a top layer formed on the upper electrode of the capacitor.

Im Folgenden wird ein Kondensator, bei dem die obere Elektrode 209 und die untere Elektrode 205 aus einem mit Störstellen dotierten Polysiliciumfilm gebildet sind und der dielektrische Film 207 aus einem Aluminiumoxidfilm gebildet ist, der durch die erste erfindungsgemäße Ausführungsform erzeugt wurde, als ein ”SIS”-Kondensator bezeichnet. Ein Kondensator, bei dem die untere Elektrode 205 aus einem mit Störstellen dotierten Polysiliciumfilm gebildet ist, der dielektrische Film 207 aus einem durch die erste erfindungsgemäße Ausführungsform gebildeten Aluminiumoxidfilm gebildet ist und die obere Elektrode 209 aus einem TiN-Film gebildet ist, wird als ”MIS”-Kondensator bezeichnet. Ein Kondensator, bei dem die obere Elektrode 209 und die untere Elektrode 205 aus Edelmetallen der Platin-Gruppe gebildet sind, wie Pt und Ru, und der dielektrische Film 207 aus einem isolierenden Film gebildet ist, wie einem TaO-Film oder BST (BaSrTiO3), wird als ”MIM”-Kondensator bezeichnet.The following is a capacitor where the top electrode 209 and the lower electrode 205 are formed of an impurity doped polysilicon film and the dielectric film 207 is formed of an alumina film formed by the first embodiment of the present invention, referred to as a "SIS" capacitor. A capacitor where the lower electrode 205 is formed of an impurity doped polysilicon film, the dielectric film 207 is formed from an aluminum oxide film formed by the first embodiment of the present invention, and the upper electrode 209 is formed of a TiN film is referred to as "MIS" capacitor. A capacitor in which the upper electrode 209 and the lower electrode 205 are formed of noble metals of the platinum group, such as Pt and Ru, and the dielectric film 207 is formed of an insulating film such as a TaO film or BST (BaSrTiO 3 ) is referred to as "MIM" capacitor.

16 ist eine Schnittansicht, die den Aufbau eines Transistors eines Halbleiterbauelements zeigt, für den ein durch die erste erfindungsgemäße Ausführungsform gebildeter dielektrischer Film verwendet wird. Das Halbleiterbauelement, für das der dielektrische Film gemäß der ersten erfindungsgemäßen Ausführungsform verwendet wird, beinhaltet ein mit Störstellen, wie Phosphor, Arsen oder Bor, dotiertes Siliciumsubstrat 301, das als erste Elektrode wirkt, einen Gate-Isolationsfilm 305, der als dielektrischer Film wirkt, sowie eine Gate-Elektrode 307, die als zweite Elektrode wirkt. In 16 bezeichnet das Bezugszeichen 303 Source- und Drain-Gebiete, die störstellendotierte Bereiche sind. 16 Fig. 10 is a sectional view showing the structure of a transistor of a semiconductor device to which a dielectric film formed by the first embodiment of the present invention is applied. The semiconductor device for which the dielectric film according to the first embodiment of the present invention is used includes a silicon substrate doped with impurities such as phosphorus, arsenic or boron 301 acting as the first electrode, a gate insulating film 305 which acts as a dielectric film, and a gate electrode 307 which acts as a second electrode. In 16 denotes the reference numeral 303 Source and drain regions that are impurity doped regions.

Wenn der Aufbau des erfindungsgemäßen Transistors des Halbleiterbauelements mit dem Aufbau des erfindungsgemäßen Kondensators des Halbleiterbauelements verglichen wird, entsprechen das Siliciumsubstrat 301 und die Gate-Elektrode 307 der unteren Elektrode beziehungsweise der oberen Elektrode. Der Gate-Isolationsfilm 305 entspricht dem dielektrischen Film des Kondensators.When the structure of the transistor of the semiconductor device according to the invention is compared with the structure of the capacitor of the semiconductor device according to the invention, the silicon substrate correspond 301 and the gate electrode 307 the lower electrode or the upper electrode. The gate insulation film 305 corresponds to the dielectric film of the capacitor.

Die isolierenden Eigenschaften des dielektrischen Films werden nunmehr der Einfachheit halber unter Bezugnahme auf den Aufbau des Kondensators beschrieben, der gleiche Sachverhalt gilt jedoch auch für den Transistor.The insulating properties of the dielectric film will now be described with reference to the structure of the capacitor for the sake of simplicity, but the same thing also applies to the transistor.

17 ist eine graphische Darstellung, welche die Leckstromcharakteristik eines herkömmlichen Kondensators und eines SIS-Kondensators, für den der durch die erste erfindungsgemäße Ausführungsform gebildete dielektrische Film verwendet wird, in Abhängigkeit von der angelegten Spannung darstellt. 17 FIG. 15 is a graph illustrating the leakage current characteristics of a conventional capacitor and an SIS capacitor to which the dielectric film formed by the first embodiment of the present invention is applied depending on the applied voltage.

Speziell ist der erfindungsgemäße SIS-Kondensator (markiert mit o) der gleiche wie der herkömmliche Kondensator (markiert mit •), mit der Ausnahme, dass sich das Verfahren zur Erzeugung des dielektrischen Films des SIS-Kondensators von dem Verfahren zur Erzeugung des dielektrischen Films des herkömmlichen Kondensators unterscheidet. Wie in 17 dargestellt, zeigt der erfindungsgemäße SIS-Kondensator (o) eine Einsatzspannung, die größer als die Einsatzspannung des herkömmlichen Kondensators (•) ist, bei einer Leckstromdichte, die in einem Kondensator eines üblichen Halbleiterbauelements erlaubt werden kann, nämlich 1 × 10–7 A/cm2. Daher ist, da die Dicke des dielektrischen Films bei einem bestimmten Leckstromwert in dem erfindungsgemäßen SIS-Kondensator (o) verringert werden kann, der erfindungsgemäße SIS-Kondensator (o) für eine Erhöhung des Integrationsgrades des Halbleiterbauelements vorteilhaft.Specifically, the SIS capacitor of the present invention (marked with o) is the same as the conventional capacitor (marked with •) except that the method of forming the dielectric film of the SIS capacitor is different from the method of forming the dielectric film of the SIS capacitor different from conventional capacitor. As in 17 1, the SIS capacitor (o) according to the invention shows a threshold voltage which is greater than the threshold voltage of the conventional capacitor (•) at a leakage current density which can be allowed in a capacitor of a conventional semiconductor device, namely 1 × 10 -7 A / cm 2 . Therefore, since the thickness of the dielectric film can be reduced at a certain leakage current value in the SIS capacitor (o) of the present invention, the SIS capacitor (o) of the present invention is advantageous for increasing the degree of integration of the semiconductor device.

18 ist eine graphische Darstellung, welche die Einsatzspannung des SIS-Kondensators, in dem der durch die erste erfindungsgemäße Ausführungsform gebildete dielektrische Film verwendet wird, in Abhängigkeit von der Dicke eines äquivalenten Oxidfilms gezeigt. Da der erfindungsgemäße SIS-Kondensator stabile Isolationseigenschaften zeigt, bis die Dicke des äquivalenten Oxidfilms 3,5 nm beträgt, ist die Einsatzspannung nicht signifikant reduziert. Wenn die Dicke des äquivalenten Oxidfilms weniger als 3,5 nm beträgt, wird die Einsatzspannung schnell reduziert, und somit verschlechtern sich die Isolationseigenschaften. 18 Fig. 12 is a graph showing the threshold voltage of the SIS capacitor using the dielectric film formed by the first embodiment of the present invention, depending on the thickness of an equivalent oxide film. Since the SIS capacitor according to the invention shows stable insulation properties until the thickness of the equivalent oxide film is 3.5 nm, the threshold voltage is not significantly reduced. If the thickness of the equivalent oxide film is less than 3.5 nm, the threshold voltage is rapidly reduced, and thus the insulating properties deteriorate.

19 ist eine graphische Darstellung zum Aufzeigen der Leckstromcharakteristik eines MIS-Kondensators, für den der durch die erste erfindungsgemäße Ausführungsform gebildete dielektrische Film verwendet wurde, in Abhängigkeit von angelegten Spannungen. Als gemeinsamer Referenzwert kann bei einer Leckstromdichte von 1 × 10–7 A/cm2 und einer Spannung von 1,2 V die Dicke des äquivalenten Oxidfilms im Fall des erfindungsgemäßen MIS-Kondensators 2,65 nm betragen. Wenn die Dicke des äquivalenten Oxidfilms reduziert wird, ist dies zur Erhöhung der Integrationsdichte des Halbleiterbauelements sehr vorteilhaft. 19 Fig. 12 is a graph showing the leakage current characteristic of an MIS capacitor to which the dielectric film formed by the first embodiment of the present invention has been applied in response to applied voltages. As a common reference value, at a leakage current density of 1 × 10 -7 A / cm 2 and a voltage of 1.2 V, the thickness of the equivalent oxide film in the case of the MIS capacitor of the present invention may be 2.65 nm. If the thickness of the equivalent oxide film is reduced, this is very advantageous for increasing the integration density of the semiconductor device.

20 ist eine graphische Darstellung zum Vergleichen der Leckstromcharakteristik des MIS-Kondensators, für den der durch die erste erfindungsgemäße Ausführungsform gebildete dielektrische Film verwendet wird, mit der Leckstromcharakteristik des herkömmlichen Kondensators. Der herkömmliche Kondensator ist der gleiche wie der erfindungsgemäße MIS-Kondensator, mit der Ausnahme, dass sich der dielektrische Film des herkömmlichen Kondensators von dem dielektrischen Film des MIS-Kondensators unterscheidet. Wie in 20 gezeigt, ist eine angelegte Spannung in dem MIS-Kondensator, für den der Aluminiumoxidfilm gemäß der ersten erfindungsgemäßen Ausführungsform verwendet wird, bei einem Leckstromwert von 1fA pro Zelle größer als eine angelegte Spannung in dem herkömmlichen Kondensator, in dem ein TaO-Film oder ein NO-Film als dielektrischer Film verwendet wird. Das heißt, die Leckstromcharakteristik des erfindungsgemäßen MIS-Kondensators ist selbst in einem dünnen äquivalenten Oxidfilm besser als die Leckstromcharakteristik des herkömmlichen Kondensators. In 20 bezeichnen Zahlen in Klammern die Dicken der dielektrischen Filme. 20 Fig. 12 is a graph for comparing the leakage current characteristic of the MIS capacitor for which the dielectric film formed by the first embodiment of the present invention is used with the leakage current characteristic of the conventional capacitor. The conventional capacitor is the same as the MIS capacitor of the present invention except that the dielectric film of the conventional capacitor is different from the dielectric film of the MIS capacitor. As in 20 1, an applied voltage in the MIS capacitor using the alumina film according to the first embodiment of the present invention, at a leakage current value of 1fA per cell, is larger than an applied voltage in the conventional capacitor in which a TaO film or a NO Film is used as a dielectric film. That is, the leakage current characteristic of the MIS capacitor of the present invention is better than the leakage current characteristic of the conventional capacitor even in a thin equivalent oxide film. In 20 numbers in brackets denote the thicknesses of the dielectric films.

Die 21A und 21B sind graphische Darstellungen, die Leckstromcharakteristika in Abhängigkeit von der angelegten Spannung zeigen, wenn die Aluminiumoxidfilme gemäß der herkömmlichen Technologie und der ersten erfindungsgemäßen Ausführungsform als Deckfilme eines MIM-Kondensators verwendet werden. In den 21A und 21B bezeichnet ”∎” den MIM-Kondensator, wenn der Deckfilm nicht verwendet wird. In 21A bezeichnet ”•” einen Fall, in dem der Aluminiumoxidfilm gemäß der herkömmlichen Technologie gebildet wird, um als Deckfilm zu dienen.

Figure DE000010049257B4_0002
bezeichnet einen Fall, in dem der als Deckfilm gebildete Aluminiumoxidfilm bei 400°C mit Wasserstoff getempert wird. In 21B bezeichnet ”•” einen Fall, in dem der Aluminiumoxidfilm gemäß der ersten erfindungsgemäßen Ausführungsform gebildet wird, um als Deckfilm zu dienen.
Figure DE000010049257B4_0003
bezeichnet einen Fall, in dem der als Deckfilm gebildete Aluminiumoxidfilm bei 400°C mit Wasserstoff getempert wurde.
Figure DE000010049257B4_0004
bezeichnet einen Fall, in dem der als Deckfilm gebildet Aluminiumoxidfilm bei 700°C mit Stickstoff getempert wurde.The 21A and 21B Fig. 10 is graphs showing leakage current characteristics depending on the applied voltage when the aluminum oxide films according to the conventional technology and the first embodiment of the present invention are used as cover films of an MIM capacitor. In the 21A and 21B "∎" indicates the MIM capacitor when the cover film is not used. In 21A "" indicates a case where the aluminum oxide film is formed according to the conventional technology to serve as a cover film.
Figure DE000010049257B4_0002
denotes a case where the aluminum oxide film formed as a cover film is annealed with hydrogen at 400 ° C. In 21B "" indicates a case where the aluminum oxide film according to the first embodiment of the present invention is formed to serve as a cover film.
Figure DE000010049257B4_0003
denotes a case where the aluminum oxide film formed as a cover film was annealed with hydrogen at 400 ° C.
Figure DE000010049257B4_0004
denotes a case where the aluminum oxide film formed as a cover film was annealed with nitrogen at 700 ° C.

Wenn der MIM-Kondensator für ein Halbleiterbauelement verwendet wird, verschlechtert sich im Allgemeinen der dielektrische Film während des Wasserstoff-Tempervorgangs, der in einem nachfolgenden Legierungsprozess durchgeführt wird. Demgemäß wird der Deckfilm, der als Wasserstoffbarriere wirkt, auf dem MIM-Kondensator gebildet. Wie in 21A gezeigt, verschlechtert sich die Leckstromcharakteristik nicht, wenn der durch die erste erfindungsgemäße Ausführungsform gebildete Aluminiumoxidfilm als Deckfilm verwendet wird, da die Barriereneigenschaft nach der Durchführung der nachfolgenden Wasserstoff-Temperprozesse ausgezeichnet ist. Wenn jedoch der durch die herkömmliche Technologie gebildete Aluminiumoxdfilm als Deckfilm verwendet wird, wie in 21B gezeigt, verschlechtern Wasserstoff aus dem Wasserdampf und ein OH-Ligand die Leckstromcharakteristik des MIM-Kondensators während des Depositionsprozesses.In general, when the MIM capacitor is used for a semiconductor device, the dielectric film deteriorates during the hydrogen annealing process performed in a subsequent alloying process. Accordingly, the cover film, which acts as a hydrogen barrier, is formed on the MIM capacitor. As in 21A As shown in FIG. 4, the leakage current characteristic does not deteriorate when the aluminum oxide film formed by the first embodiment of the present invention is used as the cover film because the barrier property is excellent after performing the subsequent hydrogen annealing processes. However, when the aluminum oxide film formed by the conventional technology is used as a cover film as in 21B Hydrogen from the water vapor and an OH ligand degrade the leakage current characteristic of the MIM capacitor during the deposition process.

Zweite AusführungsformSecond embodiment

22 ist ein Flussdiagramm einer zweiten Ausführungsform des erfindungsgemäßen Verfahrens zur Erzeugung eines Dünnfilms unter Verwendung eines ALD-Verfahrens. Es wird eine Schlussbehandlung zur Verbindung der ungepaarten Bindung des Substrats 15 mit Sauerstoff mittels Sauerstoffspülen des Substrats (15 von 3), zum Beispiel des Siliciumsubstrats, mit oxidierendem Gas durchgeführt (Schritt 21). Das heißt, an jeglichen Stellen, an denen Sauerstoff an das Substrat 15 gebunden werden kann, wird Sauerstoff durch Sauerstoffspülen des Substrats (15 von 3), zum Beispiel des Siliciumsubstrats, mit oxidierendem Gas gebunden. Die ungepaarte Bindung kann mit Sauerstoff verbunden werden, das heißt Sauerstoff kann nicht nur mittels Durchführen des Sauerstoffspülens, sondern auch mittels Durchführen einer Ozon-Reinigung und Erzeugung eines Siliciumoxidfilms an jeglichen zur Verfügung stehenden Stellen an das Substrat gebunden werden. Alternativ ist es möglich, das Sauerstoffspülen bei dem Substrat 15 nicht durchzuführen. 22 FIG. 10 is a flowchart of a second embodiment of the thin film forming method of the present invention using an ALD method. FIG. It becomes a final treatment for linking the unpaired bond of the substrate 15 with oxygen by means of oxygen rinsing of the substrate ( 15 from 3 ), for example of the silicon substrate, with oxidizing gas (step 21 ). That is, in any places where oxygen to the substrate 15 Oxygen can be bound by oxygen scavenging of the substrate ( 15 from 3 ), for example, the silicon substrate, bound with oxidizing gas. The unpaired bond can be oxygenated, that is, oxygen can be bound to the substrate not only by performing oxygen scavenging, but also by performing ozone scavenging and forming a silica film at any available location. Alternatively, it is possible to oxygen purge the substrate 15 not to perform.

Nach dem Bestücken der Reaktionskammer (11 von 3) mit dem Substrat 15 wird die Prozesstemperatur der Reaktionskammer 11 zwischen 100°C und 400°C gehalten, vorzugsweise zwischen 300°C und 350°C, und der Prozessdruck der Reaktionskammer 11 wird zwischen 1 mTorr (= 0,1333 Pa) und 10.000 mTorr (= 1333,22 Pa) gehalten, wobei eine Heizvorrichtung (nicht gezeigt) verwendet wird (Schritt 23). Die Prozesstemperatur und der Prozessdruck werden in den nachfolgenden Schritten gehalten, sie können jedoch nach Bedarf geändert werden.After loading the reaction chamber ( 11 from 3 ) with the substrate 15 becomes the process temperature of the reaction chamber 11 between 100 ° C and 400 ° C, preferably between 300 ° C and 350 ° C, and the process pressure of reaction chamber 11 is maintained between 1 mTorr (= 0.1333 Pa) and 10,000 mTorr (= 1333.22 Pa) using a heater (not shown) (step 23 ). The process temperature and pressure are kept in the following steps, but they can be changed as needed.

Der erste Reaktand, wie Trimethylaluminium (Al(CH3)3; TMA), wird durch den Gaseinlass A und den Brausekopf 17 während einer ausreichend langen Zeit in die Reaktionskammer 11 injiziert, um die Oberfläche des Substrates zu bedecken, zum Beispiel während 1 ms bis 10 s, indem das erste Ventil V1 geöffnet wird, während die Prozesstemperatur und der Prozessdruck aufrechterhalten werden (Schritt 25). Dadurch wird der erste Reaktant in das mit Sauerstoff gespülte Siliciumsubstrat chemisorbiert.The first reactant, such as trimethylaluminum (Al (CH 3 ) 3 ; TMA), passes through the gas inlet A and the showerhead 17 for a sufficiently long time in the reaction chamber 11 to cover the surface of the substrate, for example, for 1 ms to 10 s, by opening the first valve V1 while maintaining the process temperature and pressure (step 25 ). This chemisorbs the first reactant into the oxygen-purged silicon substrate.

Die Reaktionskammer 11 wird mit Inertgas, wie Argon, während 0,1 Sekunden bis 100 Sekunden durch selektives Öffnen des zweiten Ventils V2 gereinigt, während die Prozesstemperatur und der Prozessdruck aufrechterhalten werden (Schritt 27). Dadurch wird der erste Reaktand entfernt, der nur physikalisch auf dem Substrat 15 abgelagert ist.The reaction chamber 11 is cleaned with inert gas such as argon for 0.1 second to 100 seconds by selectively opening the second valve V2 while maintaining the process temperature and pressure (step 27 ). This removes the first reactant, which is only physically on the substrate 15 is deposited.

Der zweite Reaktand, zum Beispiel oxidierendes Gas, das kein Hydroxid enthält, wird durch den Brausekopf 17 in die Reaktionskammer 11 injiziert, indem das dritte Ventil V3 geöffnet wird, während die Prozesstemperatur und der Prozessdruck aufrechterhalten werden (Schritt 29). N2O-, O2-, O3- oder CO2-Gas kann als zweiter Reaktand verwendet werden. Dadurch reagiert der chemisorbierte erste Reaktand mit dem zweiten Reaktanden. Demgemäß wird der erste Reaktand chemisch ausgetauscht, um einen Film aus einer atomaren Metall-Sauerstoff-Schicht zu bilden. Der zweite Reaktand reagiert nicht vollständig mit dem ersten Reaktanden. Es ist jedoch möglich, die atomare Metall-Sauerstoff-Schicht ohne Erzeugen eines Hydroxides in einem Metalloxidfilm zu bilden, wie später beschrieben wird.The second reactant, for example oxidizing gas containing no hydroxide, passes through the showerhead 17 in the reaction chamber 11 is injected by the third valve V3 is opened, while the process temperature and the process pressure are maintained (step 29 ). N 2 O, O 2 , O 3 or CO 2 gas can be used as the second reactant. As a result, the chemisorbed first reactant reacts with the second reactant. Accordingly, the first reactant is chemically exchanged to form a metal-oxygen atomic layer film. The second reactant does not react completely with the first reactant. However, it is possible to form the atomic metal-oxygen layer without generating a hydroxide in a metal oxide film as described later.

Überschüssige Reaktanden werden entfernt, indem die Reaktionskammer 11 ein zweites Mal während 0,1 Sekunden bis 100 Sekunden mit Inertgas gespült wird, während die Prozesstemperatur und der Prozessdruck aufrechterhalten werden (Schritt 31).Excess reactants are removed by passing the reaction chamber 11 purging with inert gas a second time for 0.1 second to 100 seconds while maintaining the process temperature and pressure (step 31 ).

Der dritte Reaktand, zum Beispiel ein Oxid wie Wasserdampf, wird durch den Brausekopf 17 während einer ausreichend langen Zeit in die Reaktionskammer 11 injiziert, um die Oberfläche des Substrats zu bedecken, zum Beispiel während 1 ms bis 10 s, indem ein viertes Ventil V4 geöffnet wird (Schritt 33). Dadurch reagiert der erste Reaktand, der nicht mit dem zweiten Reaktanden reagierte, mit dem dritten Reaktanden, da der dritte Reaktand aktiver mit dem ersten Reaktanden reagiert als dies der zweite Reaktand tut, und wird chemisch ausgetauscht, um weiter zur Erzeugung des Films aus einer atomaren Metall-Sauerstoff-Schicht beizutragen. Zu diesem Zeitpunkt ist ein Metalloxidfilm in Einheiten von atomaren Schichten gebildet, bei dem die Erzeugung eines Hydroxides verhindert wird, da die zur Verfügung stehende Menge an dem ersten Reaktanden durch vorheriges Reagieren des zweiten Reaktanden, der kein Hydroxid enthält, mit dem ersten Reaktanden reduziert ist.The third reactant, for example an oxide such as water vapor, passes through the showerhead 17 for a sufficiently long time in the reaction chamber 11 injected to cover the surface of the substrate, for example, for 1 ms to 10 s, by opening a fourth valve V4 (step 33 ). As a result, the first reactant that did not react with the second reactant reacts with the third reactant because the third reactant reacts more actively with the first reactant than does the second reactant, and is chemically exchanged to further produce the film from an atomic one Metal-oxygen layer contribute. At this time, a metal oxide film is formed in units of atomic layers in which the generation of a hydroxide is prevented because the available amount of the first reactant is reduced by previously reacting the second reactant containing no hydroxide with the first reactant ,

In der vorliegenden Ausführungsform ist ein Aluminiumoxidfilm (Al2O3) ein Beispiel für den Metalloxidfilm. Weitere Beispiele für Metalloxidfilme, die gemäß der Erfindung erzeugt werden können, sind jedoch ein TiO2-Film, ein ZrO2-Film, ein HfO2-Film, ein Ta2O6-Film, ein Nb2O5-Film, ein CeO2-Film, ein Y2O3-Film, ein SiO2-Film, ein In2O3-Film, ein RuO2-Film, ein IrO2-Film, ein SrTiO3-Film, ein PbTiO3-Film, ein SrRuO3-Film, ein CaRuO3-Film, ein (Ba,Sr)TiO3-Film, ein Pb(Zr,Ti)O3-Film, ein (Pb,La)(Zr,Ti)O3-Film, ein (Sr,Ca)RuO3-Film, ein (Ba,Sr)RuO3-Film, ein mit Sn dotierter In2O3(ITO)-Film sowie ein mit Zr dotierter In2O3-Film.In the present embodiment, an aluminum oxide film (Al 2 O 3 ) is an example of the metal oxide film. However, other examples of metal oxide films that can be formed according to the invention include a TiO 2 film, a ZrO 2 film, an HfO 2 film, a Ta 2 O 6 film, an Nb 2 O 5 film CeO 2 film, Y 2 O 3 film, SiO 2 film, In 2 O 3 film, RuO 2 film, IrO 2 film, SrTiO 3 film, PbTiO 3 film , a SrRuO 3 film, a CaRuO 3 film, a (Ba, Sr) TiO 3 film, a Pb (Zr, Ti) O 3 film, a (Pb, La) (Zr, Ti) O 3 - Film, a (Sr, Ca) RuO 3 film, a (Ba, Sr) RuO 3 film, a Sn doped In 2 O 3 (ITO) film and a Zr doped In 2 O 3 film.

Dann ist ein Zyklus beendet, in dem der Metalloxidfilm in Einheiten von atomaren Schichten unter Entfernen der nicht benötigten Reaktanden durch Spülen der Reaktionskammer 11 mit Inertgas für 0,1 Sekunden bis 100 Sekunden gebildet wird, während die Prozesstemperatur und der Prozessdruck aufrechterhalten werden (Schritt 35). Es ist in höchstem Maße möglich zu verhindern, dass der dritte Reaktand mit dem ersten Reaktanden reagiert, indem nach dem dritten Spülen der Reaktionskammer des weiteren ein Schritt zum Injizieren und Spülen des zweiten Reaktanden durchgeführt wird, der kein Hydroxid enthält.Then, a cycle is completed in which the metal oxide film in units of atomic layers to remove the unnecessary reactants by purging the reaction chamber 11 with inert gas for 0.1 second to 100 seconds while maintaining the process temperature and pressure (step 35 ). It is highly possible to prevent the third reactant from reacting with the first reactant by further, after the third purge of the reaction chamber, performing a step of injecting and purging the second reactant which does not contain hydroxide.

Dann wird geprüft, ob die Dicke des auf dem Substrat ausgebildeten Metalloxidfilms wie gewünscht ist, zum Beispiel zwischen 1 nm und 100 nm (Schritt 37). Wenn die Dicke des Metalloxidfilms wie gewünscht ist, wird der Schritt der Erzeugung des Metalloxidfilms beendet. Wenn der Metalloxidfilm nicht dick genug ist, werden die Schritte von dem Schritt des Injizierens des ersten Reaktanden in die Reaktionskammer bis zum Schritt des dritten Spülens der Reaktionskammer (Schritt 35) zyklisch wiederholt.Then, it is checked whether the thickness of the metal oxide film formed on the substrate is as desired, for example, between 1 nm and 100 nm (step 37 ). When the thickness of the metal oxide film is as desired, the step of forming the metal oxide film is terminated. If the metal oxide film is not thick enough, the steps from the step of injecting the first reactant into the reaction chamber until the step of third purging the reaction chamber (step 35 ) cyclically repeated.

Die 23A bis 23D illustrieren die Verbindungsbeziehung zwischen auf einem Substrat adsorbierten Reaktanden, wenn der Aluminiumoxidfilm durch ein Verfahren zur Erzeugung eines Dünnfilms unter Verwendung eines ALD-Verfahrens gemäß einer zweiten erfindungsgemäßen Ausführungsform gebildet wird. Das Substrat 15, zum Beispiel das Siliciumsubstrat, wird mit Sauerstoff gespült, wodurch die ungepaarte Bindung des Substrats 15 mit Sauerstoff verbunden wird, wie in 23A gezeigt. Das bedeutet folglich, dass an jeglichen Stellen, an denen Sauerstoff an das Substrat 15 gebunden werden kann, Sauerstoff an die Oberfläche des Substrats gebunden wird, wie in 23A gezeigt. Es ist möglich, das Substrat 15 nicht mit Sauerstoff zu spülen, wenn dies nicht notwendig ist.The 23A to 23D illustrate the bonding relationship between reactants adsorbed on a substrate when the aluminum oxide film is formed by a thin film forming method using an ALD method according to a second embodiment of the present invention. The substrate 15 For example, the silicon substrate is purged with oxygen, causing unpaired binding of the substrate 15 With Oxygen is connected as in 23A shown. This means, therefore, that in any places where oxygen to the substrate 15 Oxygen can be bound to the surface of the substrate, as in 23A shown. It is possible the substrate 15 do not flush with oxygen if this is not necessary.

Nach Injizieren von Trimethylaluminium (Al(CH3)3), welches der erste Reaktand ist, in die Reaktionskammer, deren Prozesstemperatur zwischen 100°C und 400°C gehalten wird und deren Prozessdruck zwischen 1 mTorr (= 0,1333 Pa) und 10.000 mTorr (= 1333,22 Pa) gehalten wird, wird die Reaktionskammer mit Argongas gespült. Dadurch verbleibt lediglich der erste Reaktand, der in das mit Sauerstoff gespülte Substrat chemisorbiert ist, wie in 23B gezeigt. Es werden nämlich verschiedene Formen von Bindungen, wie Si-O, Si-O-CH3 und Si-O-Al-CH3, auf dem Siliciumsubstrat gebildet.After injecting trimethylaluminum (Al (CH 3 ) 3 ), which is the first reactant, into the reaction chamber, whose process temperature is maintained between 100 ° C and 400 ° C and its process pressure is between 1 mTorr (= 0.1333 Pa) and 10,000 mTorr (= 1333.22 Pa), the reaction chamber is purged with argon gas. This leaves only the first reactant which is chemisorbed into the oxygen scavenged substrate, as in 23B shown. Namely, various forms of bonds such as Si-O, Si-O-CH 3 and Si-O-Al-CH 3 are formed on the silicon substrate.

Der zweite Reaktand, der kein Hydroxid beinhaltet, wie N2O, O2, O3 oder CO2, wird in die Reaktionskammer 11 injiziert. Wenn zum Beispiel N2O als zweiter Reaktand verwendet wird, fährt die Reaktion wie folgt fort: 3Al(CH3)3 + 3N2O → Al2O3 + Al(CH3)3 + 3C2H6 + 3N2 ↑ (5) The second reactant, which does not contain any hydroxide, such as N 2 O, O 2 , O 3 or CO 2 , is introduced into the reaction chamber 11 injected. For example, when N 2 O is used as the second reactant, the reaction continues as follows: 3Al (CH 3 ) 3 + 3N 2 O → Al 2 O 3 + Al (CH 3 ) 3 + 3C 2 H 6 + 3N 2 ↑ (5)

Wie in der chemischen Formel 5 gezeigt, wird, wenn N2O, das kein Hydroxid enthält, in Trimethylaluminium injiziert wird, Trimethylaluminium verbraucht und Al2O3 gebildet. Das heißt, der chemisorbierte erste Reaktand reagiert mit dem zweiten Reaktanden. Demgemäß wird der erste Reaktand chemisch ausgetauscht, um weiter zur Erzeugung des Films aus der atomaren Metall-Sauerstoff-Schicht beizutragen, wie in 23C gezeigt. Somit werden Bindungen der Form Si-O-Al-O auf dem Siliciumsubstrat gebildet.As shown in Chemical Formula 5, when N 2 O containing no hydroxide is injected into trimethylaluminum, trimethylaluminum is consumed and Al 2 O 3 is formed. That is, the chemisorbed first reactant reacts with the second reactant. Accordingly, the first reactant is chemically exchanged to further contribute to the formation of the film of the atomic metal-oxygen layer, as in 23C shown. Thus, Si-O-Al-O bonds are formed on the silicon substrate.

Nach dem Injizieren des dritten Reaktanden, wie Wasserdampf, in die Reaktionskammer wird die Reaktionskammer mit Argongas gespült. Dadurch reagiert der erste Reaktand, der nicht mit dem zweiten Reaktanden reagierte, mit dem dritten Reaktanden und wird verändert, um die atomare Metall-Sauerstoff-Schicht zu bilden, wie in 23D gezeigt. Zu diesem Zeitpunkt ist der Metall-Oxid-Film in Einheiten von atomaren Schichten gebildet, in denen die Erzeugung von Hydroxid verhindert ist, da die zur Verfügung stehende Menge des ersten Reaktanden durch vorheriges Reagieren des zweiten Reaktanden, der kein Hydroxid beinhaltet, mit dem ersten Reaktanden reduziert ist.After injecting the third reactant, such as water vapor, into the reaction chamber, the reaction chamber is purged with argon gas. As a result, the first reactant, which did not react with the second reactant, reacts with the third reactant and is altered to form the atomic metal-oxygen layer, as in FIG 23D shown. At this time, the metal-oxide film is formed in units of atomic layers in which the generation of hydroxide is prevented, since the available amount of the first reactant by prior reacting the second reactant containing no hydroxide, with the first Reactants is reduced.

Nunmehr wird detailliert die Art und Weise beschrieben, auf die der Aluminiumoxidfilm in Einheiten von atomaren Schichten, in denen die absolute Menge an Hydroxid gering ist, gebildet wird.The manner in which the aluminum oxide film is formed in units of atomic layers in which the absolute amount of hydroxide is small will now be described in detail.

Die Erfinder haben entdeckt, dass das unerwünschte Nebenprodukt Al(OH)3 durch die Reaktion, die durch die hemische Formel 2 repräsentiert wird, in dem Aluminiumoxidfilm enthalten ist, wenn der Aluminiumoxidfilm durch ein herkömmliches ALD-Verfahren gebildet wird. Um nach dem Nebenprodukt Al(OH)3 zu suchen, führten die Erfinder eine Röntgenstrahl-Photoelektronenspektroskopie(XPS)-Analyse des Aluminiumoxidfilms durch, der durch das herkömmliche ALD-Verfahren gebildet wurde.The inventors have discovered that the undesirable by-product Al (OH) 3 is contained in the aluminum oxide film by the reaction represented by the hemic formula 2 when the aluminum oxide film is formed by a conventional ALD method. To search for by-product Al (OH) 3 , the inventors carried out X-ray photoelectron spectroscopy (XPS) analysis of the alumina film formed by the conventional ALD method.

24 ist eine Röntgenstrahl-Photoelektronenspektroskopie(XPS)-Kurve eines durch das herkömmliche ALD-Verfahren gebildeten Aluminiumoxidfilms. In 24 bezeichnet die x-Achse die Bindungsenergie, und die y-Achse bezeichnet den Elektronenzählwert in willkürlichen Einheiten. 24 Fig. 10 is an X-ray photoelectron spectroscopy (XPS) curve of an aluminum oxide film formed by the conventional ALD method. In 24 the x-axis denotes the binding energy, and the y-axis denotes the electron count in arbitrary units.

Es ist zu erkennen, dass die rechte Seite der Kurve b etwas breiter als die rechte Seite der Kurve a ist, wenn sich die Kurven um etwa 535,1 eV herum in dem Spitzenwert des Aluminiumoxidfilms überlappen, der durch das herkömmliche ALD-Verfahren gebildet wurde. Das heißt, der durch das herkömmliche ALD-Verfahren gebildete Aluminiumoxidfilm zeigt eine Kurve (b) mit einer Breite, die größer als bei einer Kurve (a) eines reinen Aluminiumoxidfilms ist, da in dem durch das herkömmliche Verfahren gebildeten Film Al(OH)3 enthalten ist.It can be seen that the right side of the curve b is slightly wider than the right side of the curve a when the curves overlap by about 535.1 eV in the peak of the alumina film formed by the conventional ALD method , That is, the aluminum oxide film formed by the conventional ALD method shows a curve (b) having a width larger than a curve (a) of a pure alumina film, since in the film formed by the conventional method, Al (OH) 3 is included.

Daraus folgt, dass durch die Reaktion, die durch die chemische Formel 2 repräsentiert wird, eine große Menge an Al(OH)3 erzeugt wird, das Hydroxid enthält, wenn Trimethylaluminium direkt mit Wasserdampf reagiert, wie in der herkömmlichen Technologie. Daher muss die absolute Menge an Trimethylaluminium, die mit Wasserdampf reagiert, reduziert werden, um die Menge an Al(OH)3 zu reduzieren. In der vorliegenden Erfindung wird, da die absolute Menge an Trimethylaluminium durch Reagieren von Trimethylaluminium mit N2O, das kein Hydroxid enthält, und anschließendes Reagieren des verbliebenen, nicht reagierten Trimethylaluminium mit Wasserdampf reduziert ist, der Aluminiumoxidfilm in Einheiten von atomaren Schichten mit einer geringen absoluten Menge an Hydroxid gebildet.It follows that the reaction represented by the chemical formula 2 produces a large amount of Al (OH) 3 containing hydroxide when trimethylaluminum reacts directly with water vapor, as in the conventional technology. Therefore, the absolute amount of trimethylaluminum that reacts with water vapor must be reduced to reduce the amount of Al (OH) 3 . In the present invention, since the absolute amount of trimethylaluminum is reduced by reacting trimethylaluminum with N 2 O, which does not contain hydroxide, and then reacting the residual, unreacted trimethylaluminum with water vapor, the aluminum oxide film is reduced in units of atomic layers with a small amount absolute amount of hydroxide formed.

Die 25A und 25B sind graphische Darstellungen, welche die Leckstromcharakteristik von Aluminiumoxidfilmen zeigen, die durch das herkömmliche Verfahren beziehungsweise die zweite erfindungsgemäße Ausführungsform hergestellt wurden. Die Leckstromcharakteristik wird durch Anbringen des Aluminiumoxidfilms an einem Kondensator untersucht. Ein Polysiliciumfilm wird als untere Elektrode und als obere Elektrode des Kondensators verwendet. In den 25A und 25B bezeichnen erste Kurven a und c Messergebnisse für die Strommenge für eine Zelle, die durch einen dielektrischen Film fließt, wenn die untere Elektrode mit Masse verbunden ist und eine Spannung zwischen 0 V und 5 V an die obere Elektrode angelegt ist. Zweite Kurven b und d bezeichnen Messergebnisse für die Strommenge für eine Zelle, die durch den dielektrischen Film unter den gleichen Bedingungen, unter denen die erste Messung durchgeführt wurde, nach der ersten Messung fließt. Wie in 25B gezeigt, ist der Leckstrom bei einer gegebenen Spannung, zum Beispiel 2 V, im Vergleich zu dem herkömmlichen Fall von 25A bei der gleichen Spannung kleiner, wenn der durch die vorliegende Erfindung gebildete Aluminiumoxidfilm als dielektrischer Film verwendet wird, und der Abstand zwischen der ersten Kurve und der zweiten Kurve ist gering. Demgemäß ist zu erkennen, dass die Leckstromcharakteristik durch die vorliegende Erfindung verbessert wird.The 25A and 25B Fig. 10 are graphs showing the leakage current characteristic of alumina films produced by the conventional method and the second embodiment of the present invention, respectively. The leakage current characteristic is examined by attaching the aluminum oxide film to a capacitor. A polysilicon film becomes the lower electrode and the upper electrode of the capacitor used. In the 25A and 25B First curves a and c indicate measurement results of the amount of current for a cell flowing through a dielectric film when the lower electrode is connected to ground and a voltage between 0 V and 5 V is applied to the upper electrode. Second curves b and d denote measurement results of the amount of current for a cell flowing through the dielectric film under the same conditions under which the first measurement was performed after the first measurement. As in 25B is the leakage current at a given voltage, for example 2V, compared to the conventional case of 25A at the same voltage, when the aluminum oxide film formed by the present invention is used as the dielectric film, and the distance between the first curve and the second curve is small. Accordingly, it can be seen that the leakage current characteristic is improved by the present invention.

Dritte AusführungsformThird embodiment

26 ist ein Flussdiagramm für ein Verfahren zur Erzeugung eines Dünnfilms unter Verwendung eines ALD-Verfahrens gemäß einer dritten erfindungsgemäßen Ausführungsform. 27 ist ein Zeitsteuerungsdiagramm, das die Zufuhr von Reaktanden während der Erzeugung des Dünnfilms unter Verwendung des ALD-Verfahrens gemäß der dritten erfindungsgemäßen Ausführungsform zeigt. In der folgenden Beschreibung wird die Erzeugung eines Aluminiumoxidfilms als Beispiel genommen. 26 FIG. 10 is a flowchart for a method of forming a thin film using an ALD method according to a third embodiment of the present invention. FIG. 27 Fig. 10 is a timing chart showing the supply of reactants during the formation of the thin film using the ALD method according to the third embodiment of the present invention. In the following description, the generation of an alumina film is taken as an example.

Die ungepaarte Bindung des Substrats, das ein Siliciumsubstrat sein kann, wird durch Sauerstoff- oder Stickstoffspülen des Substrats 15 unter Verwendung eines oxidierenden oder nitrierenden Gases gesättigt (Schritt 41). Das heißt, an jeglichen Stellen, wo Sauerstoff an das Substrat, das ein Siliciumsubstrat sein kann, gebunden werden kann, wird durch Sauerstoff- oder Stickstoffspülen des Substrats 15 unter Verwendung eines oxidierenden oder nitrierenden Gases Sauerstoff an das Substrat gebunden. Das Sauerstoff- oder Stickstoffspülen kann nicht nur unter Verwendung der Vorrichtung zur Erzeugung eines Dünnfilms aus einer atomaren Schicht durchgeführt werden, wie sie in 3 gezeigt ist, sondern auch unter Verwendung von anderen Vorrichtungen. Außerdem kann die ungepaarte Bindung mit Sauerstoff oder Stickstoff verbunden werden, das heißt, an jeglichen Stellen, an denen Sauerstoff oder Stickstoff an das Substrat gebunden werden kann, kann dies nicht nur mittels Durchführen des Sauerstoff- oder Stickstoffspülens, sondern auch mittels Durchführen einer Ozonreinigung und Erzeugung eines Siliciumoxidfilms und eines Siliciumnitridfilms erfolgen. Es ist möglich, ggf. auf die Sauerstoff- oder Stickstoffspülung zu verzichten.The unpaired bonding of the substrate, which may be a silicon substrate, is accomplished by oxygen or nitrogen purging of the substrate 15 saturated using an oxidizing or nitriding gas (step 41 ). That is, at any point where oxygen can be bound to the substrate, which may be a silicon substrate, oxygen or nitrogen purging of the substrate 15 oxygen bound to the substrate using an oxidizing or nitriding gas. The oxygen or nitrogen purge can not be performed only by using the atomic layer thin film forming apparatus as shown in FIG 3 is shown, but also using other devices. In addition, the unpaired bond can be linked to oxygen or nitrogen, that is, wherever oxygen or nitrogen can be bound to the substrate, this can be done not only by performing the oxygen or nitrogen purge, but also by performing ozone purification and Production of a silicon oxide film and a silicon nitride film take place. It is possible, if necessary, to dispense with the oxygen or nitrogen purge.

Nach der Bestückung der Reaktionskammer 11 mit dem Substrat 15 wird die Prozesstemperatur der Reaktionskammer 11 zwischen 100°C und 400°C gehalten, vorzugsweise zwischen 300°C und 350°C, und die Prozesstemperatur der Reaktionskammer 11 wird unter Verwendung einer Heizvorrichtung (nicht gezeigt) und einer Pumpe 19 zwischen 1 mTorr (= 0,1333 Pa) und 10.000 mTorr (= 1333,22 Pa) gehalten (Schritt 43). Die Prozessbedingungen werden in den nachfolgenden Schritten aufrechterhalten, sie können jedoch bei Bedarf geändert werden.After equipping the reaction chamber 11 with the substrate 15 becomes the process temperature of the reaction chamber 11 maintained between 100 ° C and 400 ° C, preferably between 300 ° C and 350 ° C, and the process temperature of the reaction chamber 11 is using a heater (not shown) and a pump 19 between 1 mTorr (= 0.1333 Pa) and 10,000 mTorr (= 1333.22 Pa) (step 43 ). The process conditions are maintained in subsequent steps, but they can be changed as needed.

Ein erster Reaktand, wie Trimethylaluminium (Al(CH3)3: TMA), wird durch den Gaseinlass A und den Brausekopf 17 während einer ausreichend langen Zeit in die Reaktionskammer 11 injiziert, um die Oberfläche des Substrates zu bedecken, zum Beispiel zwischen 1 ms und 10 s, indem das erste Ventil V1 geöffnet wird, während die Prozessbedingungen aufrechterhalten werden (Schritt 45). Dadurch wird der erste Reaktand in das mit Sauerstoff oder Stickstoff gespülte Siliciumsubstrat chemisorbiert.A first reactant, such as trimethylaluminum (Al (CH 3 ) 3 : TMA), passes through the gas inlet A and the showerhead 17 for a sufficiently long time in the reaction chamber 11 to cover the surface of the substrate, for example between 1 ms and 10 s, by opening the first valve V1 while maintaining the process conditions (step 45 ). This chemisorbs the first reactant into the oxygen or nitrogen purged silicon substrate.

Die Reaktionskammer 11 wird ein erstes Mal durch ein Inertgas, wie Argongas, während 0,1 Sekunden bis 100 Sekunden durch selektives Öffnen des zweiten Ventils V2 gespült, während die Prozessbedingungen aufrechterhalten werden (Schritt 47). Dadurch wird jeglicher erste Reaktand entfernt, der nur physikalisch auf dem Substrat 15 abgelagert ist.The reaction chamber 11 is purged for a first time by an inert gas such as argon gas for 0.1 second to 100 seconds by selectively opening the second valve V2 while maintaining the process conditions (step 47 ). This removes any first reactant that is only physically on the substrate 15 is deposited.

Ein zweiter Reaktand, zum Beispiel oxidierendes Gas, das eine ausgezeichnete Oxidationsleistung aufweist, wie Wasserdampf, wird durch den Brausekopf 17 mittels Öffnen des dritten Ventils V3 in die Reaktionskammer 11 injiziert, während die Prozessbedingungen aufrechterhalten werden (Schritt 49).A second reactant, for example oxidizing gas, which has excellent oxidation performance, such as water vapor, passes through the showerhead 17 by opening the third valve V3 in the reaction chamber 11 injected while the process conditions are maintained (step 49 ).

Dadurch reagiert der chemisorbierte erste Reaktand mit dem zweiten Reaktanden, wobei ein Dünnfilm in Einheiten von atomaren Schichten gebildet wird, das heißt durch chemischen Austausch wird ein Aluminiumoxidfilm gebildet. CH3 von TMA reagiert nämlich mit H von H2O, wodurch CH4 gebildet wird, das entfernt wird. Al von TMA reagiert mit O von H2O, wodurch Al2O3 gebildet wird. Da der Dünnfilm aus der atomaren Schicht bei einer Temperatur von 400°C oder weniger gebildet wird, was niedrig ist, wird TMA nicht vollständig zersetzt. Demgemäß bildet eine große Menge an Verunreinigungen, wie Kohlenstoff oder OH, Bindungen in dem Aluminiumoxidfilm.As a result, the chemisorbed first reactant reacts with the second reactant to form a thin film in units of atomic layers, that is, an alumina film is formed by chemical exchange. Namely, CH 3 of TMA reacts with H of H 2 O, forming CH 4 which is removed. Al of TMA reacts with O of H 2 O, forming Al 2 O 3 . Since the thin film is formed from the atomic layer at a temperature of 400 ° C or less, which is low, TMA is not completely decomposed. Accordingly, a large amount of impurities such as carbon or OH forms bonds in the aluminum oxide film.

Jeglicher zweite Reaktand, der nicht mit dem ersten Reaktanden reagierte und in dem Substrat 15 lediglich physisorbiert ist, wird durch einen zweiten Spülvorgang der Reaktionskammer 11 mit Inertgas, wie Argongas, für 0,1 Sekunden bis 100 Sekunden entfernt, während die Prozessbedingungen aufrechterhalten werden (Schritt 51).Any second reactant that did not react with the first reactant and in the substrate 15 is merely physisorbed is, by a second flushing of the reaction chamber 11 with inert gas, such as argon gas, for 0.1 second to 100 seconds while maintaining the process conditions (step 51 ).

Ein dritter Reaktand zur Entfernung von Verunreinigungen und zum Verbessern der Stöchiometrie des Dünnfilms, zum Beispiel ein oxidierendes Gas, wie Ozon, wird durch ein viertes Ventil V4 und den Brausekopf während einer ausreichend langen Zeit in die Reaktionskammer injiziert, um die Oberfläche des Substrats zu bedecken, auf welcher der Dünnfilm gebildet wird, zum Beispiel während 1 ms bis 10 s (Schritt 53). Dadurch ist es möglich, Verunreinigungen zu entfernen, wie Kohlenstoff oder OH, die an den Dünnfilm in Einheiten von atomaren Schichten gebunden und in diesem enthalten sind, sowie das Problem zu lösen, dass in dem Aluminiumoxidfilm ein Mangel an Sauerstoff vorliegt. Demgemäß ist es möglich, einen Dünnfilm mit einer ausgezeichneten Stöchiometrie zu erzielen.A third reactant for removing impurities and improving the stoichiometry of the thin film, for example, an oxidizing gas such as ozone, is injected into the reaction chamber through a fourth valve V4 and the showerhead for a sufficiently long time to cover the surface of the substrate on which the thin film is formed, for example, for 1 ms to 10 s (step 53 ). Thereby, it is possible to remove impurities, such as carbon or OH, bound to and contained in the thin film in units of atomic layers and to solve the problem that there is a shortage of oxygen in the aluminum oxide film. Accordingly, it is possible to obtain a thin film having an excellent stoichiometry.

Ein Zyklus, während dem der Dünnfilm in Einheiten von atomaren Schichten gebildet wird, wird durch einen dritten Reinigungsvorgang der Reaktionskammer 11 mit einem Inertgas für 0,1 Sekunden bis 100 Sekunden beendet, während die Prozessbedingungen aufrechterhalten werden, wodurch der nicht reagierte, physisorbierte dritte Reaktand entfernt wird (Schritt 55).A cycle during which the thin film is formed in units of atomic layers is replaced by a third cleaning operation of the reaction chamber 11 with an inert gas for 0.1 second to 100 seconds while maintaining the process conditions, thereby removing the unreacted, physisorbed third reactant (step 55 ).

Es wird geprüft, ob der Dünnfilm in Einheiten von atomaren Schichten, der auf dem Substrat gebildet ist, die richtige Dicke hat, zum Beispiel zwischen 1 nm und 100 nm (Schritt 57). Wenn die Dicke des Dünnfilms richtig ist, wird der Prozess der Erzeugung des Dünnfilms beendet. Wenn der Dünnfilm nicht ausreichend dick ist, werden die Schritte von dem Schritt des Injizierens des ersten Reaktanden (Schritt 45) bis zu dem Schritt des dritten Spülvorgangs der Reaktionskammer (Schritt 55) zyklisch wiederholt.It is checked whether the thin film in units of atomic layers formed on the substrate has the correct thickness, for example, between 1 nm and 100 nm (step 57 ). If the thickness of the thin film is correct, the process of forming the thin film is terminated. If the thin film is not sufficiently thick, the steps from the step of injecting the first reactant (step 45 ) until the step of the third rinse of the reaction chamber (step 55 ) cyclically repeated.

In der vorliegenden Ausführungsform wird der Aluminiumoxidfilm unter Verwendung von Trimethylaluminium (Al(CH3)3: TMA) als erstem Reaktanden, Wasserdampf, das ein Oxidgas ist, als zweitem Reaktanden und Ozongas zum Entfernen der Verunreinigungen als drittem Realstanden gebildet. Es ist jedoch auch möglich, einen Titannitridfilm unter Verwendung von TiCl4 als erstem Reaktanden, NH3 als zweitem Reaktanden und Stickstoffgas zum Entfernen von Verunreinigungen und zum Verbessern der Stöchiometrie des Dünnfilms als drittem Reaktanden zu bilden.In the present embodiment, the alumina film is formed by using trimethylaluminum (Al (CH 3 ) 3 : TMA) as a first reactant, water vapor which is an oxide gas as a second reactant, and ozone gas to remove the impurities as a third reality. However, it is also possible to form a titanium nitride film using TiCl 4 as a first reactant, NH 3 as a second reactant, and nitrogen gas to remove impurities and to improve the stoichiometry of the thin film as a third reactant.

Des weiteren ist es gemäß dem Verfahren der vorliegenden Erfindung zur Erzeugung eines Dünnfilms aus einer atomaren Schicht möglich, ein einatomiges Oxid, ein zusammengesetztes Oxid, ein einatomiges Nitrid oder ein zusammengesetztes Nitrid statt eines Aluminiumoxidfilms oder eines Titannitridfilms zu bilden. TiO2, Ta2O5, ZrO2, HfO2, Nb2O5, CeO2, Y2O3, SiO2, In2O3, RuO2 und IrO2 sind Beispiele für einatomige Oxide. SrTiO3, PbTiO3, SrRuO3, CaRuO3, (Ba,Sr)TiO3, Pb(Zr,Ti)O3, (Pb,La)(Zr,Ti)O3, (Sr,Ca)RuO3, mit Sn dotiertes In2O3, mit Fe dotiertes In2O3 sowie mit Zr dotiertes In2O3 sind Beispiele für zusammengesetzte Oxide. SiN, NbN, ZrN, TiN, TaN, Ya3N5, AlN, GaN, WN sowie BN sind Beispiele für einatomige Nitride. WBN, WSiN, TiSiN, TaSiN, AlSiN sowie AlTiN sind Beispiele für zusammengesetzte Nitride.Further, according to the method of the present invention, for forming a thin film from an atomic layer, it is possible to form a monatomic oxide, a composite oxide, a monatomic nitride or a composite nitride instead of an aluminum oxide film or a titanium nitride film. TiO 2 , Ta 2 O 5 , ZrO 2 , HfO 2 , Nb 2 O 5 , CeO 2 , Y 2 O 3 , SiO 2 , In 2 O 3 , RuO 2 and IrO 2 are examples of monatomic oxides. SrTiO 3 , PbTiO 3 , SrRuO 3 , CaRuO 3 , (Ba, Sr) TiO 3 , Pb (Zr, Ti) O 3 , (Pb, La) (Zr, Ti) O 3 , (Sr, Ca) RuO 3 , doped with Sn In 2 O 3, Fe-doped In 2 O 3 and Zr-doped In 2 O 3 are examples of composite oxides. SiN, NbN, ZrN, TiN, TaN, Ya 3 N 5, AlN, GaN, BN, and WN are examples of monatomic nitrides. WBN, WSiN, TiSiN, TaSiN, AlSiN and AlTiN are examples of composite nitrides.

Ein durch das erfindungsgemäße Verfahren zur Erzeugung eines Dünnfilms unter Verwendung des ALD-Verfahrens gebildeter Film kann an Halbleiterbauelementen angebracht werden. Zum Beispiel kann der Dünnfilm als Gate-Oxidschicht, Elektrode eines Kondensators, Ätzstoppfilm, Deckfilm zur Verhinderung einer Reaktion, Antireflexfilm während eines Photolithographieprozesses, Barrierenmetallfilm, selektiver Depositionsfilm oder metallische Gate-Elektrode verwendet werden.A film formed by the method of forming a thin film using the ALD method of the present invention may be attached to semiconductor devices. For example, the thin film may be used as a gate oxide film, an electrode of a capacitor, an etch stopper film, a reaction preventing overcoat film, an antireflection film during a photolithography process, a barrier metal film, a selective deposition film, or a metallic gate electrode.

28 ist eine graphische Darstellung, welche die Dicke eines Aluminiumoxidfilms, der durch das Verfahren zur Erzeugung eines Dünnfilms aus einer atomaren Schicht gemäß der dritten erfindungsgemäßen Ausführungsform hergestellt wurde, in Abhängigkeit davon zeigt, wie oft die Schritte des Verfahrens als Zyklus wiederholt werden. Ein Zyklus umfasst die Schritte des Injizierens des ersten Reaktanden in die Reaktionskammer, des Reinigens der Reaktionskammer von dem physisorbierten ersten Reaktanden, des Injizierens des zweiten Reaktanden in die Reaktionskammer, des Reinigens der Reaktionskammer von dem physisorbierten zweiten Reaktanden, des Injizierens des dritten Reaktanden in die Reaktionskammer sowie des Reinigens der Reaktionskammer von dem physisorbierten dritten Reaktanden. Wie in 28 gezeigt, wird der Aluminiumoxidfilm leicht durch das erfindungsgemäße Verfahren zur atomaren Schichtdeposition gebildet, da der Aluminiumoxidfilm bei jedem Zyklus mit einer Dicke von 0,11 nm aufgewachsen wird und die Dicke des Aluminiumoxidfilms proportional zu der Anzahl an Zyklen linear zunimmt. 28 Fig. 12 is a graph showing the thickness of an alumina film produced by the method of forming a thin film of an atomic layer according to the third embodiment of the present invention, depending on how many times the steps of the process are repeated as a cycle. One cycle includes the steps of injecting the first reactant into the reaction chamber, purifying the reaction chamber from the physisorbed first reactant, injecting the second reactant into the reaction chamber, purifying the reaction chamber from the physisorbed second reactant, injecting the third reactant into the reaction vessel Reaction chamber and the cleaning of the reaction chamber of the physisorbed third reactant. As in 28 As shown in FIG. 2, the aluminum oxide film is easily formed by the atomic layer deposition method of the present invention, since the aluminum oxide film is grown at a thickness of 0.11 nm every cycle and the thickness of the aluminum oxide film linearly increases in proportion to the number of cycles.

29 ist eine graphische Darstellung, welche die Gleichförmigkeit eines Aluminiumoxidfilms illustriert, der durch das Verfahren zur Erzeugung eines Dünnfilms aus einer atomaren Schicht gemäß der dritten erfindungsgemäßen Ausführungsform hergestellt wurde. Die x-Achse bezeichnet die Positionen von neun Punkten: dem Mittelpunkt eines Substrates mit acht Inch, vier Punkten, die auf dem Umfang eines Kreises mit einem Durchmesser von 1,75 Inch um 90° beabstandet sind, sowie weiteren vier Punkten, die auf dem Umfang eines Kreises mit einem Durchmesser von 3,5 Inch um 90° voneinander beabstandet sind. Die y-Achse bezeichnet die Dicke des Aluminiumoxidfilms. Wie in 29 gezeigt, ist die Gleichförmigkeit des Aluminiumoxidfilms über das Substrat von acht Inch hinweg ausgezeichnet. 29 Fig. 12 is a graph illustrating the uniformity of an alumina film produced by the method of forming an atomic layer thin film according to the third embodiment of the present invention. The x-axis indicates the locations of nine points: the center of an eight inch, four point substrate spaced 90 degrees apart on the circumference of a 1.75 inch diameter circle and another four points located on the Circumference of a circle with a diameter of 3.5 inches by 90 ° from each other. The y-axis denotes the Thickness of the alumina film. As in 29 The uniformity of the aluminum oxide film over the substrate of eight inches is excellent.

Die 30A und 30B sind graphische Darstellungen zur Analysierung der Aluminium-Spitzenwerte von Aluminiumoxidfilmen unter Verwendung von XPS, die durch die herkömmliche Technologie beziehungsweise das Verfahren zur Erzeugung eines Dünnfilms aus einer atomaren Schicht gemäß der dritten erfindungsgemäßen Ausführungsform hergestellt wurden. Speziell bezeichnet die x-Achse die Bindungsenergie, und die y-Achse bezeichnet den Elektronenzählwert. In dem herkömmlichen Aluminiumoxidfilm tritt eine große Menge an Al-Al-Bindungen auf, wie in 30A gezeigt. In dem erfindungsgemäßen Aluminiumoxidfilm treten nahezu keine Al-Al-Bindungen auf, und Al-O-Bindungen sind am auffallendsten, wie in 30B gezeigt. Demgemäß ist zu erkennen, dass die Stöchiometrie des erfindungsgemäßen Aluminiumoxidfilms ausgezeichnet ist.The 30A and 30B Fig. 15 are graphs for analyzing the aluminum peak values of alumina films using XPS produced by the conventional technology and the method for producing an atomic layer thin film according to the third embodiment of the present invention, respectively. Specifically, the x-axis denotes the binding energy, and the y-axis denotes the electron count. In the conventional aluminum oxide film, a large amount of Al-Al bonds occur as in 30A shown. In the alumina film of the present invention, almost no Al-Al bonds occur, and Al-O bonds are most conspicuous as in 30B shown. Accordingly, it can be seen that the stoichiometry of the aluminum oxide film of the present invention is excellent.

Die 31A und 31B sind graphische Darstellungen zur Analysierung der Kohlenstoff-Spitzenwerte von Aluminiumoxidfilmen unter Verwendung von XPS, die durch die herkömmliche Technologie beziehungsweise das Verfahren zur Erzeugung eines Dünnfilms unter Verwendung des ALD-Verfahrens gemäß der dritten erfindungsgemäßen Ausführungsform hergestellt wurden. Speziell bezeichnet die x-Achse die Bindungsenergie, und die y-Achse bezeichnet den Elektronenzählwert. In dem herkömmlichen Aluminiumoxidfilm ist ein Kohlenstoff-Spitzenwert gezeigt, wie in 31A, was bedeutet, dass in dem Aluminiumoxidfilm eine große Menge an Kohlenstoff enthalten ist. in dem erfindungsgemäßen Aluminiumoxidfilm ist in 31B kein Kohlenstoff-Spitzenwert gezeigt. Daher ist es gemäß der Erfindung möglich, einen Aluminiumoxidfilm zu erhalten, in dem Verunreinigungen, wie Kohlenstoff, reduziert sind.The 31A and 31B Fig. 15 are graphs for analyzing the carbon peaks of alumina films using XPS produced by the conventional thin-film forming technology using the ALD method according to the third embodiment of the present invention. Specifically, the x-axis denotes the binding energy, and the y-axis denotes the electron count. In the conventional aluminum oxide film, a carbon peak is shown as in FIG 31A , meaning that a large amount of carbon is contained in the alumina film. in the aluminum oxide film according to the invention is in 31B no carbon peak shown. Therefore, according to the invention, it is possible to obtain an alumina film in which impurities such as carbon are reduced.

Vierte AusführungsformFourth embodiment

32 ist ein Flussdiagramm für ein Verfahren zur Erzeugung eines Dünnfilms aus einer atomaren Schicht gemäß einer vierten erfindungsgemäßen Ausführungsform. In 32 bezeichnen die Bezugszeichen, die identisch mit jenen von 22 sind, identische Schritte. 32 FIG. 10 is a flowchart for a method of forming a thin film of an atomic layer according to a fourth embodiment of the present invention. FIG. In 32 reference numerals identical to those of FIG 22 are, identical steps.

Die vierte Ausführungsform der Erfindung stellt eine Kombination der zweiten Ausführungsform und der dritten Ausführungsform dar. Speziell ist die vierte Ausführungsform die gleiche wie die zweite und die dritte Ausführungsform, mit der Ausnahme, dass die Reaktionskammer ein viertes Mal gespült wird (Schritt 36b), nachdem ein vierter Reaktand, zum Beispiel ein oxidierendes Gas, wie Ozongas, zur Entfernung von Verunreinigungen und zum Verbessern der Stöchiometrie des Dünnfilms durch das dritte Ventil V3 und den Brausekopf 17 während einer ausreichend langen Zeit, um die Oberfläche des Substrates zu bedecken, auf dem der Dünnfilm gebildet wird, zum Beispiel während 1 ms bis 10 s, in die Reaktionskammer injiziert wird, wie in der dritten Ausführungsform (Schritt 36a), nachdem die Reaktionskammer in der zweiten Ausführungsform ein drittes Mal gereinigt wurde.The fourth embodiment of the invention is a combination of the second embodiment and the third embodiment. Specifically, the fourth embodiment is the same as the second and third embodiments except that the reaction chamber is purged a fourth time (step 36b After a fourth reactant, for example an oxidizing gas such as ozone gas, to remove impurities and to improve the stoichiometry of the thin film through the third valve V3 and the showerhead 17 during a sufficiently long time to cover the surface of the substrate on which the thin film is formed, for example, for 1 ms to 10 seconds, is injected into the reaction chamber, as in the third embodiment (step 36a ) after the reaction chamber in the second embodiment has been cleaned a third time.

Dadurch ist es möglich, Verunreinigungen zu entfernen, wie gebundenen Kohlenstoff oder OH-Bindungen, die in dem Metalloxidfilm in Einheiten von atomaren Schichten enthalten sind, und das Problem zu lösen, dass in dem Metalloxidfilm ein Sauerstoffmangel herrscht, um so einen äußerst reinen Dünnfilm zu erhalten. Das heißt, gemäß der Erfindung ist es möglich, einen Dünnfilm mit gewünschter Qualität zu erhalten und die Dichte von Verunreinigungen zu minimieren, indem die Wahrscheinlichkeit erhöht wird, dass die hauptsächlichen Reaktanden miteinander reagieren, bevor oder nachdem sie injiziert wurden. Somit können von den hauptsächlichen Reaktanden verschiedene Verunreinigungen des Dünnfilms entfernt werden, und die Qualität des Dünnfilms kann durch eine vollständige Reaktion bei dem erfindungsgemäßen Verfahren zur Dünnfilmerzeugung durch atomare Schichtdeposition (ALD) verbessert werden.Thereby, it is possible to remove impurities such as bonded carbon or OH bonds contained in the metal oxide film in units of atomic layers, and to solve the problem that oxygen deficiency exists in the metal oxide film so as to allow an extremely pure thin film receive. That is, according to the invention, it is possible to obtain a thin film of desired quality and to minimize the density of impurities by increasing the likelihood that the major reactants will react with each other before or after they are injected. Thus, various impurities of the thin film can be removed from the main reactants, and the quality of the thin film can be improved by a complete reaction in the thin film formation method of the invention by atomic layer deposition (ALD).

Wie vorstehend erwähnt, wird in dem Verfahren zur Erzeugung eines Dünnfilms unter Verwendung eines ALD-Verfahrens gemäß einer erfindungsgemäßen Ausführungsform der Ligand des ersten Reaktanden A aufgrund einer Differenz in der Bindungsenergie separiert, ohne dass sich ein Radikal von dem zweiten Reaktanden B zu dem ersten Reaktanden A bewegt. Durch die Verbindung von Liganden wird ein leicht flüchtiges Gasphasenmaterial gebildet, und das Gasphasenmaterial wird durch Spülen entfernt. Als Ergebnis hiervon ist es mit dem erfindungsgemäßen Verfahren zur Erzeugung eines Dünnfilms unter Verwendung eines ALD-Verfahrens möglich, die in einem Dünnfilm durch Subreaktionen erzeugten Verunreinigungen zu reduzieren, da keine Bewegung der Radikale auftritt.As mentioned above, in the method of forming a thin film using an ALD method according to an embodiment of the present invention, the ligand of the first reactant A is separated due to a difference in the binding energy without causing a radical from the second reactant B to the first reactant A moves. By combining ligands, a volatile gas phase material is formed and the gas phase material is removed by rinsing. As a result, with the method of forming a thin film according to the present invention using an ALD method, it is possible to reduce impurities generated in a thin film by subreactions because there is no movement of the radicals.

In dem Verfahren zur Erzeugung eines dünnen Metalloxidfilms unter Verwendung eines ALD-Verfahrens gemäß einer weiteren erfindungsgemäßen Ausführungsform ist es möglich, die Erzeugung von Nebenprodukten, wie Hydroxid, in dem Metalloxidfilm zu verhindern, indem die absolute Menge des ersten Reaktanden durch vorheriges Reagieren des ersten Reaktanden mit einem zweiten Reaktanden reduziert wird, der kein Hydroxid enthält, und dann der erste Reaktand mit einem dritten Reaktanden reagiert wird, der ein Hydroxid enthält. Zum Beispiel ist es möglich, einen Aluminiumoxidfilm zu bilden, in welchem die absolute Menge an Hydroxid gering ist, indem die absolute Menge an Trimethylaluminium durch vorheriges Reagieren von Trimethylaluminium mit N2O reduziert wird, das kein Hydroxid enthält, und dann das Trimethylaluminium mit Wasserdampf reagiert wird.In the method of forming a thin metal oxide film using an ALD method according to another embodiment of the present invention, it is possible to prevent generation of by-products such as hydroxide in the metal oxide film by reducing the absolute amount of the first reactant by previously reacting the first reactant is reduced with a second reactant containing no hydroxide, and then the first reactant is reacted with a third reactant containing a hydroxide. For example, it is possible to form an aluminum oxide film in which the absolute amount of hydroxide is small by reducing the absolute amount of trimethylaluminum by previously reacting trimethylaluminum with N 2 O containing no hydroxide, and then the trimethylaluminum with water vapor is reacted.

Außerdem wird in dem Verfahren zur Erzeugung eines Dünnfilms unter Verwendung eines ALD-Verfahrens gemäß einer weiteren erfindungsgemäßen Ausführungsform ein dritter Reaktand zur Entfernung der Verunreinigungen und zur Verbesserung der Stöchiometrie des Dünnfilms in die Reaktionskammer injiziert, und die Reaktionskammer wird von dem dritten Reaktanden gereinigt, wenn das Verfahren zur atomaren Schichtdeposition verwendet wird. Dadurch ist es möglich, einen Dünnfilm mit einer ausgezeichneten Stöchiometrie zu erhalten, der keine Verunreinigungen enthält.Furthermore, in the method of forming a thin film using an ALD method according to another embodiment of the present invention, a third reactant for removing the impurities and improving the stoichiometry of the thin film is injected into the reaction chamber, and the reaction chamber is purged of the third reactant the atomic layer deposition method is used. Thereby, it is possible to obtain a thin film having an excellent stoichiometry containing no impurities.

Claims (21)

Verfahren zur Erzeugung eines Aluminiumoxid-Dünnfilms unter Verwendung eines Verfahrens zur atomaren Schichtdeposition (ALD), mit folgenden Schritten: – Injizieren eines ersten Reaktanden, der eine den Dünnfilm bildende Atomsorte sowie einen Liganden beinhaltet, in eine Reaktionskammer, die ein Substrat beinhaltet, so dass der erste Reaktand in das Substrat chemisorbiert wird, – Entfernen von jeglichem ersten Reaktanden, der in das Substrat lediglich physisorbiert ist, indem die Reaktionskammer mit Inertgas gespült wird, – Bilden eines Dünnfilms in Einheiten von atomaren Schichten durch eine chemische Reaktion zwischen der den Dünnfilm bildenden Atomsorte und einem zweiten Reaktanden, dessen Bindungsenergie bezüglich der den Dünnfilm bildenden Atomsorte größer als die Bindungsenergie des Liganden bezüglich der den Dünnfilm bildenden Atomsorte ist, indem der zweite Reaktand in die Reaktionskammer injiziert und der Ligand ohne Erzeugen von Nebenprodukten entfernt wird, und – Entfernen von jeglichem physisorbierten zweiten Reaktanden durch Spülen der Kammer mit Inertgas nach dem Schritt des Injizierens des zweiten Reaktanden, – wobei der erste Reaktand Al(CH3)3 ist und der zweite Reaktand ein oxidierendes Agens ist, das aus der Gruppe ausgewählt wird, die aus O3, O2-Plasma und N2O-Plasma besteht.A method of producing an alumina thin film using an atomic layer deposition (ALD) method comprising the steps of: injecting a first reactant containing a thin film forming species and a ligand into a reaction chamber including a substrate so that the first reactant is chemisorbed into the substrate, removing any first reactant physisorbed into the substrate merely by purging the reaction chamber with inert gas, forming a thin film in units of atomic layers by a chemical reaction between the thin film forming one An atomic species and a second reactant whose binding energy with respect to the thin-film forming atomic species is greater than the binding energy of the ligand with respect to the thin-film forming atomic species by injecting the second reactant into the reaction chamber and removing the ligand without generating by-products, e.g. nd - removing any physisorbed second reactant by purging the chamber with inert gas after the step of injecting the second reactant, - wherein the first reactant is Al (CH 3 ) 3 and the second reactant is an oxidizing agent selected from the group consisting of O 3 , O 2 plasma and N 2 O plasma. Verfahren nach Anspruch 1, weiter dadurch gekennzeichnet, dass die Schritte von dem Schritt des Injizierens des ersten Reaktanden bis zu dem Schritt des Entfernens von jeglichem physisorbierten zweiten Reaktanden nach Bedarf einmal oder mehrere Male wiederholt werden.The method of claim 1, further characterized in that the steps from the step of injecting the first reactant to the step of removing any physisorbed second reactant are repeated once or several times as needed. Verfahren zur Erzeugung eines Metalloxid-Dünnfilms unter Verwendung eines ALD-Verfahrens mit folgenden Schritten: – Injizieren eines ersten Reaktanden, der ein Metallreaktand ist, in eine Reaktionskammer, die ein Substrat beinhaltet, so dass der erste Reaktand in das Substrat chemisch adsorbiert wird, – Entfernen von jeglichem ersten Reaktanden, der in das Substrat lediglich physisorbiert ist, indem die Reaktionskammer mit Inertgas gespült wird, – chemisches Austauschen des chemisorbierten ersten Reaktanden, um einen Film aus einer atomaren Metall-Sauerstoff-Schicht zu bilden, indem ein zweiter Reaktand, der kein Hydroxid enthält und mit dem chemiabsorbierten ersten Reaktanden reagiert, in die Reaktionskammer injiziert wird, wobei der zweite Reaktand N2O, O2, O3 oder CO2 ist, – Entfernen von jeglichem physisorbierten zweiten Reaktanden durch Spülen der Reaktionskammer mit Inertgas, – Bilden des Dünnfilms in Form eines Metalloxidfilms in Einheiten von atomaren Schichten, indem Wasserdampf als ein vom zweiten Reaktanden verschiedener dritter Reaktand in die Reaktionskammer injiziert wird, wodurch der verbliebene chemisorbierte erste Reaktand mit dem dritten Reaktanden reagiert und so chemisch ausgetauscht wird, um weiter zur Erzeugung der atomaren Metall-Sauerstoff-Schicht beizutragen, während die Erzeugung eines Hydroxids verhindert wird, und – Entfernen von jeglichem physisorbierten dritten Reaktanden durch Spülen der Reaktionskammer mit Inertgas nach dem Schritt des Injizierens des dritten Reaktanden in die Reaktionskammer.A method of forming a metal oxide thin film using an ALD method comprising the steps of: injecting a first reactant, which is a metal reactant, into a reaction chamber containing a substrate such that the first reactant is chemisorbed into the substrate; Removing any first reactant that is merely physisorbed into the substrate by purging the reaction chamber with inert gas, - chemically exchanging the chemisorbed first reactant to form a film of an atomic metal-oxygen layer by adding a second reactant, the contains no hydroxide and reacts with the chemisorbed first reactant, injected into the reaction chamber, the second reactant being N 2 O, O 2 , O 3 or CO 2 , - removing any physisorbed second reactant by purging the reaction chamber with inert gas, Forming the thin film in the form of a metal oxide film in units of atomic shear By injecting water vapor as a third reactant other than the second reactant into the reaction chamber, the remaining chemisorbed first reactant reacts with the third reactant to be chemically exchanged to further contribute to the formation of the atomic metal-oxygen layer Generation of a hydroxide is prevented, and - removing any physisorbed third reactant by purging the reaction chamber with inert gas after the step of injecting the third reactant into the reaction chamber. Verfahren nach Anspruch 3, weiter dadurch gekennzeichnet, dass die Temperatur der Reaktionskammer von dem Schritt des Injizierens der ersten Reaktanden bis zu dem Schritt des Injizierens des dritten Reaktanden zwischen 100°C und 400°C gehalten wird.The method of claim 3, further characterized in that the temperature of the reaction chamber from the step of injecting the first reactants to the step of injecting the third reactant is maintained between 100 ° C and 400 ° C. Verfahren nach Anspruch 3 oder 4, weiter dadurch gekennzeichnet, dass der Metalloxidfilm aus der Gruppe ausgewählt ist, die aus einem Al2O3-Film, einem TiO2-Film, einem ZrO2-Film, einem HfO2-Film einem Ta2O5-Film, einem Nb2O6-Film, einem CeO2-Film, einem Y2O3-Film, einem SiO2-Film, einem In2O3-Film, einem RuO2-Film, einem IrO2-Film, einem SrTiO3-Film, einem PbTiO3-Film, einem SrRuO3-Film, einem CaRuO3-Film, einem (Ba,Sr)TiO3-Film, einem Pb(Zr,Ti)O3-Film, einem (Pb,La)(Zr,Ti)O3-Film, einem (Sr,Ca)RuO3-Film, einem (Ba,Sr)RuO3-Film, einem mit Sn dotierten In2O3(ITO)-Film sowie einem mit Zr dotierten In2O3-Film besteht.The method of claim 3 or 4, further characterized in that the metal oxide film is selected from the group consisting of an Al 2 O 3 film, a TiO 2 film, a ZrO 2 film, an HfO 2 film, a Ta 2 O 5 film, Nb 2 O 6 film, CeO 2 film, Y 2 O 3 film, SiO 2 film, In 2 O 3 film, RuO 2 film, IrO 2 Film, SrTiO 3 film, PbTiO 3 film, SrRuO 3 film, CaRuO 3 film, (Ba, Sr) TiO 3 film, Pb (Zr, Ti) O 3 film, a (Pb, La) (Zr, Ti) O 3 film, a (Sr, Ca) RuO 3 film, a (Ba, Sr) RuO 3 film, a Sn doped In 2 O 3 (ITO) - Film and a doped with Zr In 2 O 3 film consists. Verfahren nach einem der Ansprüche 3 bis 5, weiter dadurch gekennzeichnet, dass die ungepaarte Bindung der Oberfläche des Substrats durch Injizieren eines oxidierenden Gases vor dem Injizieren des ersten Reaktanden abgesättigt wird, wenn das Substrat ein Siliciumsubstrat ist.A method according to any one of claims 3 to 5, further characterized in that the unpaired bonding of the surface of the substrate by injecting an oxidizing gas before the Injecting the first reactant is saturated when the substrate is a silicon substrate. Verfahren nach einem der Ansprüche 3 bis 6, weiter dadurch gekennzeichnet, dass die Schritte vom Schritt des Injizierens des ersten Reaktanden bis zum Schritt des Entfernens von jeglichem physisorbierten dritten Reaktanden nach Bedarf einmal oder mehrere Male wiederholt werden.The method of any one of claims 3 to 6, further characterized in that the steps from the step of injecting the first reactant to the step of removing any physisorbed third reactant are repeated once or several times as needed. Verfahren nach einem der Ansprüche 3 bis 7, weiter dadurch gekennzeichnet, dass es einen Schritt zum Injizieren eines vierten Reaktanden zur Entfernung von Verunreinigungen und zur Verbesserung der Stöchiometrie des Metalloxidfilms in die Reaktionskammer nach dem Schritt des Entfernens des physisorbierten dritten Reaktanden umfasst.The method of any of claims 3 to 7, further characterized by comprising a step of injecting a fourth reactant to remove impurities and to improve the stoichiometry of the metal oxide film into the reaction chamber after the step of removing the physisorbed third reactant. Verfahren nach Anspruch 8, weiter dadurch gekennzeichnet, dass der vierte Reaktand Ozongas ist.The method of claim 8, further characterized in that the fourth reactant is ozone gas. Verfahren zur Erzeugung eines Metalloxid- oder Metallnitrid-Dünnfilms unter Verwendung eines ALD-Verfahrens, gekennzeichnet durch folgende Schritte: – Injizieren eines ersten Reaktanden in eine Reaktionskammer, die mit einem Substrat bestückt ist, so dass der erste Reaktand in das Substrat chemisch adsorbiert wird, – Entfernen von jeglichem ersten Reaktanden, der in das Substrat lediglich physisorbiert ist, indem die Reaktionskammer mit Inertgas gespült wird, – Bilden eines Dünnfilms in Einheiten von atomaren Schichten durch Injizieren eines zweiten Reaktanden in die Reaktionskammer und chemisches Austauschen des ersten Reaktanden mit dem zweiten Reaktanden, wobei der zweite Reaktand im Fall der Bildung eines Metalloxid-Dünnfilms Wasserdampf ist und im Fall der Bildung eines Metallnitrid-Dünnfilms NH3 ist, – Entfernen von jeglichem physisorbierten zweiten Reaktanden durch Spülen der Reaktionskammer mit Inertgas, – Injizieren eines vom zweiten Reaktanden verschiedenen dritten Reaktanden zur Entfernung von Verunreinigungen und zur Verbesserung der Stöchiometrie des zuvor gebildeten Dünnfilms in die Reaktionskammer, – Entfernen von jeglichem physisorbierten dritten Reaktanden durch Spülen der Reaktionskammer mit Inertgas nach dem Schritt des Injizierens des dritten Reaktanden.A method of forming a metal oxide or metal nitride thin film using an ALD method, characterized by the steps of: injecting a first reactant into a reaction chamber equipped with a substrate such that the first reactant is chemisorbed into the substrate; Removing any first reactant merely physisorbed into the substrate by purging the reaction chamber with inert gas, forming a thin film in units of atomic layers by injecting a second reactant into the reaction chamber, and chemically exchanging the first reactant with the second reactant wherein the second reactant is water vapor in the case of forming a metal oxide thin film and NH 3 in the case of forming a metal nitride thin film, removing any physisorbed second reactant by purging the reaction chamber with inert gas, injecting one different from the second reactant third reactants for removing impurities and improving the stoichiometry of the preformed thin film into the reaction chamber, removing any physisorbed third reactant by purging the reaction chamber with inert gas after the step of injecting the third reactant. Verfahren nach Anspruch 10, weiter dadurch gekennzeichnet, dass der erste Reaktand ein Metallreaktand ist und der dritte Reaktand im Fall der Bildung eines Metalloxid-Dünnfilms ein oxidierendes Gas ist.The method of claim 10, further characterized in that the first reactant is a metal reactant and the third reactant is an oxidizing gas in the case of forming a metal oxide thin film. Verfahren nach Anspruch 10 oder 11, weiter dadurch gekennzeichnet, dass der Dünnfilm ein Metalloxidfilm ist, der aus einem einatomigen Oxid oder einem zusammengesetzten Oxid besteht.A method according to claim 10 or 11, further characterized in that the thin film is a metal oxide film consisting of a monatomic oxide or a composite oxide. Verfahren nach Anspruch 12, weiter dadurch gekennzeichnet, dass das einatomige Oxid aus der Gruppe ausgewählt wird, die aus Al2O3, TiO2, Ta2O6, ZrO2, HfO2, Nb2O6, CeO2, Y2O3, SiO2, In2O3, RuO2 und IrO2 besteht.The method of claim 12, further characterized in that said monatomic oxide is selected from the group consisting of Al 2 O 3 , TiO 2 , Ta 2 O 6 , ZrO 2 , HfO 2 , Nb 2 O 6 , CeO 2 , Y 2 O 3 , SiO 2 , In 2 O 3 , RuO 2 and IrO 2 . Verfahren nach Anspruch 13, weiter dadurch gekennzeichnet, dass das zusammengesetzte Oxid aus der Gruppe ausgewählt wird, die aus SrTiO3, PbTiO3, SrRuO3, CaRuO3, (Ba,Sr)TiO3, Pb(Zr,Ti)O3, (Pb,La)(Zr,Ti)O3, (Sr,Ca)RuO3, mit Sn dotiertes In2O3, mit Fe dotiertes In2O3 sowie mit Zr dotiertes In2O3 besteht.The method of claim 13, further characterized in that said composite oxide is selected from the group consisting of SrTiO 3 , PbTiO 3 , SrRuO 3 , CaRuO 3 , (Ba, Sr) TiO 3 , Pb (Zr, Ti) O 3 , (Pb, La) (Zr, Ti) O 3, (Sr, Ca) RuO 3, Sn-doped In 2 O 3, Fe-doped In 2 O 3 and Zr-doped In 2 O 3. Verfahren nach Anspruch 10, weiter dadurch gekennzeichnet, dass der erste Reaktand ein Metallreaktand und der dritte Reaktand im Fall der Bildung eines Metallnitrid-Dünnfilms ein nitrierendes Gas ist.The method of claim 10, further characterized in that the first reactant is a metal reactant and the third reactant is a nitriding gas in the case of forming a metal nitride thin film. Verfahren nach Anspruch 10 oder 15, weiter dadurch gekennzeichnet, dass der Dünnfilm ein Metallnitridfilm ist, der aus einem einatomigen Nitrid oder einem zusammengesetzten Nitrid besteht.The method of claim 10 or 15, further characterized in that the thin film is a metal nitride film consisting of a monatomic nitride or a composite nitride. Verfahren nach Anspruch 16, weiter dadurch gekennzeichnet, dass das einatomige Nitrid aus der Gruppe ausgewählt wird, die aus SiN, NbN, ZrN, TiN, TaN, Ya3N5, AlN, GaN, WN sowie BN besteht.The method of claim 16, further characterized in that said monatomic nitride is selected from the group consisting of SiN, NbN, ZrN, TiN, TaN, Ya 3 N 5 , AlN, GaN, WN and BN. Verfahren nach Anspruch 16, weiter dadurch gekennzeichnet, dass das zusammengesetzte Nitrid aus der Gruppe ausgewählt wird, die aus WBN, WSiN, TiSiN, TaSiN, AlSiN sowie AlTiN besteht.The method of claim 16, further characterized in that the composite nitride is selected from the group consisting of WBN, WSiN, TiSiN, TaSiN, AlSiN and AlTiN. Verfahren nach einem der Ansprüche 10 bis 18, weiter dadurch gekennzeichnet, dass die ungepaarte Bindung der Oberfläche des Substrats durch Injizieren eines oxidierenden oder nitrierenden Gases vor dem Injizieren des ersten Reaktanden abgesättigt wird, wenn das Substrat ein Siliciumsubstrat ist.The method of any one of claims 10 to 18, further characterized in that the unpaired bond of the surface of the substrate is saturated by injecting an oxidizing or nitriding gas prior to injecting the first reactant when the substrate is a silicon substrate. Verfahren nach einem der Ansprüche 10 bis 19, weiter dadurch gekennzeichnet, dass die Temperatur der Reaktionskammer von dem Schritt des Injizierens des ersten Reaktanden bis zum Schritt des Injizierens des dritten Reaktanden zwischen 100°C und 400°C gehalten wird.The method of any of claims 10 to 19, further characterized in that the temperature of the reaction chamber is maintained from the step of injecting the first reactant to the step of injecting the third reactant between 100 ° C and 400 ° C. Verfahren nach einem der Ansprüche 10 bis 20, weiter dadurch gekennzeichnet, dass die Schritte von dem Schritt des Injizierens des ersten Reaktanden bis zum Schritt des Entfernens des physisorbierten dritten Reaktanden bei Bedarf einmal oder mehrere Male wiederholt werden.A method according to any one of claims 10 to 20, further characterized in that the steps from the step of injecting the first reactant to the step of removing the first reactant physisorbed third reactants may be repeated once or several times as needed.
DE2000149257 1999-10-06 2000-10-05 Process for thin film production by means of atomic layer deposition Expired - Lifetime DE10049257B4 (en)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
KR99-43056 1999-10-06
KR19990043056 1999-10-06
KR99-50902 1999-11-16
KR19990050902 1999-11-16
KR20000014824 2000-03-23
KR00-14824 2000-03-23
KR00-53415 2000-09-08
KR1020000053415A KR100343144B1 (en) 1999-10-06 2000-09-08 Thin film formation method using atomic layer deposition

Publications (2)

Publication Number Publication Date
DE10049257A1 DE10049257A1 (en) 2001-04-26
DE10049257B4 true DE10049257B4 (en) 2015-05-13

Family

ID=27483394

Family Applications (1)

Application Number Title Priority Date Filing Date
DE2000149257 Expired - Lifetime DE10049257B4 (en) 1999-10-06 2000-10-05 Process for thin film production by means of atomic layer deposition

Country Status (5)

Country Link
JP (1) JP4700181B2 (en)
CN (1) CN1234909C (en)
DE (1) DE10049257B4 (en)
GB (1) GB2355727B (en)
TW (1) TW515032B (en)

Families Citing this family (123)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US6811814B2 (en) 2001-01-16 2004-11-02 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
JP4590744B2 (en) * 2001-01-25 2010-12-01 ソニー株式会社 Nonvolatile semiconductor memory device and manufacturing method thereof
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
EP1388178A2 (en) 2001-05-14 2004-02-11 CDT Oxford Limited A method of providing a layer including a metal or silicon or germanium and oxygen on a surface
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
KR100421219B1 (en) * 2001-06-14 2004-03-02 삼성전자주식회사 Method for depositing atomic layer using organometallic complex having β-diketone ligand
DE10130936B4 (en) * 2001-06-27 2004-04-29 Infineon Technologies Ag Manufacturing process for a semiconductor device using atomic layer deposition / ALD
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US6806145B2 (en) * 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US7132373B2 (en) 2001-10-02 2006-11-07 Toto Ltd. Thin metal oxide film and process for producing the same
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
JP3941099B2 (en) * 2001-12-19 2007-07-04 ソニー株式会社 Thin film formation method
US6939801B2 (en) 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
CN101818334B (en) * 2002-01-17 2012-12-12 松德沃技术公司 ALD apparatus and method
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
AU2003238853A1 (en) 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6824816B2 (en) * 2002-01-29 2004-11-30 Asm International N.V. Process for producing metal thin films by ALD
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6825134B2 (en) 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030232501A1 (en) 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
CN100411116C (en) 2003-01-17 2008-08-13 富士通株式会社 Dielectric film forming method
US6753248B1 (en) 2003-01-27 2004-06-22 Applied Materials, Inc. Post metal barrier/adhesion film
JP4257576B2 (en) 2003-03-25 2009-04-22 ローム株式会社 Deposition equipment
KR101191222B1 (en) * 2003-04-23 2012-10-16 아익스트론 인코포레이티드 Transient enhanced atomic layer deposition
US20050067103A1 (en) 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
JP4399517B2 (en) * 2004-01-05 2010-01-20 株式会社堀場製作所 Film forming apparatus and film forming method
US7087497B2 (en) 2004-03-04 2006-08-08 Applied Materials Low-thermal-budget gapfill process
TW200603287A (en) * 2004-03-26 2006-01-16 Ulvac Inc Unit layer posttreating catalytic chemical vapor deposition apparatus and method of film formation therewith
JP4778958B2 (en) * 2004-04-15 2011-09-21 エーピーアイ ナノファブリケーション アンド リサーチ コーポレーション Manufacturing method of optical film
US20050275944A1 (en) 2004-06-11 2005-12-15 Wang Jian J Optical films and methods of making the same
US7670758B2 (en) * 2004-04-15 2010-03-02 Api Nanofabrication And Research Corporation Optical films and methods of making the same
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US20060024589A1 (en) 2004-07-28 2006-02-02 Siegfried Schwarzl Passivation of multi-layer mirror for extreme ultraviolet lithography
WO2006045885A1 (en) * 2004-10-26 2006-05-04 Asm International N.V. Method of depositing lead containing oxides films
KR100873504B1 (en) * 2004-11-30 2008-12-15 도쿄엘렉트론가부시키가이샤 Deposition Method and Deposition Apparatus and Storage Media
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7619816B2 (en) 2004-12-15 2009-11-17 Api Nanofabrication And Research Corp. Structures for polarization and beam control
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
KR100924055B1 (en) * 2005-02-17 2009-10-27 가부시키가이샤 히다치 고쿠사이 덴키 Production method for semiconductor device and substrate processing device
KR100648859B1 (en) 2005-06-07 2006-11-24 주식회사 하이닉스반도체 Method for manufacturing semiconductor device
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP4476880B2 (en) 2005-06-24 2010-06-09 株式会社東芝 Insulating film forming method, semiconductor device manufacturing method, and semiconductor device
US7579285B2 (en) * 2005-07-11 2009-08-25 Imec Atomic layer deposition method for depositing a layer
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
KR101019293B1 (en) 2005-11-04 2011-03-07 어플라이드 머티어리얼스, 인코포레이티드 Apparatus and process for plasma-enhanced atomic layer deposition
GB2432363B (en) * 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
KR20070099913A (en) * 2006-04-06 2007-10-10 주성엔지니어링(주) Method of forming oxide and oxide depositing apparatus
US7674337B2 (en) 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US8029620B2 (en) 2006-07-31 2011-10-04 Applied Materials, Inc. Methods of forming carbon-containing silicon epitaxial layers
JP4228008B2 (en) * 2006-08-23 2009-02-25 エルピーダメモリ株式会社 Manufacturing method of semiconductor device
US7521379B2 (en) 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US8158526B2 (en) 2006-10-30 2012-04-17 Applied Materials, Inc. Endpoint detection for photomask etching
US7775508B2 (en) 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
JP4735601B2 (en) * 2007-05-14 2011-07-27 ソニー株式会社 Thin film formation method using atomic layer deposition
JP5221089B2 (en) * 2007-09-19 2013-06-26 東京エレクトロン株式会社 Film forming method, film forming apparatus, and storage medium
US7678298B2 (en) 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
JP2009170439A (en) * 2008-01-10 2009-07-30 Panasonic Corp Formation method of gate insulation film
JP2009212303A (en) * 2008-03-04 2009-09-17 Hitachi Kokusai Electric Inc Substrate processing method
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
JP5513767B2 (en) * 2008-06-25 2014-06-04 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, substrate processing apparatus, and semiconductor device
KR101451716B1 (en) 2008-08-11 2014-10-16 도쿄엘렉트론가부시키가이샤 Film forming method and film forming apparatus
JP5344873B2 (en) * 2008-08-28 2013-11-20 三菱電機株式会社 Method for manufacturing silicon carbide semiconductor device
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
DE102009022900A1 (en) 2009-04-30 2010-11-18 Osram Opto Semiconductors Gmbh Optoelectronic component and method for its production
US20110083735A1 (en) * 2009-10-13 2011-04-14 Ips Ltd. Solar cell and method of fabricating the same
JP5719138B2 (en) * 2009-12-22 2015-05-13 株式会社日立国際電気 Semiconductor device manufacturing method and substrate processing method
JP5693348B2 (en) * 2010-05-28 2015-04-01 東京エレクトロン株式会社 Film forming method and film forming apparatus
WO2012014447A1 (en) 2010-07-27 2012-02-02 パナソニック株式会社 Method for fabricating nonvolatile memory device
JP5675458B2 (en) 2011-03-25 2015-02-25 東京エレクトロン株式会社 Film forming method, film forming apparatus, and storage medium
JP5882075B2 (en) 2012-02-06 2016-03-09 東京エレクトロン株式会社 Capacitor manufacturing method, capacitor, and dielectric film forming method used therefor
DE102013100818B4 (en) * 2013-01-28 2023-07-27 OSRAM Opto Semiconductors Gesellschaft mit beschränkter Haftung Optoelectronic semiconductor chip and method for producing an optoelectronic semiconductor chip
DE102013103079A1 (en) * 2013-03-26 2014-10-02 Osram Opto Semiconductors Gmbh Optoelectronic semiconductor chip and method for producing an optoelectronic semiconductor chip
JP6017361B2 (en) 2013-03-29 2016-10-26 東京エレクトロン株式会社 Film forming method and film forming apparatus
US9685542B2 (en) * 2014-12-30 2017-06-20 Qualcomm Incorporated Atomic layer deposition of P-type oxide semiconductor thin films
CN105668622B (en) * 2015-07-30 2018-01-30 四川大学 A kind of method of gas phase atomic deposition titanium dioxide coating
CN105448742B (en) * 2015-12-30 2019-02-26 东莞市义仁汽车租赁有限公司 The method of gate medium is prepared on a kind of carbofrax material
WO2018168241A1 (en) * 2017-03-16 2018-09-20 株式会社村田製作所 Lithium ion secondary battery
CN109423621A (en) * 2017-08-22 2019-03-05 北京北方华创微电子装备有限公司 A kind of novel oxidized al atomic layer precipitation equipment and its deposition method
CN108893727A (en) * 2018-06-19 2018-11-27 南昌大学 A kind of preparation method of gallium nitride/aluminium oxide nano composite corrosion proof coating
CN109545476B (en) * 2018-11-21 2020-07-14 哈尔滨工业大学 Method for improving stability of silver nanowire electrode by atomic deposition of zinc oxide
CN112063991A (en) * 2020-08-10 2020-12-11 西安交通大学 Titanium nitride film and preparation method thereof
CN112614855A (en) * 2020-12-07 2021-04-06 长江存储科技有限责任公司 Preparation method of semiconductor etched hole inner film layer and three-dimensional memory structure
KR102563298B1 (en) * 2021-01-18 2023-08-03 주식회사 유진테크 Method for removing impurities in thin film and substrate processing apparatus
CN116082066A (en) * 2022-12-28 2023-05-09 深圳市基克纳科技有限公司 Ceramic matrix-metal film product, preparation method thereof and electronic atomizer

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1999029924A1 (en) * 1997-12-09 1999-06-17 Asm Microchemistry Ltd. Method for coating inner surfaces of equipment
JPH11269652A (en) * 1998-03-14 1999-10-05 Samsung Electronics Co Ltd Production of thin film

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (en) * 1974-11-29 1977-05-31 Sateko Oy PROCEDURE AND PERFORMANCE OF LAYING BETWEEN THE STORAGE IN A LABOR PACKAGE
US5693139A (en) * 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
JP2577550B2 (en) * 1986-11-20 1997-02-05 新技術事業団 Impurity doping of III-V compound semiconductor single crystal thin films
GB2162862B (en) * 1984-07-26 1988-10-19 Japan Res Dev Corp A method of growing a thin film single crystalline semiconductor
US4767494A (en) * 1986-07-04 1988-08-30 Nippon Telegraph & Telephone Corporation Preparation process of compound semiconductor
JP2587623B2 (en) * 1986-11-22 1997-03-05 新技術事業団 Epitaxial crystal growth method for compound semiconductor
JPH0824191B2 (en) * 1989-03-17 1996-03-06 富士通株式会社 Thin film transistor
JPH042699A (en) * 1990-04-18 1992-01-07 Mitsubishi Electric Corp Growing of crystal
JPH08255795A (en) * 1995-03-15 1996-10-01 Sony Corp Method and apparatus for manufacturing semiconductor
US6090442A (en) * 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
KR100275738B1 (en) * 1998-08-07 2000-12-15 윤종용 Method for producing thin film using atomatic layer deposition

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1999029924A1 (en) * 1997-12-09 1999-06-17 Asm Microchemistry Ltd. Method for coating inner surfaces of equipment
JPH11269652A (en) * 1998-03-14 1999-10-05 Samsung Electronics Co Ltd Production of thin film

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
"Comparative Study of Al2O3 Optical Crystalline Thin Films Grown by Vapor Combinations of Al(CH3)3/N2O and Al(CH3)3/H2O2", Kumagai, H. et al., Jpn. J. Appl. Phys. Band 32 (1993), Seite 6137-6140. *
"Substrate dependence on the optical properties of Al2O3 films grownby atomic layer deposition", Y. Kim et al., Appl. Phys. Lett. 71(25), Seite 3604-3606. *
JP 11-269652 A (in Form der elektronischen Übersetzung)

Also Published As

Publication number Publication date
CN1234909C (en) 2006-01-04
GB0024571D0 (en) 2000-11-22
GB2355727A (en) 2001-05-02
DE10049257A1 (en) 2001-04-26
CN1292431A (en) 2001-04-25
JP2001152339A (en) 2001-06-05
GB2355727B (en) 2004-04-14
JP4700181B2 (en) 2011-06-15
TW515032B (en) 2002-12-21

Similar Documents

Publication Publication Date Title
DE10049257B4 (en) Process for thin film production by means of atomic layer deposition
DE102007002962B3 (en) Method for producing a dielectric layer and for producing a capacitor
DE10132882B4 (en) A method of forming a thin film using atomic layer deposition
DE60027401T2 (en) RADICAL ASSISTED SEQUENTIAL GAS PHASE DEPOSITION
DE102006000615B4 (en) A method of forming a semiconductor device with a dielectric layer
DE60211940T2 (en) INTEGRATION OF NITROGEN IN A DIELECTRIC FILM WITH HIGH K
DE60315850T2 (en) METHOD FOR THE PRODUCTION OF SILICON-NITRIDE FILMS AND SILICON-OXINITRIDE FILMS BY THERMAL CHEMICAL EVAPORATION
DE4229568C2 (en) Process for the deposition of thin titanium nitride layers with low and stable volume resistivity
DE10137088B4 (en) A method of forming silicon-containing thin films by atomic layer deposition using aminosilanes
DE60224379T2 (en) Method to deposit a dielectric layer
DE60112354T2 (en) CVD SYNTHESIS OF SILICON NITRIDE MATERIALS
JP4823260B2 (en) Thin film formation method using atomic layer deposition
DE10065454B4 (en) A method of producing an aluminum oxide film for use in a semiconductor device
US20070093018A1 (en) Dielectric material forming methods and enhanced dielectric materials
DE112005002160T5 (en) Thin film capacitor and method of forming the same and computer readable storage medium
DE10022425A1 (en) Semiconductor device and method for manufacturing the same
DE19853598A1 (en) Manufacture of thin film by atomic layer deposition
DE19820147A1 (en) Process for forming a conductive layer using an atomic layer deposition process
DE10123858A1 (en) Process for forming silicon-containing thin layers by atomic layer deposition using SI2CL6 and NH3
DE10392519T5 (en) A system for depositing a film on a substrate using a low vapor pressure gas precursor
KR20210021408A (en) Fluorine-containing conductive films
US6562678B1 (en) Chemical vapor deposition process for fabricating layered superlattice materials
DE102004016162A1 (en) Process for forming a metal oxide film
US20130059066A1 (en) Method of forming strontium titanate films
EP0928498B1 (en) Method for producing a titanium monophosphide layer and its use

Legal Events

Date Code Title Description
8110 Request for examination paragraph 44
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final
R071 Expiry of right