JP4700181B2 - Thin film formation method using atomic layer deposition - Google Patents

Thin film formation method using atomic layer deposition Download PDF

Info

Publication number
JP4700181B2
JP4700181B2 JP2000307849A JP2000307849A JP4700181B2 JP 4700181 B2 JP4700181 B2 JP 4700181B2 JP 2000307849 A JP2000307849 A JP 2000307849A JP 2000307849 A JP2000307849 A JP 2000307849A JP 4700181 B2 JP4700181 B2 JP 4700181B2
Authority
JP
Japan
Prior art keywords
reactant
thin film
oxide film
aluminum oxide
atomic layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2000307849A
Other languages
Japanese (ja)
Other versions
JP2001152339A (en
Inventor
榮 寛 金
泳 旭 朴
載 順 林
城 濟 崔
相 ▲忍▼ 李
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from KR1020000053415A external-priority patent/KR100343144B1/en
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of JP2001152339A publication Critical patent/JP2001152339A/en
Application granted granted Critical
Publication of JP4700181B2 publication Critical patent/JP4700181B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/409Oxides of the type ABO3 with A representing alkali, alkaline earth metal or lead and B representing a refractory metal, nickel, scandium or a lanthanide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/16Oxides
    • C30B29/20Aluminium oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02192Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing at least one rare earth metal element, e.g. oxides of lanthanides, scandium or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02197Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides the material having a perovskite structure, e.g. BaTiO3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、薄膜形成方法に係り、より詳細には、原子層蒸着法(AtomicLayer Deposition,ALD)を用いた薄膜形成方法に関する。
【0002】
【従来の技術】
一般的に、薄膜は、半導体素子の誘電膜、液晶表示素子の透明な導電体及び電子発光薄膜表示素子の保護層など、各種の用途で使われる。前記薄膜はゾル−ゲル法、スパッタリング法、電気メッキ法、蒸気法、化学気相蒸着法、ALD法等により形成されている。
【0003】
中でも、ALD法は、化学気相蒸着法に比べて優れた段差被覆性が得られ、かつ低温工程が可能であるという長所をもっている。このようなALD法は、熱分解ではなく、各反応物の周期的な供給を通じた化学的置換により反応物を分解して薄膜を形成する方法である。ここでは、従来の原子層蒸着法を用い、半導体素子の誘電膜として用いられるアルミニウム酸化膜を形成する方法について詳細に説明する。
【0004】
図1は、従来の原子層蒸着法を用いたアルミニウム酸化膜の形成過程を説明するためのフローチャートであり、図2Aないし図2Dは、図1に示したアルミニウム酸化膜の形成時の反応メカニズムを説明するために示す図である。
【0005】
具体的には、まず、基板(S)の搬入された反応チャンバ(図示せず)に第1反応物(A)、すなわち、薄膜をなすアルミニウム(a1)とメチルリガンド(a2)よりなるトリメチルアルミニウム(Al(CH33、「TMA」と称する)を注入する(ステップ1)。
【0006】
次に、物理吸着されている第1反応物(A)を不活性ガスのパージにより除去する(ステップ3)。これにより、図2Aに示されたように、基板(S)上に第1反応物(A)が化学吸着される。
【0007】
次に、第1反応物(A)の化学吸着された反応チャンバに第2反応物(B)、すなわち、酸素(b1)と水素ラジカル(b2)よりなる水蒸気(H2O)を注入する(ステップ5)。これにより、図2Bに示されたように、第2反応物(B)が第1反応物(A)に化学吸着される。
【0008】
ここで、前記化学吸着された第2反応物(B)の水素ラジカル(b2)は、図2Cに示されたように、第1反応物(A)のメチルリガンド(a2)に移動して第1反応物(A)からメチルリガンドが分離される。すると、下記化学式(1)及び図2Dに示されたように、前記移動した第2反応物(B)の水素ラジカル(b2)が分離された第1反応物(A)のメチルリガンド(a2)と反応してCH4よりなる揮発性の気相物質(D)を形成する。そして、基板(S)上には第1反応物(A)のアルミニウム(a1)及び第2反応物(B)の酸素(b1)の反応によりアルミニウム酸化膜(C)が形成される。この反応を化学式で示すと、下記(1)式のとおりである。
【0009】
2Al(CH33+3H2O→Al23+6CH4 …(1)
次に、前記CH4よりなる揮発性の気相物質(D)と反応してない水蒸気は不活性ガスのパージによって除去する(ステップ7)。
【0010】
次に、前記形成されたアルミニウム酸化膜(C)の厚さが適宜であるかどうかを確認し(ステップ9)、必要ならば前記ステップ1からステップ7までの段階を周期的に繰り返し行う。
【0011】
ところが、従来のALD法は、水素ラジカル(b2)の移動によってメチルリガンド(a2)が除去されるため、下記化学式2に示されたように、水素ラジカル(b2)の移動に応じて残存するOHラジカルによって副反応が発生する。この反応を化学式で示すと、下記(2)式のとおりである。
【0012】
Al(CH33+3H2O→Al(OH)3+3CH4 …(2)
このように副反応が発生する場合、アルミニウム酸化膜(C)内に好ましくない不純物、例えば、Al(OH)3が含まれる。このようにAl(OH)3などの不純物が含まれると、所望の薄膜特性が得られなくなる。特に、含Al(OH)3の前記アルミニウム酸化膜を半導体素子の誘電膜に適用する場合電子のトラップサイトや電流リークサイトとして作用し、誘電膜の性質を低下させる結果となる。
【0013】
【発明が解決しようとする課題】
本発明は、上記事情に鑑みて成されたものであり、その目的は、原子層蒸着法を用いる場合に、好ましくない不純物の形成を抑止して化学量論に優れた薄膜が得られる薄膜形成方法を提供することである。
【0014】
【課題を解決するための手段】
前記目的を達成するために、本発明による原子層蒸着法を用いた薄膜形成方法は、基板を含む反応チャンバに、薄膜をなす元素及びリガンドを含む第1反応物を注入して、前記基板上に第1反応物を化学吸着させる。次に、前記反応チャンバを不活性ガスでパージして物理吸着された第1反応物を除去する。続いて、前記反応チャンバに前記薄膜をなす元素との結合エネルギーが前記リガンドよりも大きい第2反応物を注入して前記薄膜をなす元素及び第2反応物の化学反応によって原子層単位の薄膜を形成すると共に、副反応物の生成なしに前記リガンドを除去することを特徴とする。
【0015】
特に、本発明では、第2反応物(B)から第1反応物(A)へのラジカルの移動なしに結合エネルギーの違いにより第1反応物(A)のリガンドが分離される。そして、リガンド間の結合によって揮発性の気相物質が形成され、この気相物質はパージにより除去される。これにより、本発明では、ラジカルの移動がないため副反応による薄膜内の不純物の生成を抑止でき、その結果、優れた化学量論的な薄膜を得ることができる。
【0020】
本発明によると、水酸化基などの好ましくない副産物の生成を防止ないし抑止して不純物を含むことなく、かつ化学量論に優れた薄膜を得ることができる。
【0021】
【発明の実施の形態】
以下、添付した図面に基づき、本発明の実施の形態について詳細に説明する。
しかし、後述する本発明の実施の形態は各種の形態に変形でき、本発明の範囲が後述する実施の形態に限定されることはない。本発明の実施の形態は当業界における通常の知識を有した者に本発明をより完全に説明するために提供されるものである。なお、図中、膜または領域の大きさまたは厚さは明細書の明確性のために誇張されている。また、ある膜が他の膜または基板の「上」にあると記載されている場合、前記ある膜が前記他の膜の上に直接的に存在することもできれば、その間に第3の膜を介在されることもできる。
【0022】
図3は、本発明の原子層蒸着法を用いた薄膜形成方法に用いられる原子層薄膜形成装置を説明するための概略図である。
【0023】
この原子層薄膜形成装置は、外部のヒーター(図示せず)により加熱される反応チャンバ11と、基板15、例えばシリコン基板が置かれるように前記反応チャンバ11の底面に設けられたサセプタ13と、反応ガスが前記反応チャンバ11の内部に注入されるように前記サセプタ13の上部に設けられたシャワーヘッド17と、前記反応チャンバ11の内部の圧力を調節するために前記反応チャンバ11と連結された真空ポンプ19とを具備する。
【0024】
前記シャワーヘッド17には、互いに別々の2本のガス注入管A及びBが連結されている。そして、このシャワーヘッド17には、第1反応物、不活性ガス、第2反応物及び第3反応物が注入される。第1反応物は金属反応物であり、不活性ガスは窒素ガスやアルゴンガスであり、第2反応物は水酸化基を含んでいない酸化ガス、例えばN2O、O2、O3またはCO2ガス、または水蒸気であり、第3反応物は水蒸気や活性化した酸化剤であって、酸素ラジカルを含んでいる物質、例えば、オゾン、プラズマO2、プラズマN2Oである。図3において、便宜上第2反応物及び第3反応物を別々に構成したが、単一に構成しても良い。
【0025】
そして、第1反応物及び不活性ガスは、前記ガス注入管Aを通じて反応チャンバ11の内部に注入され、第2反応物及び第3反応物は、前記ガス注入管Bを通じて反応チャンバ11の内部に注入される。ここで、前記第1反応物と第2反応物及び第3反応物のガス管を別々にしたのは、単一のガス管(AまたはB)内での反応物間の反応を抑止するためである。
【0026】
前記第1反応物及び前記不活性ガスは、各々第1弁V1及び第2弁V2により反応チャンバ11の内部への注入が制御され、前記第2反応物及び第3反応物は各々第3弁V3、第4弁V4によって前記反応チャンバ11の内部への注入が制御される。
【0027】
以下、図3の原子層薄膜形成装置を用いた薄膜形成方法の各種の実施の形態について説明する。
【0028】
第1の実施の形態
図4Aないし図4Dは、本発明を適用した第1の実施の形態による原子層蒸着法を用いた薄膜形成方法の反応メカニズムを説明するための図面である。
【0029】
まず、基板(図3の15)、例えばシリコン基板の搬入された反応チャンバ11に、薄膜をなす元素(a1)とリガンド(a2)よりなる第1反応物(A)を注入して基板15上に第1反応物(A)を化学吸着させた後、物理吸着されている第1反応物(A)を不活性ガスのパージにより除去する(図4A参照)。
【0030】
次に、第1反応物(A)の吸着された反応チャンバ11に第2反応物(B)を注入する。これにより、第2反応物(B)は第1反応物(A)に化学吸着される。ここで、前記第2反応物(B)としては、第1反応物(A)との反応性が大きい不完全な物質を用いる。そして、第2反応物(B)としては、前記第2反応物(B)と第1反応物(A)の薄膜をなす元素(a1)との結合エネルギーが前記第1反応物(A)の薄膜をなす元素(a1)とリガンド(a2)との結合エネルギーよりも大きい物質を用いる(図4B参照)。
【0031】
図4Cを参照すれば、前記第2反応物(B)と第1反応物(A)の薄膜をなす元素(a1)との結合エネルギーが前記第1反応物(A)の薄膜をなす元素(a1)とリガンド(a2)との結合エネルギーよりも大きいため、第2反応物(B)は第1反応物(A)の薄膜をなす元素(a1)と結合しようとし、かつ第1反応物(A)からリガンド(a2)は分離される。
【0032】
次に、前記第1反応物(A)から分離されたリガンド(a2)は不安定な状態であるため、リガンド(a2)間の結合によって揮発性の気相物質(D)を形成する。そして、基板15上には第1反応物(A)の薄膜をなす元素(a1)及び第2反応物(B)の反応によって原子層単位の薄膜(C)が形成される。前記揮発性の気相物質(D)は不活性ガスのパージによって除去される(図4D参照)。
【0033】
次に、図4Aないし図4Dに示された結合エネルギーの違いを用いた薄膜形成方法をアルミニウム酸化膜の形成過程に適用した場合を例にとって説明する。
【0034】
図5は、前記第1の実施の形態によるアルミニウム酸化膜の形成過程を説明するためのフローチャートであり、図6Aないし図6Dは、図5の原子層蒸着法を用いてアルミニウム酸化膜を形成するときの反応メカニズムを説明するための図面である。
【0035】
まず、基板(図3の15)、例えばシリコン基板の搬入された反応チャンバ11に、第1反応物として薄膜をなすアルミニウム(a1)とメチルリガンド(a2)よりなるトリメチルアルミニウム(Al(CH33、TMA:「A」)を注入する(ステップ101)。次に、物理吸着されているTMAを不活性ガスで1次パージして除去する(ステップ103)。これにより、図6Aに示されたように、基板15上にTMAが化学吸着される。
【0036】
次に、TMAの吸着された反応チャンバ11に、第2反応物として活性化した酸化剤であるオゾン(B)を注入する(ステップ105)。これにより、オゾン(B)は、図6Bに示されたように、TMAのアルミニウム(a1)に化学吸着される。
【0037】
ここで、前記オゾン(B)は、TMAとの反応性が大きく、かつ不完全な物質である。そして、前記オゾン(B)はTMAのアルミニウム(a1)との結合エネルギーが約540kJ/molであって、前記TMAのアルミニウム(a1)とメチルリガンド(a2)との結合エネルギー(例えば、Al−C結合エネルギー)である255kJ/molよりも大きい物質である。前記オゾン(B)とTMAの薄膜をなす元素であるアルミニウム(a1)との結合エネルギーが前記TMAの薄膜をなす元素であるアルミニウム(a1)とメチルリガンド(a2)との結合エネルギーよりも大きいため、図6Cに示されたように、TMAからメチルリガンド(a2)が分離される。
【0038】
また、前記TMAから分離されたメチルリガンド(a2)は不安定な状態であるため、図6Dに示されたように、メチルリガンド(a2)間の結合によってC26よりなる揮発性の気相物質(D)を形成する。そして、基板15上にはTMAの薄膜をなすアルミニウム(a1)とオゾン(B)との反応によって、下記化学式(3)に示されたように原子層単位のアルミニウム酸化膜(C)が形成される。
【0039】
2Al(CH33+O3→Al23+3C26 …(3)
次に、前記反応チャンバを不活性ガスで2次パージして前記の揮発性の気相物質(D)と反応してないメチルリガンド(a2)を除去する(ステップ107)。続いて、前記形成されたアルミニウム酸化膜の厚さが適宜であるかどうかを確認し(ステップ109)、必要ならば前記ステップ101からステップ107までの段階を周期的に繰り返し行う。
【0040】
この実施の形態では、第2反応物としてオゾンを使用したが、紫外線を使ってオゾンをさらに活性化させることもできる。また、前記活性化した酸化剤として、オゾンに代えて、下記化学式(4)に示されたように、プラズマO2やプラズマN2Oを使用することもできる。

Figure 0004700181
図7及び図8は各々、従来の技術及び本発明の第1の実施の形態によってアルミニウム酸化膜を形成するときのRGA(Residual gas analysis)データを示すグラフである。図7及び図8において、矢印で表示された区間がアルミニウム酸化膜が形成される区間である。
【0041】
前述したように、第2反応物(B)と第1反応物(A)との反応メカニズムに応じて除去されるリガンドの形態が異なるため、工程中に発生する物質も異なってくる。すなわち、従来は、図7のように、第1反応物(A)としてTMAを、そして第2反応物(B)として水蒸気(H2O)を使用する場合には、水蒸気から水素ラジカルを受けて生成されたCH3 +、CH4 +が主な副産物として検出される。これに対し、本発明による第1の実施の形態により、図8のように、第1反応物(A)としてTMAを、そして第2反応物(B)としてオゾンを使用する場合にはCH3リガンドが除去されて、C25 +やC26 +が副産物として検出されることが分かる。
【0042】
図9は、従来の技術及び本発明の第1の実施の形態によりアルミニウム酸化膜を形成する場合におけるサイクル数によるアルミニウム酸化膜の厚さを示すグラフである。
【0043】
原子層蒸着法は、表面調節工程であるため、各反応物の供給サイクル数により蒸着される薄膜の厚さが決定される。すなわち、サイクルに応じて線形的に厚さが増大するなら、原子層蒸着法により薄膜が形成されるということを意味する。図9に示されたように、従来及び本発明共に線形的に厚さが増大するため、原子層蒸着法を用いて薄膜が形成されるということが分かる。
【0044】
ところが、第2反応物(B)として水蒸気を用いる従来技術(●にて表示)とオゾンを用いる本発明(○にて表示)との間には、潜伏サイクルの違いが見られる。すなわち、本発明では潜伏サイクルなしに初期サイクルから蒸着がなされるのに対し、従来技術では12サイクルの潜伏期間が経過してから薄膜が蒸着される。このことは、初期界面が異質的な反応によって形成されるので、本発明の場合がより安定的にアルミニウム酸化膜が形成されるということを意味する。
【0045】
図10は、従来及び本発明の第1の実施の形態によって形成されたアルミニウム酸化膜の温度によるストレス履歴を示すグラフである。
【0046】
第1反応物(A)としてTMAを、そして第2反応物(B)として水蒸気を用いて形成された従来のアルミニウム酸化膜のストレス履歴(□にて表示)は、ストレスの形態が450℃で引張ストレスから圧縮ストレスに変わる。これに対し、第1反応物AとしてTMAを、そして第2反応物(B)としてオゾンを用いて形成された本発明のアルミニウム酸化膜のストレス履歴(●にて表示)は、全温度範囲に亘って引張ストレスにストレスモードが変わらないため、膜そのものが熱に対してより安定的であることが分かる。
【0047】
図11は、従来の技術及び本発明の第1実施の形態によって形成されたアルミニウム酸化膜の後アニーリング条件による厚さ収縮率を示すグラフである。
【0048】
図11のX軸においてN450、N750、N830は各々450℃、750℃、830℃の窒素雰囲気下で後アニーリングを行ったサンプルであり、O450、O750、O830は各々450℃、750℃、830℃の酸素雰囲気下で後アニーリングを行ったサンプルであり、RTOは850℃で急速熱酸化させたサンプルである。従来の技術及び本発明の第1実施の形態によって形成されたアルミニウム酸化膜は、後アニーリングの温度及びガス条件によって厚さ収縮率(厚さ減少率)が大きく変わらないことが分かる。
【0049】
図12及び図13は各々、従来の技術及び本発明の第1実施の形態によって形成されたアルミニウム酸化膜の波長による吸収常数及び屈折率を示すグラフである。
【0050】
従来の技術及び本発明の第1実施の形態によって形成されたアルミニウム酸化膜は、図12に示されたように、180〜900nmの広い波長帯で吸収常数が0.005以下の値を有する優れた透明度を表す。そして、従来の技術及び本発明の第1実施の形態によって形成されたアルミニウム酸化膜の屈折率は、図13に示されたように、180〜900nmの広い波長帯で大きく変わらないことが分かる。
【0051】
図14は、従来の技術及び本発明の第1実施の形態によって形成されたアルミニウム酸化膜の後アニーリング温度及び雰囲気ガスによる湿式エッチング速度を各々示すグラフである。
【0052】
図14のX軸において、「as−dep」は基板上に蒸着した後にアニーリングしていないサンプルであり、N450、N750、N830は各々450℃、750℃、830℃の窒素雰囲気下で後アニーリングを行なったサンプルである。また、O450、O750、O830は各々450℃、750℃、830℃の酸素雰囲気下で後アニーリングを行ったサンプルであり、RTPは850℃の酸素雰囲気下で急速熱酸化させたサンプルである。そして、Y軸は、各々のサンプルに対して200:1のHF溶液で湿式エッチングを行ったときのエッチング速度を表す。
【0053】
図14に示されたように、従来の技術及び本発明の第1実施の形態によって形成されたアルミニウム酸化膜は、アニーリング条件に無関係にアニーリング温度が増大するに従って湿式エッチング速度が落ちる。特に、800℃以上で後アニーリングを行えば、エッチング速度が2〜3Å/minに急減する。また、800℃以下で後アニーリングを行えば、本発明の第1の実施の形態によるアルミニウム酸化膜のエッチング速度が従来に比べて約30%小さくなることが分かる。これより、オゾンを酸化ガスとして用いる場合がH2Oを酸化ガスとして用いる場合よりも化学的に安定していることが分かる。
【0054】
以下、本発明の第1の実施の形態によって形成されたアルミニウム酸化膜を半導体素子に採用した場合について説明する。
【0055】
図15は、本発明の第1の実施の形態によって形成された誘電膜が採用された半導体素子のキャパシタ構造を示す断面図である。
【0056】
本発明の第1の実施の形態によって形成された誘電膜が採用された半導体素子のキャパシタは、基板201、例えばシリコン基板上に形成された下部電極205、誘電膜207及び上部電極209を含む。図15中、参照番号203は層間絶縁膜であり、参照番号211はキャパシタの上部電極上に形成されるキャッピング膜である。
【0057】
以下、前記上部電極209及び下部電極205の両方を不純物のドーピングされたポリシリコン膜で構成し、かつ誘電膜207を本発明の第1の実施の形態によって形成されたアルミニウム酸化膜で構成したキャパシタを「SISキャパシタ」と呼ぶ。そして、前記下部電極205を不純物のドーピングされたポリシリコン膜で構成し、誘電膜207を本発明の第1の実施の形態によって形成されたアルミニウム酸化膜で構成し、上部電極209はTiN膜で構成した場合を「MISキャパシタ」と呼ぶ。また、前記上部電極209及び下部電極205の両方を白金族貴金属膜、例えばPt、Ru等で構成し、誘電膜207を絶縁膜、例えばタンタル酸化膜やBST(BaSrTiO3)膜で構成したキャパシタを「MIMキャパシタ」と呼ぶ。
【0058】
図16は、本発明の第1の実施の形態によって形成された誘電膜が採用された半導体素子のトランジスタ構造を示す断面図である。
【0059】
本発明の第1の実施の形態による誘電膜が採用された半導体素子は、第1電極としてリン、ひ素、ボロン、フッ素などの不純物がドーピングされたシリコン基板301と、誘電膜としてゲート絶縁膜305と、第2電極としてゲート電極307とを具備する。図16中、参照番号303は不純物ドーピング領域であって、ソースまたはドレイン領域を表す。
【0060】
ここで、本発明の半導体素子のトランジスタ構造は、キャパシタ構造と比較するとき、シリコン基板301は下部電極に対応し、ゲート電極307は上部電極に対応する。また、前記ゲート絶縁膜305はキャパシタの誘電膜に対応する。
【0061】
次に、説明の便宜上キャパシタ構造を参照して誘電膜の絶縁特性を説明するが、トランジスタ構造でも同一に適用できる。
【0062】
図17は、従来のキャパシタ及び本発明の第1の実施の形態によって形成された誘電膜が採用されたSISキャパシタの印加電圧による漏れ電流特性を説明するために示すグラフである。
【0063】
本発明のSISキャパシタ(○にて表示)は、従来のキャパシタ(●にて表示)と比較して、誘電膜の形成方法を異ならしめたことを除いては同一に構成した。図17に示されたように、一般的な半導体素子のキャパシタで許容可能な漏れ電流密度である1E−7A/cm2において、本発明のSISキャパシタは従来のキャパシタよりも約0.4Vだけ印加電圧を大きくできることが分かる。したがって、本発明のSISキャパシタは一定の漏れ電流値で誘電膜の厚さをより低くでき、半導体素子の集積化に有利である。なお、漏れ電流密度「1E−7」などは、「1×10-7」などを示すものである。これは本明細書および図面において同様である。
【0064】
図18は、本発明の第1実施の形態によって形成された誘電膜が採用されたSISキャパシタの等価酸化膜によるリーク電流密度が1E−7A/cm2以上になる絶縁特性を示す離陸電圧のグラフである。なお、離陸電圧とは前記図17のように、低部傾斜が急激に変わるような特性を示す電圧のことである。
【0065】
図18に示したように、本発明によるSISキャパシタは、等価酸化膜の厚さが35Åまでは安定的な絶縁特性を示すため、離陸電圧があまり減少しない。そして、等価酸化膜の厚さが35Å以下になると、離陸電圧が急減されて絶縁特性が弱くなる。
【0066】
図19は、本発明の第1の実施の形態によって形成された誘電膜が採用されたMISキャパシタの印加電圧による漏れ電流特性を示すグラフである。
【0067】
漏れ電流密度が1E−7A/cm2であり、電圧が1.2Vである一般的な基準値で、本発明のMISキャパシタの場合、等価酸化膜の厚さを26.5Åにすることができる。このように等価酸化膜の厚さを低くする場合、半導体素子の集積化に極めて有利である。
【0068】
図20は、本発明の第1の実施の形態によって形成された誘電膜が採用されたMISキャパシタ及び従来のキャパシタの漏れ電流特性を比較したグラフである。
【0069】
従来のキャパシタは、本発明のMISキャパシタと比較して、誘電膜を除いては同一である。図20に示されたように、本発明の第1の実施の形態によって形成されたアルミニウム酸化膜を採用したMISキャパシタは、セル当たり1fAの漏れ電流値で誘電膜としてタンタル酸化膜(TaO)や窒化膜−酸化膜(NO)を使用した従来のキャパシタと比較してみるとき、印加電圧がもっとも大きい。換言すれば、本発明のMISキャパシタは従来のキャパシタと比較してみるとき、薄い等価酸化膜でも最高の漏れ電流特性を有することができる。図20において、括弧内の数字は誘電膜の厚さを表わす。
【0070】
図21及び図22は各々、従来の技術及び本発明の第1の実施の形態によるアルミニウム酸化膜をMIMキャパシタのキャッピング膜として採用する場合の印加電圧による漏れ電流特性を示すグラフである。
【0071】
図21及び図22において、「黒四角」はキャッピング膜を採用していない場合のMIMキャパシタを表す。図21において、「●」は従来の技術によりキャッピング膜としてアルミニウム酸化膜を形成した場合であり、「黒下三角」はアルミニウム酸化膜をキャッピング膜として形成した後、400℃で水素アニーリングを行なった場合を表わす。図22において、「●」は本発明の第1の実施の形態によってキャッピング膜としてアルミニウム酸化膜を形成した場合であり、「黒上三角」はアルミニウム酸化膜をキャッピング膜として形成した後400℃で水素アニーリングを行った場合であり、「黒下三角」はアルミニウム酸化膜をキャッピング膜として形成した後700℃で窒素アニーリングを行った場合である。
【0072】
一般的に、半導体素子においてMIMキャパシタを採用する場合、後続するアロイ工程で用いられる水素アニーリング時に誘電膜が劣化する問題がある。このため、MIMキャパシタ上には水素バリアーの役目をするキャッピング膜を形成する。ところが、図21に示されたように、本発明の第1の実施の形態によって形成されたアルミニウム酸化膜をキャッピング膜として採用すれば、漏れ電流密度1E−7A/cm2の基準からみるとき、アルミニウム酸化膜をキャッピング膜として形成した場合だけでなく、後続する水素アニーリングによってもバリアー特性に極めて優れているので、漏れ電流特性を劣化させない。しかし、図22に示されたように、従来の技術によって形成されたアルミニウム酸化膜をキャッピング膜として採用すれば、蒸着中に水蒸気の水素及びOHリガンドがMIMキャパシタの漏れ電流特性を劣化させる。
【0073】
第2の実施の形態
図23は、本発明の原子層蒸着法を用いた薄膜形成方法の第2の実施の形態を説明するために示すフローチャートである。
【0074】
基板15(図3参照)、例えばシリコン基板を酸化ガスにより酸素フラッシングして基板15のダングリングボンドを酸素と結合させる終端処理を行う(ステップ21)。前記酸素フラッシング以外にも、オゾン洗浄及びシリコン酸化膜の形成などの方法によってもダングリングボンドを酸素と結合させることができる。また、必要に応じて、前記基板15の酸素フラッシングを行なわない場合もある。
【0075】
次に、反応チャンバ11(図3参照)に基板15を搬入させた後、ヒーター(図示せず)及びポンプ19を使って反応チャンバ11を100〜400℃、好ましくは、300〜350℃の工程温度及び1〜10,000mTorrの工程圧力に保つ(ステップ23)。前記工程温度及び工程圧力は後続する工程においても保たれ続けるが、必要に応じては変わることもある。
【0076】
次に、前記工程温度及び工程圧力を保った状態で、反応チャンバ11に対して第1弁V1をオープンさせ、第1反応物11、例えばトリメチルアルミニウム(Al(CH33:TMA)をガスラインA及びシャワーヘッド17を通じて前記基板の表面を十分に覆る時間、例えば1m秒〜10秒間注入する(ステップ25)。これにより、酸素フラッシングされたシリコン基板上に第1反応物が化学吸着される。
【0077】
次に、前記工程温度及び工程圧力を保った状態で、反応チャンバ11に対して選択的に第2弁V2をオープンさせ、不活性ガス、例えばアルゴンガスを0.1〜100秒間1次パージする(ステップ27)。これにより、基板15上に物理吸着された第1反応物が取り除かれる。
【0078】
次に、前記工程温度及び工程圧力を保った状態で、反応チャンバ11に対して第3弁V3をオープンさせ、シャワーヘッド17を通じて第2反応物、例えば水酸化基を含んでいない酸化ガスを注入する(ステップ29)。前記第2反応物としては、N2O、O2、O3またはCO2ガスを用いることができる。これにより、前記化学吸着された第1反応物及び第2反応物が互いに反応して、前記第1反応物が金属−酸素原子層に置換される。前記第2反応物は第1反応物との反応性が小さいが、後述するように、金属酸化膜内に水酸化基を発生しないながらも金属−酸素原子層を形成することができる。
【0079】
次に、前記工程温度及び工程圧力を保った状態で、反応チャンバ11を不活性ガスで0.1〜100秒間2次パージして不要な反応物を取り除く(ステップ31)。
【0080】
次に、第4弁V3をオープンさせて、第3反応物、例えば水蒸気(H2O)などの酸化物を、シャワーヘッド17を通じて前記基板の表面を十分に覆る時間、例えば1m秒〜10秒間注入する(ステップ33)。これにより、前記第3反応物は前記第2反応物に比べて第1反応物との反応性が良好であるため、吸着された第1反応物のうち反応せずに残った第1反応物及び第3反応物は互いに反応して金属−酸素原子層に置換される。このとき、前記水酸化基を含んでいない第2反応物及び第1反応物を予め反応させて前記第1反応物の絶対量を減少させたため、水酸化基の発生が抑止された原子層単位の金属酸化膜が形成される。
【0081】
この実施の形態においては、前記金属酸化膜としてアルミニウム酸化膜(Al23)に例に取っているが、TiO2、ZrO2、HfO2、Ta25、Nb25、CeO2、Y23、SiO2、In23、RuO2、IrO2、SrTiO3、PbTiO3、SrRuO3、CaRuO3、(Ba,Sr)TiO3、Pb(Zr,Ti)O3、(Pb,La)(Zr,Ti)O3、(Sr,Ca)RuO3、(Ba,Sr)RuO3、SnがドーピングされたIn23(ITO)、またはZrがドーピングされたI23膜であっても良い。
【0082】
次に、前記工程温度及び工程圧力を保った状態で、反応チャンバ11を不活性ガスで0.1〜100秒間3次パージして不要な反応物を取り除き、その結果、原子層単位の金属酸化膜を形成する一つのサイクルを終える(ステップ35)。必要ならば、前記パージ後に、水酸化基を含んでいない第2反応物を注入及びパージする段階をさらに行い、第3反応物と第1反応物との反応を最大限に抑止することもできる。
【0083】
次に、基板上に形成された金属酸化膜の厚さの適否、例えば約10Å〜1000Åであるかどうかを確認する(ステップ37)。ここで、適宜な厚さならば金属酸化膜の形成段階を終え、そうでなければ前記第1反応物の注入段階(ステップ25)からパージ段階(ステップ35)までを周期的に繰り返し行う。
【0084】
図24ないし図27は、本発明の第2の実施の形態の原子層蒸着法を用いた薄膜形成方法によってアルミニウム酸化膜を形成するとき、基板上に吸着される反応物の結合関係を説明するために示す図である。
【0085】
まず、基板15、例えばシリコン基板を酸素フラッシングして、図24に示されたように、基板15のダングリングボンドを酸素と結合せしめる。必要ならば、前記基板15の酸素フラッシングは行わなくても良い。
【0086】
次に、前記100〜400℃の工程温度及び1〜10,000mTorrの工程圧力が保たれる反応チャンバ11に第1反応物であるトリメチルアルミニウム(Al(CH33)を注入した後、アルゴンガスでパージする。これにより、図25に示されたように、酸素フラッシングされた基板15上に第1反応物が吸着される。すなわち、シリコン基板上にはSi−O、Si−O−CH3またはSi−O−Al−CH3など各種の形態が存在する。
【0087】
次に、前記反応チャンバ11に水酸化基を含んでいない第2反応物、例えば、N2O、O2、O3またはCO2を注入する。例えば、第2反応物としてN2Oを用いる場合の反応式は下記化学式(5)の通りである。
【0088】
2Al(CH33+3N2
→Al23+Al(CH33+3C26+3N2↑ …(5)
前記化学式5に示されたように、トリメチルアルミニウムに水酸化基を含んでいないN2Oを注入すれば、トリメチルアルミニウムが消耗されながらAl23が形成される。換言すれば、吸着された第1反応物及び第2反応物が互いに反応して第1反応物が、図26に示されたように、金属−酸素原子層に置換される。すなわち、シリコン基板上にはSi−O−Al−Oの形態がたくさん形成される。
【0089】
次に、反応チャンバに第3反応物、例えば水蒸気(H2O)を注入した後にアルゴンガスでパージする。これにより、図27に示されたように、前記吸着された第1反応物のうち前記第2反応物と反応して残った第1反応物が第3反応物と反応して金属−酸素原子層に置換される。このとき、前記水酸化基を含んでいない第2反応物及び第1反応物をあらかじめ反応させて前記第1反応物の絶対量を減少させたため、水酸化基の発生が抑止された原子層単位の金属酸化膜が形成される。
【0090】
ここで、水酸化基の絶対量が少ない原子層単位のアルミニウム酸化膜がいかに形成されるかについてより詳細に説明する。
【0091】
まず、本発明者は、従来のALD法によりアルミニウム酸化膜を形成する場合、前記化学式2に示された反応によってはアルミニウム酸化膜に好ましくない副産物であるAl(OH)3が含まれる。このような副産物であるAl(OH)3を確認するために、本発明者は、従来のALD法により形成されたアルミニウム酸化膜のXPS(X−ray Photoelectron Spectroscopy)分析を行った。
【0092】
図28は、従来の原子層蒸着法により形成されたアルミニウム酸化膜のXPSグラフである。図28中、X軸は結合エネルギーを表し、Y軸は任意単位のカウントを表す。
【0093】
従来のALD法により形成されたアルミニウム酸化膜のピークは535.1eVを中心として右側及び左側のグラフを重ねてみたとき、グラフが重ならずに少し広く現れるのが分かる。換言すれば、従来のALD法により形成されたアルミニウム酸化膜はAl(OH)3を含んでいるため、純粋なアルミニウム酸化膜が形成されているグラフ(a)よりも広幅のグラフ(b)が現れる。
【0094】
以上のことを考慮してみるとき、従来のようにトリメチルアルミニウム及び水蒸気を直ちに反応させると、前記化学式2に示された反応によって水酸化基を含んでいるAl(OH)3がたくさん作られる。このため、Al(OH)3の量を減らすためには、水蒸気と反応するトリメチルアルミニウムの絶対量を減らしなければならない。本発明では、トリメチルアルミニウムを水酸化基を含んでいないN2Oとあらかじめ反応させてトリメチルアルミニウムの絶対量を減らした後に再び水蒸気と反応させるため、水酸化基の絶対量が少ない状態で原子層単位のアルミニウム酸化膜が形成される。
【0095】
図29及び図30は各々、従来の技術及び本発明の第2実施の形態によって製造されたアルミニウム酸化膜の漏れ電流特性を示すグラフである。
【0096】
アルミニウム酸化膜の漏れ電流特性をキャパシタに適用して調べてみた。ここで、キャパシタの下部電極としてはポリシリコン膜を用い、上部電極としてはポリシリコン膜を用いた。図29及び図30において、第1番目の曲線(a及びc)は下部電極をグラウンドと連結し、かつ上部電極は0〜5Vまでの電圧を印加しながら誘電膜を通じて流れるセル当たり電流の量を測定したものであり、第2番目の曲線(b及びd)は最初の測定後に再び同一の条件で測定を行った結果である。図30に示されたように、本発明によって形成されたアルミニウム酸化膜を誘電膜として採用した場合が従来の図29と比較して同一電圧、例えば2Vで漏れ電流が少なく、かつ第1番目及び第2番目の曲線間の距離も短いので、漏れ電流特性が向上されることが分かる。
【0097】
第3の実施の形態
図31は、本発明の第3の実施の形態による原子層蒸着法を用いた薄膜形成方法を説明するために示すフローチャートであり、図32は、本発明の第3実施の形態による原子層蒸着法を用いた薄膜形成時に反応物の供給を示したタイミングダイアグラムである。図31及び図32では、アルミニウム酸化膜を形成する過程を例に取って説明する。
【0098】
まず、基板15、例えばシリコン基板を酸化または窒化ガスを用いて窒素または酸素フラッシングして基板15のダングリングボンドを酸素または窒素で終端処理する(ステップ41)。前記酸素または窒素フラッシングは、図3に示された原子層薄膜形成装置をそのまま用いて行っても良く、他の装置を用いて行っても良い。そして、前記酸素または窒素フラッシングの他に、オゾン洗浄、シリコン酸化膜、シリコン窒化膜の形成などの方法を通じてもダングリングボンドを酸素または窒素と結合せしめても良い。また、必要ならば、前記基板15の酸素または窒素フラッシングは行わなくても良い。
【0099】
次に、反応チャンバ11に基板15を搬入させた後に、ヒーター(図示せず)及びポンプ19を使って反応チャンバ11を100〜400℃、好ましくは、300〜350℃の温度及び1〜10,000mTorrの圧力の工程条件に保つ(ステップ43)。前記工程条件は後続するステップにおいても保たれ続くが、必要ならば変更しても良い。
【0100】
次に、前記工程条件を保った状態で、反応チャンバ11に対して第1弁V1をオープンさせ、第1反応物11、例えばトリメチルアルミニウム(Al(CH33:TMA)の金属反応物をガスラインA及びシャワーヘッド17を通じて前記基板の表面を十分に覆える時間、例えば、1m秒〜10秒間注入する(ステップ45)。これにより、酸素または窒素フラッシングされた基板上に第1反応物が化学吸着される。
【0101】
次に、前記工程条件を保った状態で、反応チャンバ11に対して選択的に第2弁V2をオープンさせ、不活性ガス、例えばアルゴンガスを0.1〜100秒間1次パージする(ステップ47)。これにより、基板15上に物理吸着された第1反応物が取り除かれる。
【0102】
次に、前記工程条件を保った状態で、反応チャンバ11に対して第3弁V3をオープンさせ、シャワーヘッド17を通じて第2反応物、例えば水蒸気(H2O)など酸化力に優れた酸化ガスを注入する(ステップ49)。
【0103】
これにより、前記化学吸着された第1反応物及び第2反応物は反応し、かつ化学置換によって原子層単位の薄膜、すなわちアルミニウム酸化膜が形成される。すなわち、TMAのCH3及びH2OのHは反応してCH4として取り除かれ、TMAのAl及びH2OのOは反応してAl23が形成される。ところが、前記原子層薄膜形成に際して、工程温度が400℃以下の低温で行われるため、TMAが完全に分解されず、その結果、アルミニウム酸化膜内に炭素やOHボンドなどの不純物がたくさん形成される。
【0104】
次に、前記工程条件を保った状態で、反応チャンバ11を不活性ガス、例えばアルゴンガスを0.1〜100秒間2次パージして前記反応されずに物理吸着されている第2反応物を取り除く(ステップ51)。
【0105】
次に、前記反応チャンバに前記不純物の除去及び化学量論の向上のための第3反応物、例えばオゾンなどの酸化ガスを第4弁V4及びシャワーヘッド17を通じて前記薄膜の形成された基板の表面を十分に覆る時間、例えば1m秒〜10秒間注入する(ステップ53)。このよれば、前記原子層単位の薄膜に含まれている炭素やOHボンドなどの不純物を除去できると共に、アルミニウム酸化膜の酸素欠けの問題を解決でき、その結果、優れた化学量論的な薄膜を得ることができる。
【0106】
次に、前記工程条件を保った状態で、反応チャンバ11を不活性ガスで0.1〜100秒間3次パージして反応されずに物理吸着されている第3反応物を取り除き、原子層単位の薄膜を形成する一つのサイクルを終える(ステップ55)。
【0107】
次に、基板上に形成された原子層単位の薄膜の厚さの適否、例えば約10Å〜1000Åであるかどうかを確認する(ステップ57)。適宜な厚さであれば薄膜の形成段階を終え、そうでなければ前記第1反応物の注入段階(ステップ45)から不活性ガスの3次パージ段階(ステップ55)までを周期的に繰り返し行う。
【0108】
本実施の形態において、前記第1反応物、第2反応物及び第3反応物を各々金属反応物であるトリメチルアルミニウム(Al(CH33:TMA)、酸化ガスである水蒸気、不純物の除去及び化学量論の向上のためのオゾンガスを用いて金属酸化膜であるアルミニウム酸化膜を形成したが、第1反応物、第2反応物及び第3反応物を各々金属反応物であるTiCl4、窒化ガスであるNH3及び不純物の除去及び化学量論の向上のための窒素ガスを用いると、金属窒化膜であるチタン窒化膜を形成することができる。
【0109】
さらに、本発明の原子層蒸着法を用いた薄膜形成方法によれば、前記アルミニウム酸化膜、チタン窒化膜のほかに、単原子酸化物、複合酸化物、単原子窒化物または複合窒化物を形成することもできる。前記単原子酸化物の例としては、TiO2、Ta25、ZrO2、HfO2、Nb25、CeO2、Y23、SiO2、In23、RuO2またはIrO2等を挙げることができ、複合酸化物の例としてはSrTiO3、PbTiO3、SrRuO3、CaRuO3、(Ba,Sr)TiO3、Pb(Zr,Ti)O3、(Pb,La)(Zr,Ti)O3、(Sr,Ca)RuO3、SnがドーピングされたIn23、FeがドーピングされたIn23またはZrがドーピングされたIn23を挙げることができる。また、前記単原子窒化物の例としてSiN、NbN、ZrN、TaN、Ya35、AlN、GaN、WNまたはBNを挙げることができ、前記複合窒化物の例としてはWBN、WSiN、TiSiN、TaSiN、AlSiNまたはAlTiNを挙げることができる。
【0110】
また、前述した本発明の原子層蒸着法を用いた薄膜形成方法によって形成された薄膜を半導体素子に適用できる。その例としては、ゲート酸化膜、キャパシタの電極、エッチング防止膜、反応防止用キャッピング膜、写真工程時の反射防止膜、バリアー金属膜、選択蒸着用膜、金属ゲート電極等を挙げることができる。
【0111】
図33は、本発明の第3の実施の形態の原子層薄膜形成方法によって形成されたアルミニウム酸化膜のサイクル当たり厚さを示すグラフである。
【0112】
図においてX軸はサイクル数を表す。ここで、一つのサイクルは、第1反応物の注入、物理吸着された第1反応物のパージ、第2反応物の注入、物理吸着された第2反応物のパージ、第3反応物の注入及び物理吸着された第3反応物のパージ段階を含む。また、Y軸はアルミニウム酸化膜の厚さを表す。図33に示されたように、本発明の薄膜製造方法によれば、アルミニウム酸化膜がサイクル当たり1.1Åの厚さで成長され、サイクル数に比例して厚さが線形的に増大するため、原子層蒸着法によりアルミニウム酸化膜が容易に形成されることが分かる。
【0113】
図34は、本発明の第3の実施の形態に従い原子層薄膜形成方法によって形成されたアルミニウム酸化膜の基板内の均一度を説明するために示すグラフである。
【0114】
X軸は8インチ基板の中央点、前記中央点を中心として1.75インチの半径を有する円から90度おきに4点、前記中央点を中心として3.5インチの半径を有する円から90度おきに4点を合わせて計9点の測定位置を表す。またY軸はアルミニウム酸化膜の厚さを表す。図34に示されたように、8インチの基板内での均一度が極めて優れていることが分かる。
【0115】
図35及び図36は各々、XPSを用い、従来の技術及び本発明の第3の実施の形態による原子層薄膜形成方法によって形成されたアルミニウム酸化膜のアルミニウムピークを分析したグラフである。
【0116】
図においてX軸はボンディングエネルギーを表し、Y軸は電子の個数を表す。従来のアルミニウム酸化膜は、図35に示されたように、Al−Alボンディングがたくさん見られる。これに対し、本発明のアルミニウム酸化膜は、図36に示されたように、Al−Alボンディングはほとんど見られず、Al−Oボンディングが主として見られる。これより、本発明のアルミニウム酸化膜は化学量論に優れていることが分かる。
【0117】
図37及び図38は各々、XPSを用い、従来の技術及び本発明の第3の実施の形態による原子層蒸着法を用いた薄膜形成方法によって形成されたアルミニウム酸化膜の炭素ピークを分析したグラフである。
【0118】
図においてX軸はボンディングエネルギーを表し、Y軸は電子の個数を表わす。従来のアルミニウム酸化膜は、図37に示されたように、炭素ピークが見られる。このことは、アルミニウム酸化膜内に炭素がたくさん含まれていることを意味する。これに対し、本発明によるアルミニウム酸化膜は、図38に示されたように、従来に比べて炭素ピークがほとんど見られない。したがって、本発明によれば、炭素などの不純物が低減されたアルミニウム酸化膜を得ることができる。
【0119】
第4の実施の形態
図39は、本発明の第4の実施の形態による原子層薄膜形成方法を説明するために示すフローチャートである。図39中、図23と同一の参照番号は同一の要素を表す。
【0120】
本発明の第4の実施の形態は、第2の実施の形態及び第3の実施の形態を組み合わせた方法である。すなわち、第2の実施の形態の3次パージ後に、第3の実施の形態のように、前記反応チャンバに前記不純物の除去及び化学量論の向上のための第4反応物、例えばオゾンガスなどの酸化ガスを第3弁V3及びシャワーヘッド17を通じて前記薄膜の形成された基板の表面を十分に覆る時間、例えば1m秒〜10秒間注入した後(ステップ36a)に4次パージする(ステップ36b)ことを除いては同様である。
【0121】
これにより、前記原子層単位の金属酸化膜に含まれている炭素やOHボンドなどの不純物を取り除くことができ、酸素欠けの問題を解決できるので、優れた化学量論的な薄膜を得ることができる。換言すれば、本発明は、原子層蒸着法によって薄膜を形成するに際して、主反応物のほかに、薄膜の不純物の除去及びより完全な反応を通じた薄膜の質を向上させるために、主反応物の流し込み前または流し込み後に主反応物間の反応確率を高めて所望の薄膜の質及び副産物の濃度を最小化させる。そして、本発明は、反応メカニズムにおいて水酸化基を発生させない反応物を使って薄膜内の副産物の濃度を低める。
【0122】
【発明の効果】
以上述べたように、本発明による原子層蒸着法を用いた薄膜形成方法によれば、第2反応物(B)から第1反応物(A)へのラジカルの移動なしに結合エネルギーの違いによって第1反応物(A)のリガンドが分離される。そして、リガンド間の結合によって揮発性の気相物質が形成され、かつ、この気相物質はパージによって取り除かれる。結果的に、本発明の原子層蒸着方法を用いた薄膜形成方法は、ラジカルの移動がないので、副反応によって薄膜内に発生する不純物を減らすことができる。
【0123】
また、本発明による原子層蒸着法を用いた薄膜形成方法によれば、原子層蒸着法を用いて金属酸化膜を形成するときに、第1反応物を水酸化基を含んでいない第2反応物とあらかじめ反応させて第1反応物の絶対量を減らした後に、第1反応物と水酸化基を含んでいる第3反応物とを再び反応させることにより、金属酸化膜内に水酸化基などの副産物の生成を抑止させることができる。例えば、本発明は、トリメチルアルミニウムを、水酸化基を含んでいないN2Oとあらかじめ反応させてトリメチルアルミニウムの絶対量を減らした後に再び水蒸気と反応させることで、水酸化基の絶対量が少ない状態でアルミニウム酸化膜を形成することができる。
【0124】
また、本発明による原子層蒸着法を用いた薄膜形成方法によれば、原子層蒸着法を用いる時に反応チャンバに薄膜を形成する薄膜形成用第1反応物及び第2反応物のほかに、不純物の除去及び化学量論の向上のための第3反応物を注入及びパージする。これにより、不純物が含まれていず、しかも化学量論に優れた薄膜を得ることができる。
【図面の簡単な説明】
【図1】 従来の原子層蒸着法を用いたアルミニウム酸化膜の形成過程を説明するためのフローチャートである。
【図2】 図1のアルミニウム酸化膜の形成時の反応メカニズムを説明するための図面である。
【図3】 本発明の原子層蒸着法を用いた薄膜形成方法に用いられた原子層薄膜形成装置を説明するための概略図である。
【図4】 本発明の第1の実施の形態による原子層蒸着法を用いた薄膜形成方法の反応メカニズムを説明するために示す図面である。
【図5】 本発明の第1の実施の形態によるアルミニウム酸化膜の形成過程を説明するためのフローチャートである。
【図6】 図5の原子層蒸着法を用いてアルミニウム酸化膜を形成する時の反応メカニズムを説明するための図面である。
【図7】 従来の技術によってアルミニウム酸化膜を形成するときのRGAデータを示すグラフである。
【図8】 本発明の第1の実施の形態によってアルミニウム酸化膜を形成するときのRGAデータを示すグラフである。
【図9】 従来の技術及び本発明の第1の実施の形態によってアルミニウム酸化膜を形成するとき、サイクル数によるアルミニウム酸化膜の厚さを示すグラフである。
【図10】 従来の技術及び本発明の第1の実施の形態によって形成されたアルミニウム酸化膜の温度によるストレス履歴を示すグラフである。
【図11】 従来の技術及び本発明の第1の実施の形態によって形成されたアルミニウム酸化膜の後アニーリング条件による厚さ収縮率を示すグラフである。
【図12】 従来の技術によって形成されたアルミニウム酸化膜の波長による吸収常数及び屈折率を示すグラフである。
【図13】 本発明の第1の実施の形態によって形成されたアルミニウム酸化膜の波長による吸収常数及び屈折率を示すグラフである。
【図14】 従来の技術及び本発明の第1の実施の形態によって形成されたアルミニウム酸化膜の後アニーリング温度及び雰囲気ガスによる湿式エッチング速度を示すグラフである。
【図15】 本発明の第1の実施の形態によって形成された誘電膜が採用された半導体素子のキャパシタ構造を示す断面図である。
【図16】 本発明の第1の実施の形態によって形成された誘電膜が採用された半導体素子のトランジスタ構造を示す断面図である。
【図17】 従来のキャパシタ及び本発明の第1の実施の形態によって形成された誘電膜が採用されたSISキャパシタの印加電圧による漏れ電流特性を説明するために示すグラフである。
【図18】 本発明の第1の実施の形態によって形成された誘電膜が採用されたSISキャパシタの等価酸化膜による離陸電圧を示すグラフである。
【図19】 本発明の第1の実施の形態によって形成された誘電膜が採用されたMISキャパシタの印加電圧による漏れ電流特性を示すグラフ。
【図20】 本発明の第1の実施の形態によって形成された誘電膜が採用されたMISキャパシタ及び従来のキャパシタの漏れ電流特性を比較したグラフである。
【図21】 従来の技術によるアルミニウム酸化膜をMIMキャパシタのキャッピング膜として採用する場合の印加電圧による漏れ電流特性を示すグラフである。
【図22】 本発明の第1の実施の形態によるアルミニウム酸化膜をMIMキャパシタのキャッピング膜として採用する場合の印加電圧による漏れ電流特性を示すグラフである。
【図23】 本発明の原子層蒸着法を用いた薄膜形成方法の第2の実施の形態を説明するためのフローチャートである。
【図24】 本発明の第2の実施の形態の原子層蒸着法を用いた薄膜形成方法によってアルミニウム酸化膜を形成するとき、基板上に吸着される反応物の結合関係を説明するための図面である。
【図25】 本発明の第2の実施の形態の原子層蒸着法を用いた薄膜形成方法によってアルミニウム酸化膜を形成するとき、基板上に吸着される反応物の結合関係を説明するための図面である。
【図26】 本発明の第2の実施の形態の原子層蒸着法を用いた薄膜形成方法によってアルミニウム酸化膜を形成するとき、基板上に吸着される反応物の結合関係を説明するための図面である。
【図27】 本発明の第2の実施の形態の原子層蒸着法を用いた薄膜形成方法によってアルミニウム酸化膜を形成するとき、基板上に吸着される反応物の結合関係を説明するための図面である。
【図28】 従来の原子層蒸着法によって形成されたアルミニウム酸化膜のXPSグラフである。
【図29】 従来の技術によって製造されたアルミニウム酸化膜の漏れ電流特性を示すグラフである。
【図30】 本発明の第2の実施の形態によって製造されたアルミニウム酸化膜の漏れ電流特性を示すグラフである。
【図31】 本発明の第3の実施の形態による原子層蒸着法を用いた薄膜形成方法を説明するためのフローチャートである。
【図32】 本発明の第3の実施の形態による原子層蒸着法を用いた薄膜形成時に、反応物の供給を示すタイミング図である。
【図33】 本発明の第3の実施の形態の原子層薄膜形成方法によって形成されたアルミニウム酸化膜のサイクル当たり厚さを示すグラフである。
【図34】 本発明の第3の実施の形態による原子層薄膜形成方法によって形成されたアルミニウム酸化膜の基板内の均一度を説明するために示すグラフである。
【図35】 XPSを用い、従来の技術による原子層薄膜形成方法によって形成されたアルミニウム酸化膜のアルミニウムピークを分析したグラフである。
【図36】 XPSを用い、本発明の第3の実施の形態による原子層薄膜形成方法によって形成されたアルミニウム酸化膜のアルミニウムピークを分析したグラフである。
【図37】 XPSを用い、従来の技術による原子層蒸着法を用いた薄膜形成方法によって形成されたアルミニウム酸化膜の炭素ピークを分析したグラフである。
【図38】 XPSを用い、本発明の第3実施の形態による原子層蒸着法を用いた薄膜形成方法によって形成されたアルミニウム酸化膜の炭素ピークを分析したグラフである。
【図39】 本発明の第4の実施の形態による原子層薄膜形成方法を説明するためのフローチャートである。
【符号の説明】
11…反応チャンバ
11…反応物
13…サセプタ
15…基板
17…シャワーヘッド
19…ポンプ
201…基板
203…層間絶縁膜
205…下部電極
207…誘電膜
209…上部電極
211…キャッピング膜
301…シリコン基板
303…不純物ドーピング領域
305…ゲート絶縁膜
307…ゲート電極
V1、V2、V3、V4…弁[0001]
BACKGROUND OF THE INVENTION
The present invention relates to a thin film forming method, and more particularly to a thin film forming method using an atomic layer deposition (ALD) method.
[0002]
[Prior art]
Generally, the thin film is used in various applications such as a dielectric film of a semiconductor element, a transparent conductor of a liquid crystal display element, and a protective layer of an electroluminescent thin film display element. The thin film is formed by sol-gel method, sputtering method, electroplating method, vapor method, chemical vapor deposition method, ALD method or the like.
[0003]
Among these, the ALD method has advantages in that a step coverage superior to the chemical vapor deposition method is obtained and a low-temperature process is possible. Such an ALD method is a method of forming a thin film by decomposing a reactant by chemical replacement through periodic supply of each reactant, not by thermal decomposition. Here, a method for forming an aluminum oxide film used as a dielectric film of a semiconductor element by using a conventional atomic layer deposition method will be described in detail.
[0004]
FIG. 1 is a flowchart for explaining a process of forming an aluminum oxide film using a conventional atomic layer deposition method. FIGS. 2A to 2D show a reaction mechanism at the time of forming the aluminum oxide film shown in FIG. It is a figure shown in order to demonstrate.
[0005]
Specifically, first, the first reactant (A), that is, the aluminum (a1) And methyl ligand (a2Trimethylaluminum (Al (CHThree)Three, Referred to as “TMA”) (step 1).
[0006]
Next, the first reactant (A) that has been physically adsorbed is removed by purging with an inert gas (step 3). Thereby, as shown in FIG. 2A, the first reactant (A) is chemically adsorbed on the substrate (S).
[0007]
Next, the second reactant (B), that is, oxygen (b) is added to the reaction chamber in which the first reactant (A) is chemisorbed.1) And hydrogen radical (b2) Water vapor (H2O) is injected (step 5). Thereby, as shown in FIG. 2B, the second reactant (B) is chemisorbed onto the first reactant (A).
[0008]
Here, the hydrogen radical (b) of the chemisorbed second reactant (B)2) Is the methyl ligand (a) of the first reactant (A) as shown in FIG. 2C.2) To separate the methyl ligand from the first reactant (A). Then, as shown in the following chemical formula (1) and FIG. 2D, hydrogen radicals (b) of the moved second reactant (B) are obtained.2) From the first ligand (A) from which the methyl ligand (a2) To react with CHFourA volatile gas phase substance (D) is formed. On the substrate (S), the aluminum (a) of the first reactant (A) is formed.1) And oxygen of the second reactant (B) (b1) To form an aluminum oxide film (C). This reaction is represented by the following chemical formula (1).
[0009]
2Al (CHThree)Three+ 3H2O → Al2OThree+ 6CHFour    ... (1)
Next, the CHFourThe water vapor that has not reacted with the volatile gas phase substance (D) is removed by purging with an inert gas (step 7).
[0010]
Next, it is confirmed whether or not the thickness of the formed aluminum oxide film (C) is appropriate (step 9). If necessary, the steps from step 1 to step 7 are periodically repeated.
[0011]
However, the conventional ALD method uses a hydrogen radical (b2) Movement of the methyl ligand (a2) Is removed, so that the hydrogen radical (b2) Will cause side reactions due to the remaining OH radicals. This reaction is represented by the following chemical formula (2).
[0012]
Al (CHThree)Three+ 3H2O → Al (OH)Three+ 3CHFour    ... (2)
When side reactions occur in this way, undesirable impurities in the aluminum oxide film (C), for example, Al (OH)ThreeIs included. Thus Al (OH)ThreeIf impurities such as are included, desired thin film characteristics cannot be obtained. In particular, Al (OH) containingThreeWhen the aluminum oxide film is applied to a dielectric film of a semiconductor element, it acts as an electron trap site or a current leak site, resulting in deterioration of the properties of the dielectric film.
[0013]
[Problems to be solved by the invention]
The present invention has been made in view of the above circumstances, and its purpose is to form a thin film having excellent stoichiometry by suppressing the formation of undesirable impurities when an atomic layer deposition method is used. Is to provide a method.
[0014]
[Means for Solving the Problems]
In order to achieve the above object, a method of forming a thin film using an atomic layer deposition method according to the present invention includes injecting a first reactant containing a thin film element and a ligand into a reaction chamber including a substrate, and Chemically adsorb the first reactant. Next, the reaction chamber is purged with an inert gas to remove the physically adsorbed first reactant. Subsequently, a second reactant having a binding energy with the element forming the thin film larger than that of the ligand is injected into the reaction chamber, and the atomic layer unit thin film is formed by a chemical reaction between the element forming the thin film and the second reactant. Forming and removing the ligand without the formation of side reactants.
[0015]
In particular, in the present invention, the ligand of the first reactant (A) is separated by the difference in binding energy without the transfer of radicals from the second reactant (B) to the first reactant (A). A volatile gas phase material is formed by binding between the ligands, and this gas phase material is removed by purging. Thereby, in this invention, since there is no movement of a radical, the production | generation of the impurity in a thin film by a side reaction can be suppressed, As a result, the outstanding stoichiometric thin film can be obtained.
[0020]
According to the present invention, it is possible to obtain a thin film excellent in stoichiometry without containing impurities by preventing or inhibiting formation of undesirable by-products such as hydroxyl groups.
[0021]
DETAILED DESCRIPTION OF THE INVENTION
Hereinafter, embodiments of the present invention will be described in detail with reference to the accompanying drawings.
However, the embodiment of the present invention described later can be modified into various forms, and the scope of the present invention is not limited to the embodiment described later. Rather, these embodiments are provided so that this disclosure will be thorough and complete, and will fully convey the concept of the invention to those skilled in the art. In the drawings, the size or thickness of the film or region is exaggerated for clarity of the specification. Also, when it is described that a certain film is “on” another film or substrate, the certain film can be directly present on the other film. It can also be interposed.
[0022]
FIG. 3 is a schematic view for explaining an atomic layer thin film forming apparatus used in the thin film forming method using the atomic layer deposition method of the present invention.
[0023]
This atomic layer thin film forming apparatus includes a reaction chamber 11 heated by an external heater (not shown), a susceptor 13 provided on the bottom surface of the reaction chamber 11 so that a substrate 15, for example, a silicon substrate, is placed thereon, A shower head 17 provided at the upper part of the susceptor 13 so that a reaction gas is injected into the reaction chamber 11, and the reaction chamber 11 is connected to adjust a pressure inside the reaction chamber 11. And a vacuum pump 19.
[0024]
Two separate gas injection pipes A and B are connected to the shower head 17. The shower head 17 is injected with a first reactant, an inert gas, a second reactant, and a third reactant. The first reactant is a metal reactant, the inert gas is nitrogen gas or argon gas, and the second reactant is an oxidizing gas that does not contain a hydroxyl group, such as N2O, O2, OThreeOr CO2A gas or water vapor, and the third reactant is water vapor or an activated oxidant and contains oxygen radicals such as ozone, plasma O2, Plasma N2O. In FIG. 3, the second reactant and the third reactant are configured separately for convenience, but may be configured singly.
[0025]
The first reactant and the inert gas are injected into the reaction chamber 11 through the gas injection pipe A, and the second reactant and the third reactant are introduced into the reaction chamber 11 through the gas injection pipe B. Injected. Here, the gas pipes of the first reactant, the second reactant, and the third reactant are separated in order to suppress the reaction between the reactants in a single gas pipe (A or B). It is.
[0026]
Injection of the first reactant and the inert gas into the reaction chamber 11 is controlled by a first valve V1 and a second valve V2, respectively, and the second reactant and the third reactant are respectively third valves. Injection into the reaction chamber 11 is controlled by V3 and the fourth valve V4.
[0027]
Hereinafter, various embodiments of the thin film forming method using the atomic layer thin film forming apparatus of FIG. 3 will be described.
[0028]
First embodiment
4A to 4D are views for explaining a reaction mechanism of a thin film forming method using an atomic layer deposition method according to a first embodiment to which the present invention is applied.
[0029]
First, a thin film element (a1) And ligand (a2) To inject the first reactant (A) onto the substrate 15 and then chemically adsorb the first reactant (A) that has been physically adsorbed. (See FIG. 4A).
[0030]
Next, the second reactant (B) is injected into the reaction chamber 11 where the first reactant (A) is adsorbed. Thereby, the second reactant (B) is chemisorbed on the first reactant (A). Here, as the second reactant (B), an incomplete substance having high reactivity with the first reactant (A) is used. The second reactant (B) is an element (a) that forms a thin film of the second reactant (B) and the first reactant (A).1The element (a) whose binding energy to the thin film of the first reactant (A) is1) And ligand (a2) Is used (see FIG. 4B).
[0031]
Referring to FIG. 4C, the element (a) forming the thin film of the second reactant (B) and the first reactant (A).1The element (a) whose binding energy to the thin film of the first reactant (A) is1) And ligand (a2The second reactant (B) is an element (a) that forms a thin film of the first reactant (A).1) And from the first reactant (A) to the ligand (a2) Are separated.
[0032]
Next, the ligand (a) separated from the first reactant (A)2) Is in an unstable state, so the ligand (a2) Form a volatile gas phase material (D). An element (a) forming a thin film of the first reactant (A) is formed on the substrate 15.1) And the second reactant (B), a thin film (C) in atomic layer units is formed. The volatile gas phase substance (D) is removed by purging with an inert gas (see FIG. 4D).
[0033]
Next, a case where the thin film formation method using the difference in binding energy shown in FIGS. 4A to 4D is applied to the formation process of the aluminum oxide film will be described as an example.
[0034]
FIG. 5 is a flowchart for explaining the formation process of the aluminum oxide film according to the first embodiment. FIGS. 6A to 6D form the aluminum oxide film using the atomic layer deposition method of FIG. It is drawing for demonstrating the reaction mechanism at the time.
[0035]
First, in a reaction chamber 11 into which a substrate (15 in FIG. 3), for example, a silicon substrate is carried, aluminum (a1) And methyl ligand (a2Trimethylaluminum (Al (CHThree)Three, TMA: “A”) (step 101). Next, the physically adsorbed TMA is removed by primary purging with an inert gas (step 103). Thereby, as shown in FIG. 6A, TMA is chemically adsorbed on the substrate 15.
[0036]
Next, ozone (B), which is an oxidant activated as the second reactant, is injected into the reaction chamber 11 on which TMA is adsorbed (step 105). As a result, ozone (B) is converted into TMA aluminum (a) as shown in FIG. 6B.1).
[0037]
Here, the ozone (B) is an incomplete substance having high reactivity with TMA. The ozone (B) is TMA aluminum (a1) Is about 540 kJ / mol, and the TMA aluminum (a1) And methyl ligand (a2) And a bond energy (for example, Al—C bond energy) of 255 kJ / mol. Aluminum (a) which is an element forming a thin film of ozone (B) and TMA1), Which is an element that forms a thin film of TMA (a1) And methyl ligand (a2As shown in FIG. 6C, from TMA to methyl ligand (a2) Are separated.
[0038]
Further, the methyl ligand (a2) Is an unstable state, so as shown in FIG. 6D, methyl ligand (a2) Between C2H6A volatile gas phase substance (D) is formed. On the substrate 15, aluminum (a1) And ozone (B), an atomic layer unit aluminum oxide film (C) is formed as shown in chemical formula (3) below.
[0039]
2Al (CHThree)Three+ OThree→ Al2OThree+ 3C2H6    ... (3)
Next, the reaction chamber is secondarily purged with an inert gas to react with the volatile gas phase substance (D) and the methyl ligand (a2) Is removed (step 107). Subsequently, it is confirmed whether or not the thickness of the formed aluminum oxide film is appropriate (step 109). If necessary, the steps from step 101 to step 107 are periodically repeated.
[0040]
In this embodiment, ozone is used as the second reactant, but ozone can be further activated using ultraviolet rays. Further, as the activated oxidizing agent, instead of ozone, as shown in the following chemical formula (4), plasma O2And plasma N2O can also be used.
Figure 0004700181
7 and 8 are graphs showing RGA (Residual gas analysis) data when forming an aluminum oxide film according to the conventional technique and the first embodiment of the present invention, respectively. 7 and 8, a section indicated by an arrow is a section where an aluminum oxide film is formed.
[0041]
As described above, since the form of the ligand to be removed differs depending on the reaction mechanism between the second reactant (B) and the first reactant (A), the substances generated during the process also differ. That is, conventionally, as shown in FIG. 7, TMA is used as the first reactant (A) and water vapor (H is used as the second reactant (B).2When O) is used, CH generated by receiving hydrogen radicals from water vaporThree +, CHFour +Is detected as a major byproduct. On the other hand, according to the first embodiment of the present invention, when TMA is used as the first reactant (A) and ozone is used as the second reactant (B) as shown in FIG.ThreeThe ligand is removed and C2HFive +Or C2H6 +Is detected as a by-product.
[0042]
FIG. 9 is a graph showing the thickness of the aluminum oxide film according to the number of cycles when the aluminum oxide film is formed according to the conventional technique and the first embodiment of the present invention.
[0043]
Since the atomic layer deposition method is a surface conditioning step, the thickness of the deposited thin film is determined by the number of supply cycles of each reactant. That is, if the thickness increases linearly according to the cycle, it means that a thin film is formed by atomic layer deposition. As shown in FIG. 9, since the thickness increases linearly in both the conventional and the present invention, it can be seen that the thin film is formed using the atomic layer deposition method.
[0044]
However, there is a difference in the latent cycle between the prior art using water vapor as the second reactant (B) (indicated by ●) and the present invention using ozone (indicated by ○). That is, in the present invention, the deposition is performed from the initial cycle without the latent cycle, whereas in the conventional technique, the thin film is deposited after the 12-cycle latent period has elapsed. This means that the aluminum oxide film is more stably formed in the case of the present invention because the initial interface is formed by a heterogeneous reaction.
[0045]
FIG. 10 is a graph showing the stress history depending on the temperature of the aluminum oxide film formed according to the prior art and the first embodiment of the present invention.
[0046]
The stress history (indicated by □) of the conventional aluminum oxide film formed using TMA as the first reactant (A) and water vapor as the second reactant (B) shows that the stress form is 450 ° C. Changes from tensile stress to compressive stress. In contrast, the stress history (indicated by ●) of the aluminum oxide film of the present invention formed using TMA as the first reactant A and ozone as the second reactant (B) is in the entire temperature range. Since the stress mode does not change over the tensile stress, it can be seen that the film itself is more stable against heat.
[0047]
FIG. 11 is a graph showing the thickness shrinkage rate according to the post-annealing condition of the aluminum oxide film formed according to the conventional technique and the first embodiment of the present invention.
[0048]
In the X axis of FIG. 11, N450, N750, and N830 are samples subjected to post-annealing in nitrogen atmospheres of 450 ° C., 750 ° C., and 830 ° C., and O450, O750, and O830 are 450 ° C., 750 ° C., and 830 ° C., respectively. The sample was subjected to post-annealing in an oxygen atmosphere, and the RTO was a sample rapidly oxidized at 850 ° C. It can be seen that the thickness shrinkage rate (thickness reduction rate) of the aluminum oxide film formed according to the conventional technique and the first embodiment of the present invention does not vary greatly depending on the post-annealing temperature and gas conditions.
[0049]
12 and 13 are graphs showing the absorption constant and the refractive index according to the wavelength of the aluminum oxide film formed according to the conventional technique and the first embodiment of the present invention, respectively.
[0050]
The aluminum oxide film formed by the conventional technique and the first embodiment of the present invention has an excellent absorption constant of 0.005 or less in a wide wavelength band of 180 to 900 nm as shown in FIG. Represents transparency. Then, it can be seen that the refractive index of the aluminum oxide film formed by the conventional technique and the first embodiment of the present invention does not change greatly in a wide wavelength band of 180 to 900 nm as shown in FIG.
[0051]
FIG. 14 is a graph showing the post-annealing temperature of the aluminum oxide film formed according to the conventional technique and the first embodiment of the present invention, and the wet etching rate by the atmospheric gas.
[0052]
In the X-axis of FIG. 14, “as-dep” is a sample that has not been annealed after being deposited on the substrate, and N450, N750, and N830 are post-annealed in nitrogen atmospheres of 450 ° C., 750 ° C., and 830 ° C., respectively. It is the sample which was done. O450, O750, and O830 are samples that are post-annealed in an oxygen atmosphere of 450 ° C., 750 ° C., and 830 ° C., respectively, and RTP is a sample that is rapidly thermally oxidized in an oxygen atmosphere of 850 ° C. The Y axis represents the etching rate when wet etching is performed on each sample with a 200: 1 HF solution.
[0053]
As shown in FIG. 14, the wet etching rate of the aluminum oxide film formed by the conventional technique and the first embodiment of the present invention decreases as the annealing temperature increases regardless of the annealing conditions. In particular, if post-annealing is performed at 800 ° C. or higher, the etching rate is rapidly reduced to 2 to 3 mm / min. It can also be seen that if post-annealing is performed at 800 ° C. or lower, the etching rate of the aluminum oxide film according to the first embodiment of the present invention is reduced by about 30% compared to the conventional case. Therefore, the case where ozone is used as the oxidizing gas is H2It can be seen that it is chemically more stable than when O is used as the oxidizing gas.
[0054]
Hereinafter, a case where the aluminum oxide film formed according to the first embodiment of the present invention is employed in a semiconductor element will be described.
[0055]
FIG. 15 is a cross-sectional view showing a capacitor structure of a semiconductor device employing a dielectric film formed according to the first embodiment of the present invention.
[0056]
The capacitor of the semiconductor device employing the dielectric film formed according to the first embodiment of the present invention includes a lower electrode 205, a dielectric film 207, and an upper electrode 209 formed on a substrate 201, for example, a silicon substrate. In FIG. 15, reference numeral 203 is an interlayer insulating film, and reference numeral 211 is a capping film formed on the upper electrode of the capacitor.
[0057]
Hereinafter, a capacitor in which both the upper electrode 209 and the lower electrode 205 are made of an impurity-doped polysilicon film, and the dielectric film 207 is made of an aluminum oxide film formed according to the first embodiment of the present invention. Is called “SIS capacitor”. The lower electrode 205 is composed of an impurity-doped polysilicon film, the dielectric film 207 is composed of an aluminum oxide film formed according to the first embodiment of the present invention, and the upper electrode 209 is a TiN film. The case where it is configured is called “MIS capacitor”. Further, both the upper electrode 209 and the lower electrode 205 are made of a platinum group noble metal film, for example, Pt, Ru, etc., and the dielectric film 207 is an insulating film, for example, a tantalum oxide film or BST (BaSrTiO).Three) A capacitor composed of a film is called an “MIM capacitor”.
[0058]
FIG. 16 is a cross-sectional view showing a transistor structure of a semiconductor device employing a dielectric film formed according to the first embodiment of the present invention.
[0059]
The semiconductor device employing the dielectric film according to the first embodiment of the present invention includes a silicon substrate 301 doped with impurities such as phosphorus, arsenic, boron, fluorine, etc. as a first electrode, and a gate insulating film 305 as a dielectric film. And a gate electrode 307 as a second electrode. In FIG. 16, reference numeral 303 denotes an impurity doping region, which represents a source or drain region.
[0060]
Here, in the transistor structure of the semiconductor element of the present invention, the silicon substrate 301 corresponds to the lower electrode and the gate electrode 307 corresponds to the upper electrode when compared with the capacitor structure. The gate insulating film 305 corresponds to the dielectric film of the capacitor.
[0061]
Next, the insulating characteristics of the dielectric film will be described with reference to the capacitor structure for convenience of description, but the same applies to the transistor structure.
[0062]
FIG. 17 is a graph for explaining the leakage current characteristics depending on the applied voltage of the SIS capacitor employing the conventional capacitor and the dielectric film formed according to the first embodiment of the present invention.
[0063]
The SIS capacitor of the present invention (indicated by a circle) is identical in structure to the conventional capacitor (indicated by a circle) except that the method for forming the dielectric film is different. As shown in FIG. 17, 1E-7 A / cm, which is an allowable leakage current density in a capacitor of a general semiconductor device.2Thus, it can be seen that the applied voltage of the SIS capacitor of the present invention can be increased by about 0.4 V than the conventional capacitor. Therefore, the SIS capacitor of the present invention can reduce the thickness of the dielectric film with a constant leakage current value, which is advantageous for integration of semiconductor devices. The leakage current density “1E-7” is “1 × 10-7”And the like. This is the same in the present specification and drawings.
[0064]
FIG. 18 shows that the leakage current density due to the equivalent oxide film of the SIS capacitor employing the dielectric film formed according to the first embodiment of the present invention is 1E-7 A / cm.2It is a graph of the take-off voltage which shows the insulation characteristic which becomes the above. The take-off voltage is a voltage that exhibits characteristics such that the low slope changes rapidly as shown in FIG.
[0065]
As shown in FIG. 18, the SIS capacitor according to the present invention exhibits stable insulation characteristics until the equivalent oxide film thickness is up to 35 mm, so that the take-off voltage does not decrease so much. When the thickness of the equivalent oxide film is 35 mm or less, the take-off voltage is sharply reduced and the insulation characteristics are weakened.
[0066]
FIG. 19 is a graph showing the leakage current characteristics depending on the applied voltage of the MIS capacitor employing the dielectric film formed according to the first embodiment of the present invention.
[0067]
Leakage current density is 1E-7A / cm2In the case of the MIS capacitor of the present invention, which is a general reference value with a voltage of 1.2 V, the thickness of the equivalent oxide film can be 26.5 mm. Thus, reducing the thickness of the equivalent oxide film is extremely advantageous for integration of semiconductor elements.
[0068]
FIG. 20 is a graph comparing the leakage current characteristics of a MIS capacitor employing a dielectric film formed according to the first embodiment of the present invention and a conventional capacitor.
[0069]
The conventional capacitor is the same as the MIS capacitor of the present invention except for the dielectric film. As shown in FIG. 20, the MIS capacitor employing the aluminum oxide film formed according to the first embodiment of the present invention has a leakage current value of 1 fA per cell and a tantalum oxide film (TaO) as a dielectric film. When compared with a conventional capacitor using a nitride film-oxide film (NO), the applied voltage is the highest. In other words, when compared with the conventional capacitor, the MIS capacitor of the present invention can have the best leakage current characteristics even with a thin equivalent oxide film. In FIG. 20, the numbers in parentheses represent the thickness of the dielectric film.
[0070]
FIGS. 21 and 22 are graphs showing leakage current characteristics depending on applied voltage when the aluminum oxide film according to the conventional technique and the first embodiment of the present invention is used as the capping film of the MIM capacitor, respectively.
[0071]
21 and 22, “black squares” represent MIM capacitors when a capping film is not employed. In FIG. 21, “●” indicates a case where an aluminum oxide film is formed as a capping film by a conventional technique, and “black lower triangle” indicates hydrogen annealing at 400 ° C. after the aluminum oxide film is formed as a capping film. Represents a case. In FIG. 22, “●” indicates a case where an aluminum oxide film is formed as a capping film according to the first embodiment of the present invention, and “black upper triangle” indicates that the aluminum oxide film is formed as a capping film at 400 ° C. This is a case where hydrogen annealing is performed, and a “black lower triangle” is a case where nitrogen annealing is performed at 700 ° C. after forming an aluminum oxide film as a capping film.
[0072]
In general, when a MIM capacitor is employed in a semiconductor device, there is a problem that a dielectric film deteriorates during hydrogen annealing used in a subsequent alloy process. For this reason, a capping film serving as a hydrogen barrier is formed on the MIM capacitor. However, as shown in FIG. 21, if the aluminum oxide film formed according to the first embodiment of the present invention is used as a capping film, the leakage current density is 1E-7 A / cm.2From the above criteria, the barrier current characteristics are extremely excellent not only when the aluminum oxide film is formed as a capping film but also by subsequent hydrogen annealing, so that the leakage current characteristics are not deteriorated. However, as shown in FIG. 22, if an aluminum oxide film formed by a conventional technique is used as a capping film, hydrogen and OH ligand of water vapor deteriorate the leakage current characteristics of the MIM capacitor during the deposition.
[0073]
Second embodiment
FIG. 23 is a flowchart for explaining the second embodiment of the thin film forming method using the atomic layer deposition method of the present invention.
[0074]
The substrate 15 (see FIG. 3), for example, a silicon substrate, is subjected to oxygen flushing with an oxidizing gas to perform a termination treatment for bonding dangling bonds of the substrate 15 with oxygen (step 21). In addition to the oxygen flushing, dangling bonds can be combined with oxygen by methods such as ozone cleaning and silicon oxide film formation. Further, if necessary, oxygen flushing of the substrate 15 may not be performed.
[0075]
Next, after the substrate 15 is carried into the reaction chamber 11 (see FIG. 3), the reaction chamber 11 is heated to 100 to 400 ° C., preferably 300 to 350 ° C. using a heater (not shown) and the pump 19. Maintain temperature and process pressure of 1 to 10,000 mTorr (step 23). The process temperature and process pressure continue to be maintained in subsequent processes, but may change as necessary.
[0076]
Next, with the process temperature and process pressure maintained, the first valve V1 is opened with respect to the reaction chamber 11, and the first reactant 11, for example, trimethylaluminum (Al (CHThree)Three: TMA) is injected through the gas line A and the shower head 17 for a time to sufficiently cover the surface of the substrate, for example, 1 msec to 10 sec (step 25). As a result, the first reactant is chemisorbed onto the oxygen-flushed silicon substrate.
[0077]
Next, while maintaining the process temperature and the process pressure, the second valve V2 is selectively opened with respect to the reaction chamber 11, and an inert gas, for example, argon gas, is primarily purged for 0.1 to 100 seconds. (Step 27). As a result, the first reactant physically adsorbed on the substrate 15 is removed.
[0078]
Next, the third valve V3 is opened with respect to the reaction chamber 11 while maintaining the process temperature and the process pressure, and a second reactant, for example, an oxidizing gas containing no hydroxyl group is injected through the shower head 17. (Step 29). As the second reactant, N2O, O2, OThreeOr CO2Gas can be used. As a result, the first and second chemisorbed reactants react with each other, and the first reactant is replaced with a metal-oxygen atomic layer. Although the second reactant has a low reactivity with the first reactant, a metal-oxygen atomic layer can be formed while no hydroxyl group is generated in the metal oxide film, as will be described later.
[0079]
Next, while maintaining the process temperature and the process pressure, the reaction chamber 11 is secondarily purged with an inert gas for 0.1 to 100 seconds to remove unnecessary reactants (step 31).
[0080]
Next, the fourth valve V3 is opened and a third reactant such as water vapor (H2An oxide such as O) is injected through the shower head 17 for a time that sufficiently covers the surface of the substrate, for example, 1 msec to 10 sec (step 33). As a result, since the third reactant has better reactivity with the first reactant than the second reactant, the first reactant left unreacted among the adsorbed first reactant. And the third reactant reacts with each other and is replaced with a metal-oxygen atomic layer. At this time, since the absolute amount of the first reactant is reduced by reacting the second reactant and the first reactant not containing the hydroxyl group in advance, the atomic layer unit in which the generation of the hydroxyl group is suppressed. The metal oxide film is formed.
[0081]
In this embodiment, an aluminum oxide film (Al2OThree) Is an example of TiO2, ZrO2, HfO2, Ta2OFive, Nb2OFive, CeO2, Y2OThree, SiO2, In2OThree, RuO2, IrO2, SrTiOThree, PbTiOThree, SrRuOThree, CaRuOThree, (Ba, Sr) TiOThree, Pb (Zr, Ti) OThree, (Pb, La) (Zr, Ti) OThree, (Sr, Ca) RuOThree, (Ba, Sr) RuOThree, Sn doped In2OThree(ITO) or I doped with Zr2OThreeIt may be a film.
[0082]
Next, in a state where the process temperature and the process pressure are maintained, the reaction chamber 11 is purged for 3 to 100 seconds with an inert gas to remove unnecessary reactants. As a result, metal oxidation in atomic layers is performed. One cycle of forming the film is completed (step 35). If necessary, after the purge, the step of injecting and purging the second reactant containing no hydroxyl group may be further performed to suppress the reaction between the third reactant and the first reactant to the maximum. .
[0083]
Next, it is confirmed whether or not the thickness of the metal oxide film formed on the substrate is appropriate, for example, about 10 to 1000 mm (step 37). If the thickness is appropriate, the formation step of the metal oxide film is completed. Otherwise, the first reactant injection step (step 25) to the purge step (step 35) are periodically repeated.
[0084]
24 to 27 illustrate the bonding relationship of reactants adsorbed on the substrate when an aluminum oxide film is formed by the thin film formation method using the atomic layer deposition method of the second embodiment of the present invention. FIG.
[0085]
First, oxygen flashing is performed on the substrate 15, for example, a silicon substrate, and dangling bonds of the substrate 15 are combined with oxygen as shown in FIG. If necessary, oxygen flushing of the substrate 15 may not be performed.
[0086]
Next, trimethylaluminum (Al (CH) as the first reactant is placed in the reaction chamber 11 in which the process temperature of 100 to 400 ° C. and the process pressure of 1 to 10,000 mTorr are maintained.Three)Three) And then purged with argon gas. As a result, as shown in FIG. 25, the first reactant is adsorbed on the substrate 15 subjected to oxygen flushing. That is, on the silicon substrate, Si—O, Si—O—CHThreeOr Si-O-Al-CHThreeVarious forms exist.
[0087]
Next, a second reactant that does not contain a hydroxyl group in the reaction chamber 11, for example, N2O, O2, OThreeOr CO2Inject. For example, N as the second reactant2The reaction formula in the case of using O is as shown in the following chemical formula (5).
[0088]
2Al (CHThree)Three+ 3N2O
→ Al2OThree+ Al (CHThree)Three+ 3C2H6+ 3N2↑… (5)
As shown in the chemical formula 5, trimethylaluminum contains no hydroxyl group.2If O is injected, while trimethylaluminum is consumed, Al2OThreeIs formed. In other words, the adsorbed first reactant and second reactant react with each other to replace the first reactant with a metal-oxygen atomic layer as shown in FIG. That is, many forms of Si—O—Al—O are formed on the silicon substrate.
[0089]
Next, a third reactant such as water vapor (H2Purge with argon gas after injecting O). Accordingly, as shown in FIG. 27, the first reactant remaining after reacting with the second reactant among the adsorbed first reactant reacts with the third reactant to react with metal-oxygen atoms. Replaced with layer. At this time, since the absolute amount of the first reactant is reduced by reacting the second reactant and the first reactant not containing the hydroxyl group in advance, the atomic layer unit in which the generation of the hydroxyl group is suppressed. The metal oxide film is formed.
[0090]
Here, it will be described in more detail how an aluminum oxide film in atomic layer units with a small absolute amount of hydroxyl groups is formed.
[0091]
First, when forming an aluminum oxide film by the conventional ALD method, the present inventor uses Al (OH), which is an unfavorable by-product of the aluminum oxide film, depending on the reaction shown in the chemical formula 2.ThreeIs included. Al (OH) which is such a by-productThreeIn order to confirm the above, the present inventor conducted an XPS (X-ray Photoelectron Spectroscopy) analysis of an aluminum oxide film formed by a conventional ALD method.
[0092]
FIG. 28 is an XPS graph of an aluminum oxide film formed by a conventional atomic layer deposition method. In FIG. 28, the X-axis represents binding energy, and the Y-axis represents arbitrary unit count.
[0093]
It can be seen that when the graphs on the right and left sides of the aluminum oxide film formed by the conventional ALD method are centered on 535.1 eV and the graphs on the right side and the left side are overlapped, the graphs appear a little wider without overlapping. In other words, the aluminum oxide film formed by the conventional ALD method is Al (OH).ThreeTherefore, a graph (b) wider than the graph (a) where a pure aluminum oxide film is formed appears.
[0094]
In consideration of the above, when trimethylaluminum and water vapor are reacted immediately as in the prior art, Al (OH) containing a hydroxyl group by the reaction shown in Formula 2 above.ThreeA lot is made. For this reason, Al (OH)ThreeIn order to reduce the amount of trimethylaluminum, the absolute amount of trimethylaluminum that reacts with water vapor must be reduced. In the present invention, trimethylaluminum is converted to N containing no hydroxyl group.2In order to reduce the absolute amount of trimethylaluminum in advance by reacting with O and then react with water vapor again, an aluminum oxide film in atomic layer units is formed in a state where the absolute amount of hydroxyl groups is small.
[0095]
29 and 30 are graphs showing leakage current characteristics of an aluminum oxide film manufactured according to the conventional technique and the second embodiment of the present invention, respectively.
[0096]
The leakage current characteristic of the aluminum oxide film was examined by applying it to the capacitor. Here, a polysilicon film was used as the lower electrode of the capacitor, and a polysilicon film was used as the upper electrode. 29 and 30, the first curve (a and c) connects the lower electrode to the ground, and the upper electrode applies the voltage of 0 to 5V while applying the voltage per cell flowing through the dielectric film. The second curve (b and d) is a result of measurement again under the same conditions after the first measurement. As shown in FIG. 30, when the aluminum oxide film formed according to the present invention is used as a dielectric film, the leakage current is small at the same voltage, for example, 2 V, compared with the conventional FIG. It can be seen that the leakage current characteristic is improved because the distance between the second curves is also short.
[0097]
Third embodiment
FIG. 31 is a flowchart for explaining a thin film forming method using an atomic layer deposition method according to the third embodiment of the present invention, and FIG. 32 is an atomic layer deposition according to the third embodiment of the present invention. 3 is a timing diagram showing the supply of reactants when forming a thin film using the method. 31 and 32, the process of forming the aluminum oxide film will be described as an example.
[0098]
First, a substrate 15, for example, a silicon substrate, is flushed with nitrogen or oxygen using an oxidizing or nitriding gas to terminate dangling bonds of the substrate 15 with oxygen or nitrogen (step 41). The oxygen or nitrogen flushing may be performed using the atomic layer thin film forming apparatus shown in FIG. 3 as it is, or may be performed using another apparatus. In addition to the oxygen or nitrogen flushing, dangling bonds may be combined with oxygen or nitrogen through methods such as ozone cleaning, silicon oxide film formation, and silicon nitride film formation. If necessary, oxygen or nitrogen flushing of the substrate 15 may not be performed.
[0099]
Next, after the substrate 15 is carried into the reaction chamber 11, the temperature of the reaction chamber 11 is set to 100 to 400 ° C., preferably 300 to 350 ° C., preferably 1 to 10, using a heater (not shown) and a pump 19. The process condition is maintained at a pressure of 000 mTorr (step 43). The process conditions are maintained in subsequent steps, but may be changed if necessary.
[0100]
Next, with the process conditions maintained, the first valve V1 is opened to the reaction chamber 11, and the first reactant 11, for example, trimethylaluminum (Al (CHThree)Three: TMA) is injected through the gas line A and the shower head 17 for a time to sufficiently cover the surface of the substrate, for example, 1 msec to 10 sec (step 45). Thus, the first reactant is chemisorbed on the oxygen or nitrogen flushed substrate.
[0101]
Next, the second valve V2 is selectively opened with respect to the reaction chamber 11 while maintaining the above process conditions, and an inert gas such as argon gas is primarily purged for 0.1 to 100 seconds (step 47). ). As a result, the first reactant physically adsorbed on the substrate 15 is removed.
[0102]
Next, the third valve V3 is opened with respect to the reaction chamber 11 while maintaining the above process conditions, and the second reactant such as water vapor (H2An oxidizing gas having excellent oxidizing power such as O) is injected (step 49).
[0103]
As a result, the first and second chemisorbed reactants react and a thin film in units of atomic layers, that is, an aluminum oxide film is formed by chemical substitution. That is, TMA CHThreeAnd H2H of O reacts to CHFourAs TMA Al and H2O in O reacts to Al2OThreeIs formed. However, since the atomic layer thin film is formed at a process temperature of 400 ° C. or lower, TMA is not completely decomposed, and as a result, many impurities such as carbon and OH bonds are formed in the aluminum oxide film. .
[0104]
Next, in a state where the process conditions are maintained, the reaction chamber 11 is secondarily purged with an inert gas, for example, argon gas, for 0.1 to 100 seconds to remove the second reactant that is physically adsorbed without the reaction. Remove (step 51).
[0105]
Next, a surface of the substrate on which the thin film is formed is supplied to the reaction chamber through a fourth valve V4 and a shower head 17 through a third reactant, for example, ozone, for removing impurities and improving stoichiometry. For a time to sufficiently cover the surface, for example, 1 msec to 10 sec (step 53). According to this, impurities such as carbon and OH bonds contained in the atomic layer unit thin film can be removed, and the problem of oxygen deficiency of the aluminum oxide film can be solved. As a result, an excellent stoichiometric thin film can be obtained. Can be obtained.
[0106]
Next, in a state where the above process conditions are maintained, the reaction chamber 11 is purged with an inert gas for a period of 0.1 to 100 seconds to remove the third reactant that is physically adsorbed without being reacted, and the atomic layer unit. One cycle of forming the thin film is completed (step 55).
[0107]
Next, it is confirmed whether or not the thickness of the atomic layer unit thin film formed on the substrate is appropriate, for example, about 10 to 1000 mm (step 57). If the thickness is appropriate, the thin film formation step is completed. Otherwise, the first reactant injection step (step 45) to the inert gas tertiary purge step (step 55) are periodically repeated. .
[0108]
In the present embodiment, the first reactant, the second reactant, and the third reactant are each trimethylaluminum (Al (CHThree)Three: TMA), an aluminum oxide film, which is a metal oxide film, is formed by using water vapor, an oxidizing gas, and ozone gas for removing impurities and improving stoichiometry, but the first reactant, the second reactant, and the second reactant Each of the three reactants is TiCl which is a metal reactant.FourNH, which is a nitriding gasThreeWhen nitrogen gas is used for removing impurities and improving stoichiometry, a titanium nitride film that is a metal nitride film can be formed.
[0109]
Furthermore, according to the thin film formation method using the atomic layer deposition method of the present invention, in addition to the aluminum oxide film and the titanium nitride film, a monoatomic oxide, a complex oxide, a monoatomic nitride or a complex nitride is formed. You can also Examples of the monoatomic oxide include TiO2, Ta2OFive, ZrO2, HfO2, Nb2OFive, CeO2, Y2OThree, SiO2, In2OThree, RuO2Or IrO2Examples of complex oxides include SrTiOThree, PbTiOThree, SrRuOThree, CaRuOThree, (Ba, Sr) TiOThree, Pb (Zr, Ti) OThree, (Pb, La) (Zr, Ti) OThree, (Sr, Ca) RuOThree, Sn doped In2OThree, In doped with Fe2OThreeOr In doped with Zr2OThreeCan be mentioned. Examples of the monoatomic nitride include SiN, NbN, ZrN, TaN, YaThreeNFiveAlN, GaN, WN or BN, and examples of the composite nitride include WBN, WSiN, TiSiN, TaSiN, AlSiN or AlTiN.
[0110]
Moreover, the thin film formed by the thin film formation method using the atomic layer deposition method of the present invention described above can be applied to a semiconductor element. Examples thereof include a gate oxide film, a capacitor electrode, an anti-etching film, a capping film for reaction prevention, an anti-reflection film during a photographic process, a barrier metal film, a film for selective vapor deposition, and a metal gate electrode.
[0111]
FIG. 33 is a graph showing the thickness per cycle of the aluminum oxide film formed by the atomic layer thin film forming method according to the third embodiment of the present invention.
[0112]
In the figure, the X axis represents the number of cycles. Here, one cycle includes injection of the first reactant, purge of the first reactant physically adsorbed, injection of the second reactant, purge of the second reactant physically adsorbed, and injection of the third reactant. And a purge step of the physisorbed third reactant. The Y axis represents the thickness of the aluminum oxide film. As shown in FIG. 33, according to the thin film manufacturing method of the present invention, the aluminum oxide film is grown at a thickness of 1.1 mm per cycle, and the thickness increases linearly in proportion to the number of cycles. It can be seen that an aluminum oxide film can be easily formed by atomic layer deposition.
[0113]
FIG. 34 is a graph shown for explaining the uniformity in the substrate of the aluminum oxide film formed by the atomic layer thin film forming method according to the third embodiment of the present invention.
[0114]
The X axis is the center point of the 8-inch substrate, four points every 90 degrees from the circle having a radius of 1.75 inches centered on the center point, and 90 points from the circle having a radius of 3.5 inches centered on the center point. A total of 9 measurement positions are represented by adding 4 points every degree. The Y axis represents the thickness of the aluminum oxide film. As shown in FIG. 34, it can be seen that the uniformity within the 8-inch substrate is extremely excellent.
[0115]
FIGS. 35 and 36 are graphs obtained by analyzing the aluminum peak of the aluminum oxide film formed by the conventional technique and the atomic layer thin film forming method according to the third embodiment of the present invention using XPS.
[0116]
In the figure, the X axis represents bonding energy, and the Y axis represents the number of electrons. In the conventional aluminum oxide film, as shown in FIG. 35, many Al—Al bondings are observed. In contrast, as shown in FIG. 36, in the aluminum oxide film of the present invention, Al—Al bonding is hardly seen, and Al—O bonding is mainly seen. This shows that the aluminum oxide film of the present invention is excellent in stoichiometry.
[0117]
37 and 38 are graphs obtained by analyzing the carbon peak of the aluminum oxide film formed by the conventional technique and the thin film formation method using the atomic layer deposition method according to the third embodiment of the present invention using XPS, respectively. It is.
[0118]
In the figure, the X axis represents bonding energy, and the Y axis represents the number of electrons. As shown in FIG. 37, the conventional aluminum oxide film has a carbon peak. This means that the aluminum oxide film contains a lot of carbon. On the other hand, in the aluminum oxide film according to the present invention, as shown in FIG. Therefore, according to the present invention, an aluminum oxide film with reduced impurities such as carbon can be obtained.
[0119]
Fourth embodiment
FIG. 39 is a flowchart shown for explaining the atomic layer thin film forming method according to the fourth embodiment of the present invention. 39, the same reference numerals as those in FIG. 23 represent the same elements.
[0120]
The fourth embodiment of the present invention is a method combining the second embodiment and the third embodiment. That is, after the third purge of the second embodiment, as in the third embodiment, the reaction chamber contains a fourth reactant such as ozone gas for removing the impurities and improving the stoichiometry. Oxidizing gas is injected through the third valve V3 and the shower head 17 for a time to sufficiently cover the surface of the substrate on which the thin film is formed, for example, 1 msec to 10 sec (step 36a), and then the fourth purge is performed (step 36b). It is the same except for.
[0121]
As a result, impurities such as carbon and OH bonds contained in the metal oxide film of the atomic layer unit can be removed and the problem of oxygen deficiency can be solved, so that an excellent stoichiometric thin film can be obtained. it can. In other words, in the present invention, when forming a thin film by atomic layer deposition, in addition to the main reactant, in order to improve the quality of the thin film through removal of impurities in the thin film and more complete reaction, To increase the probability of reaction between the main reactants before or after the injection, thereby minimizing the desired film quality and byproduct concentration. The present invention lowers the concentration of by-products in the thin film using a reactant that does not generate hydroxyl groups in the reaction mechanism.
[0122]
【The invention's effect】
As described above, according to the thin film formation method using the atomic layer deposition method according to the present invention, the difference in binding energy can be obtained without the transfer of radicals from the second reactant (B) to the first reactant (A). The ligand of the first reactant (A) is separated. A volatile gas phase material is formed by binding between the ligands, and the gas phase material is removed by purging. As a result, since the thin film forming method using the atomic layer deposition method of the present invention does not move radicals, impurities generated in the thin film due to side reactions can be reduced.
[0123]
In addition, according to the thin film formation method using the atomic layer deposition method according to the present invention, when the metal oxide film is formed using the atomic layer deposition method, the first reaction product is a second reaction that does not contain a hydroxyl group. After reacting with the product in advance to reduce the absolute amount of the first reactant, the first reactant and the third reactant containing the hydroxyl group are reacted again to form hydroxyl groups in the metal oxide film. Production of by-products such as For example, the present invention relates trimethylaluminum to N containing no hydroxyl group.2By reacting with O in advance to reduce the absolute amount of trimethylaluminum and reacting with water vapor again, an aluminum oxide film can be formed with a small absolute amount of hydroxyl groups.
[0124]
Further, according to the thin film forming method using the atomic layer deposition method according to the present invention, in addition to the first reactant and the second reactant for forming a thin film in the reaction chamber when the atomic layer deposition method is used, impurities The third reactant is injected and purged for removal of and increasing stoichiometry. Thereby, it is possible to obtain a thin film which does not contain impurities and is excellent in stoichiometry.
[Brief description of the drawings]
FIG. 1 is a flowchart for explaining a process of forming an aluminum oxide film using a conventional atomic layer deposition method.
FIG. 2 is a view for explaining a reaction mechanism when forming the aluminum oxide film of FIG. 1;
FIG. 3 is a schematic view for explaining an atomic layer thin film forming apparatus used in a thin film forming method using the atomic layer deposition method of the present invention.
FIG. 4 is a drawing for explaining a reaction mechanism of a thin film forming method using an atomic layer deposition method according to the first embodiment of the present invention.
FIG. 5 is a flowchart for explaining an aluminum oxide film formation process according to the first embodiment of the present invention;
6 is a drawing for explaining a reaction mechanism when an aluminum oxide film is formed using the atomic layer deposition method of FIG.
FIG. 7 is a graph showing RGA data when an aluminum oxide film is formed by a conventional technique.
FIG. 8 is a graph showing RGA data when an aluminum oxide film is formed according to the first embodiment of the present invention.
FIG. 9 is a graph showing the thickness of the aluminum oxide film according to the number of cycles when the aluminum oxide film is formed according to the conventional technique and the first embodiment of the present invention.
FIG. 10 is a graph showing the stress history depending on the temperature of the aluminum oxide film formed by the conventional technique and the first embodiment of the present invention.
FIG. 11 is a graph showing a thickness shrinkage rate according to post-annealing conditions of an aluminum oxide film formed according to the conventional technique and the first embodiment of the present invention.
FIG. 12 is a graph showing an absorption constant and a refractive index according to wavelength of an aluminum oxide film formed by a conventional technique.
FIG. 13 is a graph showing an absorption constant and a refractive index according to wavelength of the aluminum oxide film formed according to the first embodiment of the present invention.
FIG. 14 is a graph showing the post-annealing temperature of the aluminum oxide film formed according to the conventional technique and the first embodiment of the present invention, and the wet etching rate by the atmospheric gas.
FIG. 15 is a cross-sectional view showing a capacitor structure of a semiconductor device employing a dielectric film formed according to the first embodiment of the present invention.
FIG. 16 is a cross-sectional view showing a transistor structure of a semiconductor device employing a dielectric film formed according to the first embodiment of the present invention.
FIG. 17 is a graph illustrating a leakage current characteristic according to an applied voltage of a SIS capacitor employing a conventional capacitor and a dielectric film formed according to the first embodiment of the present invention;
FIG. 18 is a graph showing a take-off voltage due to an equivalent oxide film of a SIS capacitor employing a dielectric film formed according to the first embodiment of the present invention.
FIG. 19 is a graph showing a leakage current characteristic according to an applied voltage of a MIS capacitor employing a dielectric film formed according to the first embodiment of the present invention.
FIG. 20 is a graph comparing the leakage current characteristics of a MIS capacitor employing a dielectric film formed according to the first embodiment of the present invention and a conventional capacitor.
FIG. 21 is a graph showing a leakage current characteristic depending on an applied voltage when an aluminum oxide film according to a conventional technique is used as a capping film of an MIM capacitor.
FIG. 22 is a graph showing a leakage current characteristic according to an applied voltage when the aluminum oxide film according to the first embodiment of the present invention is used as a capping film of an MIM capacitor.
FIG. 23 is a flow chart for explaining a second embodiment of a thin film forming method using the atomic layer deposition method of the present invention.
FIG. 24 is a view for explaining the bonding relationship of reactants adsorbed on a substrate when an aluminum oxide film is formed by the thin film formation method using the atomic layer deposition method of the second embodiment of the present invention. It is.
FIG. 25 is a view for explaining the bonding relationship of reactants adsorbed on a substrate when an aluminum oxide film is formed by the thin film formation method using the atomic layer deposition method of the second embodiment of the present invention. It is.
FIG. 26 is a view for explaining a bonding relationship of reactants adsorbed on a substrate when an aluminum oxide film is formed by the thin film formation method using the atomic layer deposition method according to the second embodiment of the present invention. It is.
FIG. 27 is a view for explaining a bonding relationship of reactants adsorbed on a substrate when an aluminum oxide film is formed by the thin film formation method using the atomic layer deposition method according to the second embodiment of the present invention. It is.
FIG. 28 is an XPS graph of an aluminum oxide film formed by a conventional atomic layer deposition method.
FIG. 29 is a graph showing leakage current characteristics of an aluminum oxide film manufactured by a conventional technique.
FIG. 30 is a graph showing leakage current characteristics of an aluminum oxide film manufactured according to the second embodiment of the present invention.
FIG. 31 is a flowchart for explaining a thin film forming method using an atomic layer deposition method according to a third embodiment of the invention.
FIG. 32 is a timing diagram showing the supply of reactants when forming a thin film using the atomic layer deposition method according to the third embodiment of the invention.
FIG. 33 is a graph showing the thickness per cycle of an aluminum oxide film formed by the atomic layer thin film forming method according to the third embodiment of the present invention.
FIG. 34 is a graph shown for explaining the uniformity in the substrate of the aluminum oxide film formed by the atomic layer thin film forming method according to the third embodiment of the present invention.
FIG. 35 is a graph obtained by analyzing an aluminum peak of an aluminum oxide film formed by a conventional atomic layer thin film forming method using XPS.
FIG. 36 is a graph obtained by analyzing an aluminum peak of an aluminum oxide film formed by the atomic layer thin film forming method according to the third embodiment of the present invention using XPS.
FIG. 37 is a graph obtained by analyzing a carbon peak of an aluminum oxide film formed by a thin film forming method using an atomic layer deposition method according to a conventional technique using XPS.
FIG. 38 is a graph obtained by analyzing the carbon peak of an aluminum oxide film formed by a thin film forming method using an atomic layer deposition method according to a third embodiment of the present invention using XPS.
FIG. 39 is a flowchart for explaining an atomic layer thin film forming method according to a fourth embodiment of the present invention;
[Explanation of symbols]
11 ... Reaction chamber
11 ... Reactant
13 ... Susceptor
15 ... Board
17 ... Shower head
19 ... Pump
201 ... substrate
203 ... Interlayer insulating film
205 ... Lower electrode
207 ... Dielectric film
209 ... Upper electrode
211 ... Capping membrane
301 ... silicon substrate
303 ... Impurity doping region
305 ... Gate insulating film
307 ... Gate electrode
V1, V2, V3, V4 ... Valve

Claims (3)

基板を含む反応チャンバに薄膜をなす元素及びリガンドを含む第1反応物を注入して前記基板上に第1反応物を化学吸着させる段階と、
前記反応チャンバを不活性ガスでパージし、物理吸着された第1反応物を除去する段階と、
前記反応チャンバに前記薄膜をなす元素との結合エネルギーが前記リガンドよりも大きい活性化された酸化剤ある第2反応物を注入して前記薄膜をなす元素と第2反応物との化学反応によって原子層単位の薄膜を形成すると共に、前記リガンドを除去する段階とを含み、
前記第1反応物はAl(CH 3 3 であり、前記第2反応物は活性化されたオゾン(O 3 )であることを特徴とする原子層蒸着法を用いた薄膜形成方法。
Injecting a first reactant containing a thin film element and a ligand into a reaction chamber containing a substrate to chemisorb the first reactant on the substrate;
Purging the reaction chamber with an inert gas to remove the first physically adsorbed reactant;
By injecting into the reaction chamber a second reactant which is an activated oxidant having a binding energy with the element forming the thin film larger than that of the ligand, and by a chemical reaction between the element forming the thin film and the second reactant. to form a thin film of atom layer unit, seen including and removing the ligand,
Wherein the first reactant is Al (CH 3) 3, the second reactant is activated ozone (O 3) thin film forming method using the atomic layer deposition method, characterized in der Rukoto.
前記第反応物の注入段階後に、前記チャンバを不活性ガスでパージして物理吸着された第2反応物を除去する段階をさらに具備することを特徴とする請求項1に記載の原子層蒸着法を用いた薄膜形成方法。 After injection stage of the second reactant, atomic layer according to claim 1, characterized that you further comprising the step of removing the chamber second reactant that is physisorbed is purged with an inert gas Thin film formation method using vapor deposition. 前記第1反応物の注入段階から前記物理吸着された第2反応物の除去段階までを複数回繰り返し行うことを特徴とする請求項2に記載の原子層蒸着法を用いた薄膜形成方法。3. The method of forming a thin film using an atomic layer deposition method according to claim 2, wherein the steps from the injection step of the first reactant to the removal step of the physically adsorbed second reactant are repeated a plurality of times .
JP2000307849A 1999-10-06 2000-10-06 Thin film formation method using atomic layer deposition Expired - Fee Related JP4700181B2 (en)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
KR19990043056 1999-10-06
KR99P43056 1999-10-06
KR99P50902 1999-11-16
KR19990050902 1999-11-16
KR20000014824 2000-03-23
KR00P14824 2000-03-23
KR00P53415 2000-09-08
KR1020000053415A KR100343144B1 (en) 1999-10-06 2000-09-08 Thin film formation method using atomic layer deposition

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2008068427A Division JP4823260B2 (en) 1999-10-06 2008-03-17 Thin film formation method using atomic layer deposition

Publications (2)

Publication Number Publication Date
JP2001152339A JP2001152339A (en) 2001-06-05
JP4700181B2 true JP4700181B2 (en) 2011-06-15

Family

ID=27483394

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000307849A Expired - Fee Related JP4700181B2 (en) 1999-10-06 2000-10-06 Thin film formation method using atomic layer deposition

Country Status (5)

Country Link
JP (1) JP4700181B2 (en)
CN (1) CN1234909C (en)
DE (1) DE10049257B4 (en)
GB (1) GB2355727B (en)
TW (1) TW515032B (en)

Families Citing this family (124)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US6811814B2 (en) 2001-01-16 2004-11-02 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
JP4590744B2 (en) * 2001-01-25 2010-12-01 ソニー株式会社 Nonvolatile semiconductor memory device and manufacturing method thereof
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
EP1388178A2 (en) 2001-05-14 2004-02-11 CDT Oxford Limited A method of providing a layer including a metal or silicon or germanium and oxygen on a surface
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
KR100421219B1 (en) * 2001-06-14 2004-03-02 삼성전자주식회사 Method for depositing atomic layer using organometallic complex having β-diketone ligand
DE10130936B4 (en) * 2001-06-27 2004-04-29 Infineon Technologies Ag Manufacturing process for a semiconductor device using atomic layer deposition / ALD
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US6806145B2 (en) * 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
WO2003031673A1 (en) 2001-10-02 2003-04-17 Advanced Systems Of Technology Incubation Thin metal oxide film and process for producing the same
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
JP3941099B2 (en) * 2001-12-19 2007-07-04 ソニー株式会社 Thin film formation method
US6939801B2 (en) 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
CN101818334B (en) * 2002-01-17 2012-12-12 松德沃技术公司 ALD apparatus and method
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
AU2003238853A1 (en) 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6824816B2 (en) * 2002-01-29 2004-11-30 Asm International N.V. Process for producing metal thin films by ALD
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6825134B2 (en) 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030232501A1 (en) 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
JP4681886B2 (en) 2003-01-17 2011-05-11 富士通セミコンダクター株式会社 Semiconductor device
US6753248B1 (en) 2003-01-27 2004-06-22 Applied Materials, Inc. Post metal barrier/adhesion film
JP4257576B2 (en) 2003-03-25 2009-04-22 ローム株式会社 Deposition equipment
CN102191483B (en) * 2003-04-23 2012-10-03 艾克斯特朗公司 Transient enhanced atomic layer deposition
US20050067103A1 (en) 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
JP4399517B2 (en) * 2004-01-05 2010-01-20 株式会社堀場製作所 Film forming apparatus and film forming method
US7087497B2 (en) 2004-03-04 2006-08-08 Applied Materials Low-thermal-budget gapfill process
TW200603287A (en) * 2004-03-26 2006-01-16 Ulvac Inc Unit layer posttreating catalytic chemical vapor deposition apparatus and method of film formation therewith
WO2005101112A2 (en) * 2004-04-15 2005-10-27 Nanoopto Corporation Optical films and methods of making the same
US20050275944A1 (en) 2004-06-11 2005-12-15 Wang Jian J Optical films and methods of making the same
US7670758B2 (en) * 2004-04-15 2010-03-02 Api Nanofabrication And Research Corporation Optical films and methods of making the same
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US20060024589A1 (en) 2004-07-28 2006-02-02 Siegfried Schwarzl Passivation of multi-layer mirror for extreme ultraviolet lithography
JP5025484B2 (en) * 2004-10-26 2012-09-12 アーエスエム インターナショナル エヌ ヴィ Method for depositing lead-containing oxide film
CN100523293C (en) 2004-11-30 2009-08-05 东京毅力科创株式会社 Method of forming film, film forming apparatus
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7619816B2 (en) 2004-12-15 2009-11-17 Api Nanofabrication And Research Corp. Structures for polarization and beam control
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7779785B2 (en) * 2005-02-17 2010-08-24 Hitachi Kokusai Electric Inc. Production method for semiconductor device and substrate processing apparatus
KR100648859B1 (en) 2005-06-07 2006-11-24 주식회사 하이닉스반도체 Method for manufacturing semiconductor device
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP4476880B2 (en) 2005-06-24 2010-06-09 株式会社東芝 Insulating film forming method, semiconductor device manufacturing method, and semiconductor device
US7579285B2 (en) * 2005-07-11 2009-08-25 Imec Atomic layer deposition method for depositing a layer
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US20070119370A1 (en) 2005-11-04 2007-05-31 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
GB2432363B (en) * 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
KR20070099913A (en) * 2006-04-06 2007-10-10 주성엔지니어링(주) Method of forming oxide and oxide depositing apparatus
US7674337B2 (en) 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
DE112007001814T5 (en) 2006-07-31 2009-06-04 Applied Materials, Inc., Santa Clara A method of forming carbonaceous silicon epitaxial layers
JP4228008B2 (en) * 2006-08-23 2009-02-25 エルピーダメモリ株式会社 Manufacturing method of semiconductor device
US7521379B2 (en) 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US20080176149A1 (en) 2006-10-30 2008-07-24 Applied Materials, Inc. Endpoint detection for photomask etching
US7775508B2 (en) 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
JP4735601B2 (en) * 2007-05-14 2011-07-27 ソニー株式会社 Thin film formation method using atomic layer deposition
JP5221089B2 (en) * 2007-09-19 2013-06-26 東京エレクトロン株式会社 Film forming method, film forming apparatus, and storage medium
US7678298B2 (en) 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
JP2009170439A (en) * 2008-01-10 2009-07-30 Panasonic Corp Formation method of gate insulation film
JP2009212303A (en) * 2008-03-04 2009-09-17 Hitachi Kokusai Electric Inc Substrate processing method
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
JP5513767B2 (en) * 2008-06-25 2014-06-04 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing method, substrate processing apparatus, and semiconductor device
KR101451716B1 (en) 2008-08-11 2014-10-16 도쿄엘렉트론가부시키가이샤 Film forming method and film forming apparatus
JP5344873B2 (en) * 2008-08-28 2013-11-20 三菱電機株式会社 Method for manufacturing silicon carbide semiconductor device
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
DE102009022900A1 (en) 2009-04-30 2010-11-18 Osram Opto Semiconductors Gmbh Optoelectronic component and method for its production
US20110083735A1 (en) * 2009-10-13 2011-04-14 Ips Ltd. Solar cell and method of fabricating the same
JP5719138B2 (en) * 2009-12-22 2015-05-13 株式会社日立国際電気 Semiconductor device manufacturing method and substrate processing method
JP5693348B2 (en) * 2010-05-28 2015-04-01 東京エレクトロン株式会社 Film forming method and film forming apparatus
JP5436674B2 (en) 2010-07-27 2014-03-05 パナソニック株式会社 Method for manufacturing nonvolatile memory device
JP5675458B2 (en) 2011-03-25 2015-02-25 東京エレクトロン株式会社 Film forming method, film forming apparatus, and storage medium
JP5882075B2 (en) 2012-02-06 2016-03-09 東京エレクトロン株式会社 Capacitor manufacturing method, capacitor, and dielectric film forming method used therefor
DE102013100818B4 (en) * 2013-01-28 2023-07-27 OSRAM Opto Semiconductors Gesellschaft mit beschränkter Haftung Optoelectronic semiconductor chip and method for producing an optoelectronic semiconductor chip
DE102013103079A1 (en) * 2013-03-26 2014-10-02 Osram Opto Semiconductors Gmbh Optoelectronic semiconductor chip and method for producing an optoelectronic semiconductor chip
JP6017361B2 (en) 2013-03-29 2016-10-26 東京エレクトロン株式会社 Film forming method and film forming apparatus
US9685542B2 (en) * 2014-12-30 2017-06-20 Qualcomm Incorporated Atomic layer deposition of P-type oxide semiconductor thin films
CN105668622B (en) * 2015-07-30 2018-01-30 四川大学 A kind of method of gas phase atomic deposition titanium dioxide coating
CN105448742B (en) * 2015-12-30 2019-02-26 东莞市义仁汽车租赁有限公司 The method of gate medium is prepared on a kind of carbofrax material
JPWO2018168241A1 (en) * 2017-03-16 2019-08-08 株式会社村田製作所 Lithium ion secondary battery
CN109423621A (en) * 2017-08-22 2019-03-05 北京北方华创微电子装备有限公司 A kind of novel oxidized al atomic layer precipitation equipment and its deposition method
CN108893727A (en) * 2018-06-19 2018-11-27 南昌大学 A kind of preparation method of gallium nitride/aluminium oxide nano composite corrosion proof coating
CN109545476B (en) * 2018-11-21 2020-07-14 哈尔滨工业大学 Method for improving stability of silver nanowire electrode by atomic deposition of zinc oxide
CN112063991A (en) * 2020-08-10 2020-12-11 西安交通大学 Titanium nitride film and preparation method thereof
CN112614855A (en) * 2020-12-07 2021-04-06 长江存储科技有限责任公司 Preparation method of semiconductor etched hole inner film layer and three-dimensional memory structure
KR102563298B1 (en) * 2021-01-18 2023-08-03 주식회사 유진테크 Method for removing impurities in thin film and substrate processing apparatus
JP2024067682A (en) 2022-11-07 2024-05-17 東京エレクトロン株式会社 Semiconductor device manufacturing method and semiconductor device
CN116082066A (en) * 2022-12-28 2023-05-09 深圳市基克纳科技有限公司 Ceramic matrix-metal film product, preparation method thereof and electronic atomizer

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (en) * 1974-11-29 1977-05-31 Sateko Oy PROCEDURE AND PERFORMANCE OF LAYING BETWEEN THE STORAGE IN A LABOR PACKAGE
JP2577550B2 (en) * 1986-11-20 1997-02-05 新技術事業団 Impurity doping of III-V compound semiconductor single crystal thin films
GB2162862B (en) * 1984-07-26 1988-10-19 Japan Res Dev Corp A method of growing a thin film single crystalline semiconductor
US5693139A (en) * 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
US4767494A (en) * 1986-07-04 1988-08-30 Nippon Telegraph & Telephone Corporation Preparation process of compound semiconductor
JP2587623B2 (en) * 1986-11-22 1997-03-05 新技術事業団 Epitaxial crystal growth method for compound semiconductor
JPH0824191B2 (en) * 1989-03-17 1996-03-06 富士通株式会社 Thin film transistor
JPH042699A (en) * 1990-04-18 1992-01-07 Mitsubishi Electric Corp Growing of crystal
JPH08255795A (en) * 1995-03-15 1996-10-01 Sony Corp Method and apparatus for manufacturing semiconductor
US6090442A (en) * 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
FI104383B (en) * 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Procedure for coating the inside of a plant
KR19990074809A (en) * 1998-03-14 1999-10-05 윤종용 Thin Film Manufacturing Method
KR100275738B1 (en) * 1998-08-07 2000-12-15 윤종용 Method for producing thin film using atomatic layer deposition

Also Published As

Publication number Publication date
CN1234909C (en) 2006-01-04
DE10049257A1 (en) 2001-04-26
TW515032B (en) 2002-12-21
GB2355727A (en) 2001-05-02
GB0024571D0 (en) 2000-11-22
JP2001152339A (en) 2001-06-05
CN1292431A (en) 2001-04-25
DE10049257B4 (en) 2015-05-13
GB2355727B (en) 2004-04-14

Similar Documents

Publication Publication Date Title
JP4700181B2 (en) Thin film formation method using atomic layer deposition
JP4823260B2 (en) Thin film formation method using atomic layer deposition
US6576053B1 (en) Method of forming thin film using atomic layer deposition method
US6806145B2 (en) Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US7396719B2 (en) Method of forming high dielectric film using atomic layer deposition and method of manufacturing capacitor having the high dielectric film
US7087482B2 (en) Method of forming material using atomic layer deposition and method of forming capacitor of semiconductor device using the same
KR100591507B1 (en) Atomic layer deposition of nanolaminate film
US7704896B2 (en) Atomic layer deposition of thin films on germanium
KR101712040B1 (en) Processes for passivating dielectric films
KR100546324B1 (en) Methods of forming metal oxide thin film and lanthanum oxide layer by ALD and method of forming high dielectric constant layer for semiconductor device
KR100591508B1 (en) Atomic layer deposition of oxide film
US8592294B2 (en) High temperature atomic layer deposition of dielectric oxides
KR20010063478A (en) Method of forming an aluminum oxide film in a semiconductor device
JP2004056142A (en) Substance forming method using atomic layer deposition method and semiconductor device capacitor forming method using the above method
KR100560963B1 (en) Method of forming material using atomic layer deposition process, method of forming thin film, and method of forming capacitor using the same
JP3941099B2 (en) Thin film formation method
US7566608B2 (en) Methods of forming thin layers including zirconium hafnium oxide and methods of forming gate structures, capacitors, and flash memory devices using the same
JP2007081410A (en) Ferroelectric film, ferroelectric capacitor forming method, and ferroelectric capacitor
KR20070106286A (en) Method of forming titanium oxide with rutile structure and method of manufacturing capacitor using the same
KR100920402B1 (en) Low Temperature Gate Stack
KR100780605B1 (en) Semiconductor device with tantalum zirconium oxide and method for manufacturing the same
EP4182966A1 (en) Carbon-free laminated hafnium oxide/zirconium oxide films for ferroelectric memories
EP1425785A2 (en) Method of fabricating a gate stack at low temperature

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20050812

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070130

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070427

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20071120

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080317

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20080501

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20080530

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20101214

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20101221

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110112

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110304

R150 Certificate of patent or registration of utility model

Ref document number: 4700181

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees