RU2584841C2 - Атомно-слоевое осаждение с плазменным источником - Google Patents

Атомно-слоевое осаждение с плазменным источником Download PDF

Info

Publication number
RU2584841C2
RU2584841C2 RU2013148923/02A RU2013148923A RU2584841C2 RU 2584841 C2 RU2584841 C2 RU 2584841C2 RU 2013148923/02 A RU2013148923/02 A RU 2013148923/02A RU 2013148923 A RU2013148923 A RU 2013148923A RU 2584841 C2 RU2584841 C2 RU 2584841C2
Authority
RU
Russia
Prior art keywords
gas
source
plasma
plasma source
atomic layer
Prior art date
Application number
RU2013148923/02A
Other languages
English (en)
Other versions
RU2013148923A (ru
Inventor
Вяйнё КИЛЬПИ
Вэй-Минь ЛИ
Тимо МАЛИНЕН
Юхана КОСТАМО
Свен ЛИНДФОРС
Original Assignee
Пикосан Ой
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Пикосан Ой filed Critical Пикосан Ой
Publication of RU2013148923A publication Critical patent/RU2013148923A/ru
Application granted granted Critical
Publication of RU2584841C2 publication Critical patent/RU2584841C2/ru

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05CAPPARATUS FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05C5/00Apparatus in which liquid or other fluent material is projected, poured or allowed to flow on to the surface of the work
    • B05C5/001Apparatus in which liquid or other fluent material is projected, poured or allowed to flow on to the surface of the work incorporating means for heating or cooling the liquid or other fluent material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45582Expansion of gas before it reaches the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32743Means for moving the material to be treated for introducing the material into processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32871Means for trapping or directing unwanted particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Abstract

Изобретение относится к реакторам осаждения с плазменным источником. Установка для плазменного атомно-слоевого осаждения содержит газовую линию от источника химически неактивного газа к расширительному устройству для подачи радикалов, открывающемуся в реакционную камеру, удаленный плазменный источник, систему управления потоком газа из источника химически неактивного газа через удаленный плазменный источник к расширительному устройству для подачи радикалов в течение всего периода плазменного атомно-слоевого осаждения, реактор плазменного атомно-слоевого осаждения, выполненный с возможностью осаждения материала в реакционной камере на по меньшей мере одну подложку посредством последовательных самонасыщающихся поверхностных реакций. Обеспечивается возможность атомно-слоевого осаждения на термочувствительные подложки при очень низких температурах. 6 з.п. ф-лы, 8 ил., 1 пр.

Description

ОБЛАСТЬ ТЕХНИКИ
Настоящее изобретение, в целом, относится к реакторам осаждения с плазменным источником. Более конкретно, но не исключительно, изобретение относится к таким реакторам осаждения, в которых материал осаждают на поверхности посредством последовательных самонасыщающихся поверхностных реакций.
ПРЕДШЕСТВУЮЩИЙ УРОВЕНЬ ТЕХНИКИ
Способ атомно-слоевой эпитаксии (АСЭ, англ. аббревиатура ALE, от Atomic Layer Epitaxy) был изобретен доктором Туомо Сунтола в начале 1970-х годов. Другим родовым названием способа является термин «атомно-слоевое осаждение» (АСО, англ. аббревиатура ALD, от Atomic Layer Deposition), который в настоящее время используется вместо АСЭ (ALE). АСО (ALD) - это специальный способ химического осаждения, основанный на последовательной подаче по меньшей мере двух видов химически активных прекурсоров (предшественников) к подложке. Подложка расположена в реакционном пространстве. Реакционное пространство обычно подогревают. Основной механизм наращивания в способе АСО (ALD) основан на различиях прочности сцепления в случае химической адсорбции (хемосорбции) и физической адсорбции (физисорбции). В АСО (ALD) во время процесса осаждения используют хемосорбцию и исключают физисорбцию. Во время хемосорбции формируется сильная химическая связь между атомом (или атомами) поверхности твердой фазы и молекулой, поступающей из газовой фазы. Связывание посредством физисорбции гораздо слабее, поскольку в нем участвуют только силы Ван-дер-Ваальса. Физисорбционные связи легко разрушаются за счет тепловой энергии, если локальная температура превышает температуру конденсации молекул.
Реакционное пространство АСО-реактора содержит нагреваемые поверхности, которые попеременно или последовательно могут быть подвергнуты воздействию каждого из АСО-прекурсоров, используемых для осаждения тонких пленок. Базовый цикл АСО-осаждения содержит четыре последовательные стадии: импульс А, продувка А, импульс В и продувка В. Импульс А в характерном случае состоит из парообразного металлического прекурсора, а импульс В - из парообразного неметаллического прекурсора, в частности - парообразного прекурсора, являющегося азотом или кислородом. Химически неактивный газ, такой как азот или аргон, и вакуумный насос используют для удаления газообразных побочных продуктов реакции и остаточных молекул реагента из реакционного пространства во время продувки А и продувки В. Последовательность осаждения содержит по меньшей мере один цикл осаждения. Циклы осаждения повторяют до тех пор, пока в результате последовательности осаждения не будет получена тонкая пленка желаемой толщины.
Молекулы прекурсора посредством хемосорбции формируют химическую связь с реакционноспособными центрами нагреваемых поверхностей. Условия обычно выбирают таким образом, чтобы на поверхностях за один импульс прекурсора формировался не более чем молекулярный монослой твердого материала. Соответственно, процесс наращивания является самоостанавливающимся или самонасыщающимся. Например, первый прекурсор может содержать лиганды, которые остаются связанными с адсорбированными молекулами и насыщают поверхность, что препятствует дальнейшей хемосорбции. Температуру в реакционном пространстве поддерживают выше температуры конденсации и ниже температуры термического разложения используемых прекурсоров, так что молекулы прекурсоров хемосорбируются на поверхности. Поверхность становится по существу насыщенной первым типом реакционноспособных центров, то есть адсорбированными молекулами первого прекурсора. За этой стадией хемосорбции в характерном случае следует стадия первой продувки (продувка А), во время которой избыток первого прекурсора и возможные побочные продукты реакции удаляют из реакционного пространства. Затем в реакционное пространство подают второй парообразный прекурсор. Молекулы второго прекурсора в характерном случае реагируют с адсорбированными молекулами первого прекурсора, за счет чего формируется желаемый тонкопленочный материал. Этот рост завершается после того как израсходовано все количество адсорбированного первого прекурсора и поверхность по существу насыщена вторым типом реакционноспособных центров. Затем избыток пара второго прекурсора и возможные пары побочных продуктов реакции удаляют посредством стадии второй продувки (продувки В). Затем цикл повторяют до тех пор, пока пленка не нарастает до желаемой толщины. Циклы осаждения также могут быть более сложными. Например, циклы могут включать три импульса парообразных реагентов и более, разделенных стадиями продувки. Все эти циклы осаждения образуют согласованную по времени последовательность осаждения, управление которой осуществляется логическим устройством или микропроцессором.
Тонкие пленки, выращенные способом АСО, являются плотными, не содержат точечных дефектов и имеют равномерную толщину. Например, пленка из оксида алюминия, выращенная посредством термического АСО из триметилалюминия (СН3)3Аl, также обозначаемого как ТМА, и воды при 250-300°C, обычно имеет степень неоднородности порядка 1% на подложке диаметром 100-200 мм. Тонкие пленки оксидов металлов, выращенные способом АСО, можно использовать в качестве подзатворных диэлектриков, изоляторов для люминесцентных дисплеев, прокладок для рабочих зазоров магнитных головок воспроизведения, диэлектриков для конденсаторов и пассивирующих слоев. Тонкие пленки нитридов металлов, выращенные способом АСО, пригодны для использования в качестве диффузионных барьеров, например - в структурах, полученных способом двойной инкрустации.
Прекурсоры, пригодные для АСО-процессов в различных АСО-реакторах, описаны, например, в обзорной статье R. Puurunen, «Surface chemistry of atomic layer deposition: A case study for the trimethylaluminium/water process», J. Appl. Phys., 97 (2005), p.121-301, содержание которой полностью включено в настоящую работу посредством ссылки.
Использование радикалов в АСО-процессах может обеспечить определенные преимущества, такие как возможность использования термочувствительных подложек при очень низких температурах осаждения. В плазменном АСО-реакторе радикалы генерирует плазменный источник. Однако использование плазменного источника может стать причиной предъявления определенных требований или возникновения специфических проблем, связанных с реактором осаждения.
СУЩНОСТЬ ИЗОБРЕТЕНИЯ
Согласно первому характерному аспекту настоящего изобретения предложен способ, включающий:
эксплуатацию реактора плазменного атомно-слоевого осаждения, конфигурация которого обеспечивает осаждение материала в реакционной камере на по меньшей мере одну подложку посредством последовательных самонасыщающихся поверхностных реакций; и обеспечение потока газа из источника химически неактивного газа в расширительное устройство для подачи радикалов, открывающееся в реакционную камеру, по существу в течение всего цикла осаждения.
Выражение «обеспечение потока» может на практике означать «направление», «проведение» или «обеспечение направленного потока».
В некоторых вариантах осуществления настоящего изобретения реактор осаждения является плазменно-стимулированным реактором атомно-слоевого осаждения - PEALD-реактором (от англ. Plasma Enhanced Atomic Layer Deposition Reactor). В некоторых вариантах осуществления настоящего изобретения реактор осаждения содержит плазменный источник на верхней стороне камеры реактора. В некоторых вариантах осуществления настоящего изобретения плазменный источник является индуктивно-сопряженным плазменным источником. В некоторых вариантах осуществления настоящего изобретения плазменный источник генерирует радикалы, используемые в качестве реагентов в реакторе осаждения. В некоторых вариантах осуществления настоящего изобретения выход активированных частиц плазменного источника состоит из радикалов. В этих вариантах осуществления настоящего изобретения выход активированных частиц состоит из радикалов и по существу не содержит ионов.
В некоторых вариантах осуществления настоящего изобретения плазменный реактор атомно-слоевого осаждения (плазменный АСО-реактор) может быть использован как для плазменного АСО, так и для термического АСО. Питающие линии для термического АСО могут быть отдельными от питающей линии для плазменного АСО, через которую радикалы направляют в реакционную камеру.
Процесс осаждения состоит из одного или более последовательных циклов осаждения. Каждый цикл осаждения может состоять из периода термического АСО, за которым следует период плазменного АСО, или из периода плазменного АСО, за которым следует период термического АСО. Каждый период плазменного АСО может по существу состоять из периода импульса плазменного АСО (периода генерации радикалов) и последующего периода продувки плазменного АСО. Сходным образом, каждый период термического АСО может состоять по существу из периода импульса термического АСО и последующего периода продувки термического АСО. В конкретном варианте осуществления настоящего изобретения каждый цикл АСО может включать более двух периодов импульса (за которыми могут следовать соответствующие периоды продувки).
В некоторых вариантах осуществления настоящего изобретения способ включает:
обеспечение потока газа из источника химически неактивного газа в устройство для подачи радикалов через плазменный источник в течение периода импульса плазменного прекурсора, входящего в период плазменного атомно-слоевого осаждения, причем газ в этот период импульса функционирует в качестве газа-носителя генерируемых радикалов.
В некоторых вариантах осуществления настоящего изобретения способ включает:
обеспечение потока газа из источника химически неактивного газа в устройство для подачи радикалов через плазменный источник в течение периода продувки, входящего в период плазменного атомно-слоевого осаждения, причем газ в этот период продувки функционирует в качестве продувочного газа и инертного защитного газа.
В некоторых вариантах осуществления настоящего изобретения способ включает:
обеспечение потока газа из источника химически неактивного газа в устройство для подачи радикалов через плазменный источник, как в течение периода плазменного атомно-слоевого осаждения, так и в течение периода термического атомно-слоевого осаждения.
В некоторых вариантах осуществления настоящего изобретения способ включает:
обеспечение потока газа из источника химически неактивного газа в устройство для подачи радикалов по пути, который идет в обход плазменного источника.
В некоторых вариантах осуществления настоящего изобретения способ включает:
обеспечение потока газа из источника химически неактивного газа в устройство для подачи радикалов как по пути, проходящему через плазменный источник, так и по пути, который идет в обход плазменного источника, в течение периода плазменного атомно-слоевого осаждения.
В некоторых вариантах осуществления настоящего изобретения способ включает:
обеспечение потока газа из источника химически неактивного газа в устройство для подачи радикалов только по пути, который идет в обход плазменного источника, в течение периода термического атомно-слоевого осаждения, и
обеспечение потока газа из источника химически неактивного газа через плазменный источник в отводящую линию в течение этого периода.
В некоторых вариантах осуществления настоящего изобретения способ включает:
направление инертного газа в реакционную камеру через питающую линию (или линии) термического атомно-слоевого осаждения в течение периода плазменного атомно-слоевого осаждения, причем питающая линия (или линии) термического атомно-слоевого осаждения является отдельной от линии (или линий) плазменного источника, по которой радикалы подают в реакционную камеру в течение периода плазменного атомно-слоевого осаждения.
Соответственно, в некоторых вариантах осуществления настоящего изобретения реактор осаждения может содержать два пути от источника химически неактивного газа в устройство для подачи радикалов, тогда как в некоторых других вариантах осуществления настоящего изобретения используют только один путь. В некоторых вариантах осуществления настоящего изобретения плазменный источник может быть отделен от реакционной камеры клапаном или сопоставимым закрывающим элементом, при необходимости перекрывающим путь через плазменный источник, так что этот путь не проходит через устройство для подачи радикалов в реакционную камеру, а идет в обход реакционной камеры.
В некоторых вариантах осуществления настоящего изобретения способ включает использование способного деформироваться устройства для подачи радикалов, которое может деформироваться с переходом из сокращенной формы в расширенную форму и обратно за счет по меньшей мере одного механического привода.
В некоторых вариантах осуществления настоящего изобретения держатель подложки, удерживающий по меньшей мере одну подложку, механически соединен с деформируемым устройством для подачи радикалов, и способ включает:
обеспечение, за счет деформации деформируемого устройства для подачи радикалов, подъема держателя подложки, удерживающего по меньшей мере одну подложку, в верхнее положение для загрузки или удаления подложки.
Согласно второму характерному аспекту настоящего изобретения предложена установка для атомно-слоевого осаждения, содержащая:
газовую линию из источника химически неактивного газа к расширительному устройству для подачи радикалов, которое открывается в реакционную камеру; и
систему управления, конфигурация которой обеспечивает поступление потока газа из источника химически неактивного газа в устройство для подачи радикалов по существу в течение всего цикла осаждения; и
реактор плазменного атомно-слоевого осаждения, конфигурация которого обеспечивает осаждение материала в реакционной камере на по меньшей мере одну подложку посредством последовательных самонасыщающихся поверхностных реакций.
В некоторых вариантах осуществления настоящего изобретения конфигурация установки или системы управления обеспечивает поток газа из источника химически неактивного газа в устройство для подачи радикалов через плазменный источник в течение периода импульса плазменного прекурсора, входящего в период плазменного атомно-слоевого осаждения, причем газ в течение этого периода импульса функционирует в качестве газа-носителя генерируемых радикалов.
В некоторых вариантах осуществления настоящего изобретения установка или система управления настроена так, что она обеспечивает поток газа из источника химически неактивного газа в устройство для подачи радикалов через плазменный источник в течение периода продувки, входящего в период плазменного атомно-слоевого осаждения, причем газ в течение этого периода продувки функционирует в качестве продувочного и инертного защитного газа.
В некоторых вариантах осуществления настоящего изобретения конфигурация установки или системы управления обеспечивает поток газа из источника химически неактивного газа в устройство для подачи радикалов через плазменный источник как в течение периода плазменного атомно-слоевого осаждения, так и в течение периода термического атомно-слоевого осаждения.
В некоторых вариантах осуществления настоящего изобретения конфигурация установки или системы управления обеспечивает поток газа из источника химически неактивного газа в устройство для подачи радикалов по пути, который идет в обход плазменного источника.
В некоторых вариантах осуществления настоящего изобретения конфигурация установки или системы управления обеспечивает поток газа из источника химически неактивного газа в устройство для подачи радикалов как по пути, проходящему через плазменный источник, так и по другому пути, который идет в обход плазменного источника, в течение периода плазменного атомно-слоевого осаждения.
В некоторых вариантах осуществления настоящего изобретения конфигурация установки или системы управления обеспечивает поток газа из источника химически неактивного газа в устройство для подачи радикалов только по пути, который идет в обход плазменного источника, в течение периода термического атомно-слоевого осаждения, и обеспечивает поток газа из источника химически неактивного газа через плазменный источник в отводящую линию в течение этого периода.
В некоторых вариантах осуществления настоящего изобретения конфигурация установки или системы управления обеспечивает направление инертного газа в реакционную камеру через питающую линию (или линии) термического атомно-слоевого осаждения в течение периода плазменного атомно-слоевого осаждения, причем питающая линия (или линии) термического атомно-слоевого осаждения является отдельной от линии (или линий) плазменного источника, по которой радикалы подают в реакционную камеру в течение периода плазменного атомно-слоевого осаждения.
В некоторых вариантах осуществления настоящего изобретения устройство для подачи радикалов, ограничивающее или образующее расширительное пространство, имеет переменные размеры, форму или размер. В некоторых вариантах осуществления настоящего изобретения подъемный механизм устроен так, что он изменяет размеры устройства для подачи радикалов.
В некоторых вариантах осуществления настоящего изобретения устройство для подачи радикалов является устройством, через которое радикалы поступают в реакционную камеру. В некоторых вариантах осуществления настоящего изобретения устройство для подачи радикалов имеет сокращенную форму и расширенную форму, переход между этими формами обеспечивает подъемный механизм (подъемник или сходное устройство). Подъемник может быть устроен так, что он сжимает или растягивает устройство для подачи радикалов, обеспечивая переход от расширенной формы к сокращенной форме и загрузку по меньшей мере одной подложки в то время, когда устройство для подачи радикалов находится в сокращенной форме. В некоторых вариантах осуществления настоящего изобретения устройство для подачи радикалов устроено так, что оно деформируется в вертикальном направлении.
В некоторых вариантах осуществления настоящего изобретения устройство для подачи радикалов содержит совокупность вкладываемых друг в друга составных частей или кольцеобразных элементов, которые можно перемещать так, чтобы они входили друг в друга. Составные части могут быть полыми внутри. Количество вкладываемых друг в друга составных частей может быть равно двум или более, и они образуют телескопическую структуру. Формой вкладываемых друг в друга составных частей может быть усеченный конус. В одном из вариантов осуществления настоящего изобретения, в котором устройство для подачи радикалов практически состоит из двух или более составных частей, по меньшей мере одна составная часть, расположенная ближе всего к реакционной камере, может быть усеченным конусом. В некоторых вариантах осуществления настоящего изобретения устройство для подачи радикалов состоит из двух вкладываемых друг в друга составных частей.
В некоторых вариантах осуществления настоящего изобретения устройство для подачи радикалов является деформируемым, и установка содержит по меньшей мере один механический привод для деформации устройства для подачи радикалов с переходом из сокращенной формы в расширенную форму и обратно.
В некоторых вариантах осуществления настоящего изобретения держатель подложки, удерживающий по меньшей мере одну подложку, механически соединен с деформируемым устройством для подачи радикалов, причем деформация деформируемого устройства для подачи радикалов вызывает подъем держателя подложки, удерживающего по меньшей мере одну подложку, в верхнее положение для загрузки или удаления подложки.
Согласно третьему характерному аспекту настоящего изобретения предложена установка для плазменного атомно-слоевого осаждения, содержащая:
устройство управления реактором плазменного атомно-слоевого осаждения, конфигурация которого обеспечивает осаждение материала в реакционной камере на по меньшей мере одну подложку посредством последовательных самонасыщающихся поверхностных реакций; и
устройство, обеспечивающее поток газа из источника химически неактивного газа в расширительное устройство для подачи радикалов, открывающееся в реакционную камеру, по существу в течение всего цикла осаждения.
Выше проиллюстрированы различные неограничивающие иллюстративные аспекты и варианты осуществления настоящего изобретения. Указанные выше варианты осуществления настоящего изобретения использованы исключительно для разъяснения избранных аспектов или стадий, которые могут быть использованы при осуществлении настоящего изобретения. Некоторые варианты осуществления настоящего изобретения могут быть представлены исключительно со ссылкой на определенные иллюстративные аспекты настоящего изобретения. Следует понимать, что соответствующие варианты осуществления настоящего изобретения также можно применять к другим иллюстративным аспектам. Могут быть сформированы любые подходящие комбинации вариантов осуществления настоящего изобретения.
КРАТКОЕ ОПИСАНИЕ ГРАФИЧЕСКИХ МАТЕРИАЛОВ
Далее изобретение будет описано на основании примера со ссылкой на прилагаемые графические материалы, где:
Фиг. 1 изображает общую схему реактора осаждения согласно иллюстративному варианту осуществления настоящего изобретения;
Фиг. 2 изображает некоторые детали реактора осаждения согласно иллюстративному варианту осуществления настоящего изобретения;
Фиг. 3 изображает реакционную камеру и некоторые относящиеся к ней детали реактора осаждения согласно иллюстративному варианту осуществления настоящего изобретения;
Фиг. 4 изображает технологическое оборудование реактора осаждения согласно иллюстративному варианту осуществления настоящего изобретения;
Фиг. 5 изображает пример временной диаграммы для иллюстративного варианта осуществления настоящего изобретения;
Фиг. 6 изображает технологическое оборудование реактора осаждения согласно другому иллюстративному варианту осуществления настоящего изобретения;
Фиг. 7 изображает пример временной диаграммы для иллюстративного варианта осуществления настоящего изобретения из Фиг. 6; и
Фиг. 8 представляет собой схематичное изображение блок-схемы системы управления реактором осаждения согласно иллюстративному варианту осуществления настоящего изобретения.
СВЕДЕНИЯ, ПОДТВЕРЖДАЮЩИЕ ВОЗМОЖНОСТЬ ОСУЩЕСТВЛЕНИЯ ИЗОБРЕТЕНИЯ
Далее в качестве примера будет использована технология атомно-слоевого осаждения (АСО). Однако задачу настоящего изобретения не следует жестко ограничивать этой технологией, поскольку следует понимать, что некоторые варианты осуществления настоящего изобретения могут быть также применимыми в способах и установках, в которых использованы другие технологии атомного осаждения.
Принципы механизма наращивания посредством атомно-слоевого осаждения известны специалистам в данной области техники. Подробности способов АСО описаны в вводной части данной работы. Эти подробности не будут повторены в данном разделе, однако в этой связи дается ссылка на вводную часть.
На Фиг. 1 изображен реактор осаждения (реактор плазменного АСО или сходный) в виде сбоку. Реактор осаждения содержит реакционную камеру (не показана на Фиг. 1), расположенную под камерой для загрузки подложки внутри модуля 130 АСО-реактора. Исходный газ поступает через линию 101 для газа-носителя и продувочного газа в плазменный источник 110, расположенный на верхней стороне реакционной камеры. Радикалы, генерируемые плазменным источником 110 из исходного газа, по питающей линии реакционной камеры или линии 102 плазменного источника двигаются по направлению к реакционной камере. Между плазменным источником 110 и реакционной камерой расположена камера 120 для загрузки подложки. Через камеру 120 для загрузки подложки в реакционную камеру загружают по меньшей мере одну подложку. Камера 120 для загрузки подложки содержит стыковочное устройство для загрузочного люка или сходного устройства, предназначенного для загрузки по меньшей мере одной подложки. В иллюстративном варианте осуществления настоящего изобретения стыковочным устройством может быть фланец 122 загрузочного люка или сходное устройство, к которому может быть присоединен загрузочный люк, содержащий шиберный клапан. В иллюстративном варианте осуществления настоящего изобретения загрузка по меньшей мере одной подложки в камеру для загрузки подложки может быть автоматизированной процедурой. Альтернативно, по меньшей мере одна подложка может быть загружена вручную. Люк 123 большего размера, встроенный в камеру для загрузки подложки, особенно хорошо подходит для ручной загрузки и удаления подложки при атмосферном давлении.
Линия 102 плазменного источника, идущая от плазменного источника, может быть перекрыта перед камерой 120 для загрузки подложки запирающим устройством или клапаном 115, например - шиберным клапаном или сходным устройством (далее называемым шиберным клапаном 115), встроенным в линию 102 плазменного источника. Если клапан 115 открыт, то радикалы, генерируемые плазменным источником 110 из исходного газа, двигаются по линии 102 плазменного источника по направлению к реакционной камере. Радикалы проходят через верхний фланец 121 камеры для загрузки подложки в расширительное пространство (не показанное на Фиг. 1), которое расширяется по направлению к реакционной камере. Это и другие дополнительные детали более подробно показаны на Фиг. 2. В варианте осуществления настоящего изобретения, более детально изображенном на Фиг. 6 и описанном на основании этого изображения, запирающее устройство или клапан 115 могут быть исключены из конструкции, и в этом случае защитный инертный газ (например, аргон) течет из линии 101 для исходного газа через генератор 110 плазмы по направлению к реакционному пространству (331, Фиг. 3) в течение процесса осаждения.
Расширительное пространство ограничено или образовано устройством или конструкцией для подачи радикалов, содержащей совокупность вкладываемых друг в друга составных частей или кольцеобразных элементов, которые можно перемещать так, чтобы они входили друг в друга. В варианте осуществления настоящего изобретения, изображенном на Фиг. 2, количество составных частей равно двум. Составные части 241 и 242 образуют телескопическую структуру. В иллюстративном варианте осуществления настоящего изобретения, изображенном на Фиг. 2, верхняя составная часть 241 соединена с верхним фланцем 121 камеры для загрузки подложки. Фланец 121 может быть также назван фланцем вакуумной камеры, поскольку в участке камеры для загрузки подложки, окружающем устройство для подачи радикалов, обычно создается вакуум или почти вакуум. В иллюстративном варианте осуществления настоящего изобретения, изображенном на Фиг. 2, нижняя составная часть 242 соединена с фланцем 224 расширительного пространства, который во время осаждения по существу герметично соединен с фланцем 234 реакционной камеры, что предотвращает утечки газа между реакционным пространством (331, Фиг. 3) и газом, окружающим реакционную камеру (335, Фиг. 3).
В варианте осуществления настоящего изобретения, изображенном на Фиг. 2, втягивающийся стержень подъемника 250 соединен с фланцем 224 расширительного пространства или непосредственно с устройством для подачи радикалов. Корпус подъемника 250 может быть также соединен с верхним фланцем 121 камеры для загрузки подложки или с другой подходящей сопряженной деталью реактора осаждения. Подъемник 250 может быть, например, подъемником, который работает за счет жесткого втягивающегося стержня, по меньшей мере частично покрытого гофрированной трубкой 251 или сходным устройством. В одном из вариантов осуществления настоящего изобретения такая конструкция образует герметичную, подвижную по вертикали оболочку между пневматическим или линейным приводом и фланцем 224 расширительного пространства или устройством для подачи радикалов. В одном из вариантов осуществления настоящего изобретения используют линейный проходной канал для перемещения устройства для подачи радикалов и фланца расширительного пространства совместно с держателем подложки в вакууме с управлением со стороны атмосферы.
Реактор осаждения, изображенный на Фиг. 2, содержит необязательную отводящую линию 207, находящуюся в гидравлической связи с линией 102 плазменного источника. Отводящая линия 207 соединена с линией 102 плазменного источника на участке линии 102 плазменного источника, расположенном между плазменным источником 110 и шиберным клапаном 115.
Кроме того, реактор осаждения, изображенный на Фиг. 2, содержит необязательную линию 204 для защитного газа, находящуюся в гидравлической связи с линией 102 плазменного источника. Химически неактивный защитный газ, текущий по линии для защитного газа, предотвращает поток частиц или газа в восходящем направлении. Линия 204 для защитного газа соединена с линией 102 плазменного источника на участке линии 102 плазменного источника, расположенном после шиберного клапана 115, в одном из вариантов осуществления настоящего изобретения - непосредственно за шиберным клапаном 115 ниже по течению потока.
В альтернативном варианте осуществления настоящего изобретения фланец 224 расширительного пространства не является отдельным от устройства для подачи радикалов, а образует часть устройства для подачи радикалов, формирующую нижнюю часть устройства для подачи радикалов. Нижняя часть в данном варианте осуществления настоящего изобретения функционирует как уплотняющий затвор для реакционной камеры. С другой стороны, она функционирует как точка фиксации подъемника 250 (стержня подъемника).
В реакционном пространстве 331 реакционной камеры 335, как показано на Фиг. 3, по меньшей мере одна горизонтально расположенная подложка 360 поддерживается держателем 361 подложки или лежит на нем. В одном из вариантов осуществления настоящего изобретения держатель подложки содержит две раздельные части с открытым зазором между ними, достаточно широким для обеспечения свободного перемещения вилки для установки и извлечения подложки. Держатель 361 подложки соединен с фланцем 224 расширительного пространства опорами 362 держателя подложки. В иллюстративном варианте осуществления настоящего изобретения держатель 361 подложки устроен так, что он перемещается совместно с фланцем 224 расширительного пространства. В одном из вариантов осуществления настоящего изобретения нижний конец гофрированной трубки 251 подъемника герметично соединен со стержнем. Когда привод вдвигает стержень в гофрированную трубку 251 подъемника, гофрированная трубка 251 подъемника сокращается, и по меньшей мере одна подложка 360 иди держатель 361 подложки могут быть подняты вверх для загрузки или удаления подложки, причем в зоне обращения с подложкой и ее окружении сохраняется вакуум. Устройство для подачи радикалов, содержащее составные части 241 и 242, сокращается в вертикальном направлении, когда составная часть 242 надвигается на составную часть 241 меньшего размера, оставляя пространство для загрузки или удаления подложки в камере 120 для загрузки подложки (Фиг. 1). Могут иметься больше одного подъемника, например - два подъемника. Гофрированная трубка 351 второго подъемника показана на Фиг. 3 пунктирными линиями.
В одном из вариантов осуществления настоящего изобретения держатель 361 подложки съемным образом соединен с фланцем 224 расширительного пространства. При этом держатель 361 подложки совместно с по меньшей мере одной подложкой 360 можно загрузить или разгрузить, подняв его вверх. Сходным образом, множество подложек, вертикально размещенных в держателе подложек, можно загрузить в реактор осаждения или удалить из него.
Осаждение материала на по меньшей мере одну подложку 360 происходит посредством чередования последовательных самонасыщающихся поверхностных реакций в реакционном пространстве 331 реакционной камеры 335. Радикалы из плазменного источника 110 (Фиг. 1 и Фиг. 2) и пары другого прекурсора попеременно поступают в реакционное пространство 331 реакционной камеры 335. Радикалы из плазменного источника 110 проходят через расширительное пространство реакционного пространства 331 в виде потока 301, направленного сверху вниз. Пары другого прекурсора поступают либо через питающую линию 371, коннектор 381 и канал 373, расположенный во фланце 234 реакционной камеры, либо через питающую линию 372, коннектор 382 и канал 374, расположенный во фланце 234 реакционной камеры. В характерной конструкции реактора количество питающих линий равно, например, 4 или 6. Альтернативно, другой прекурсор может также поступать в реакционную камеру 335 через линию 102 плазменного источника при отключенном генераторе плазмы. Отработанные газы удаляют через расположенное на дне выпускное отверстие, ведущее в выпускную линию, как показывает стрелка 305, указывающая направление потока.
В одном из вариантов осуществления настоящего изобретения газовое пространство, расположенное между генератором плазмы (плазменным источником 110) и держателем 261 подложки по существу содержит открытое газовое пространство, так что большинство радикалов, генерируемых генератором плазмы, могут достигать подложки 360, будучи по существу интактными и не взаимодействуя ни с какими поверхностями до подложки.
На Фиг. 4 изображено технологическое оборудование реактора осаждения согласно иллюстративному варианту осуществления настоящего изобретения. Поток инертного газа из источника инертного (или химически неактивного) газа разделен на поток газа-носителя или продувочного газа, который течет по линии 101 для газа-носителя или продувочного газа, и поток защитного газа, который течет по линии 204 для защитного газа. В одном из вариантов осуществления настоящего изобретения в качестве инертного газа используют аргон, гелий или сходные газы. Линию 101 для газа-носителя или продувочного газа можно перекрывать и открывать с использованием клапана 410 для газа-носителя или продувочного газа. Во время работы реактора положением по умолчанию клапана 410 является положение «открыто». Линию 204 для защитного газа можно перекрывать и открывать с использованием клапана 416 для защитного газа. Во время работы реактора положением по умолчанию клапана 416 является положение «открыто». Скорость потока газа в линии 101 для газа-носителя или продувочного газа регулируется регулятором 431 массового расхода (MFC, от Mass Flow Controller), a скорость потока газа в линии 204 для защитного газа регулируется регулятором 432 массового расхода. Линия 204 для защитного газа соединяется с линией 102 от плазменного источника ниже по течению относительно шиберного клапана 115. Во время работы реактора положением по умолчанию шиберного клапана 115 является положение «открыто». Объединенный поток течет по линии 102 от плазменного источника и поступает в реакционную камеру 335 через расширительное пространство 425. Вакуумный насос 438 используют для удаления отработанных газов из реакционного пространства 331 в выпускную линию. Датчик давления (РТ, от англ. Pressure Transducer) используют для подтверждения того, что давление в линии от плазменного источника находится в диапазоне, подходящем для работы удаленного генератора плазмы.
Ниже по течению относительно клапана 410 для газа-носителя или продувочного газа и перед входом в плазменный источник 110 газ-носитель или продувочный газ протекает через импульсные клапаны 411-414 для подачи прекурсоров в плазменный источник. В одном из вариантов осуществления настоящего изобретения клапаны являются трехходовыми клапанами. Газ-носитель или продувочный газ втекает в первый вход импульсного клапана и вытекает через выход. В этом контексте, прекурсор, который может поступать через импульсный клапан 411-414 в линию 101 для газа-носителя или продувочного газа и впоследствии может быть использован для образования радикалов в плазменном источнике 110, называют прекурсором для плазменного источника. Желаемый прекурсор для плазменного источника, в зависимости от проводимого цикла осаждения, направляют через массовый расходомер (MFM, от англ. Mass Flow Meter) и через капиллярный или игольчатый клапан во второй вход соответствующего импульсного клапана. Во время работы реактора положение по умолчанию клапанов 411-414 является таким, что первый вход и выход находятся в положении «открыто», а второй вход находится в положении «закрыто» и открывается только во время периодов импульсной подачи выбранного прекурсора для плазменного источника.
В варианте осуществления настоящего изобретения, изображенном на Фиг. 4, газообразный азот, газообразный водород, газообразный аммиак и газообразный кислород являются примерами прекурсоров для плазменного источника. Массовый расходомер (MFM) 441 измеряет скорость потока газообразного азота от источника газообразного азота через капиллярный или игольчатый клапан 451 к импульсному клапану 411 для подачи азота. Сходным образом, MFM 442 измеряет скорость потока газообразного водорода от источника газообразного водорода через капиллярный или игольчатый клапан 452 к импульсному клапану 412 для подачи водорода, MFM 443 измеряет скорость потока газообразного аммиака от источника газообразного аммиака через капиллярный или игольчатый клапан 453 к импульсному клапану 413 для подачи аммиака, а MFM 444 измеряет скорость потока газообразного кислорода от источника газообразного кислорода через капиллярный или игольчатый клапан 454 к импульсному клапану 414 для подачи кислорода. MFM 441-444 используют для подтверждения того, что массовая скорость потока прекурсора для плазменного источника соответствует желаемому значению, регулируемому давлением прекурсора для плазменного источника выше по течению относительно капиллярного или игольчатого клапана 451-454 и размером отверстия капилляра или посредством настройки игольчатого клапана 451-454. Если второй вход импульсного клапана открыт, то соответствующий предшественник для плазменного источника смешивается в потоком газа-носителя и течет дальше по направлению к плазменному источнику 110 для генерации радикалов.
Отводящая линия 207, соединенная с линией 101 плазменного источника ниже по течению относительно плазменного источника 110 и выше по течению шиберного клапана 115, не используется во время нормальной работы. Соответственно, положение по умолчанию отводящего клапана 417 (за счет которого можно открывать и перекрывать отводящую линию 207) является положением «закрыто».
На Фиг. 4 также показаны другие питающие линии 371 и 372, которые видны и на Фиг 3, и через которые пары другого прекурсора могут поступать в реакционную камеру 335 в течение, например, термического АСО-периода.
Фиг. 5 иллюстрирует работу реактора осаждения, изображенного на Фиг. 4, посредством временной диаграммы согласно иллюстративному варианту осуществления настоящего изобретения. Процесс осаждения в своей основе состоит из повторяющихся циклов осаждения. В момент времени t1 шиберный клапан 115 в линии 102 плазменного источника открывается. Шиберный клапан 115 остается открытым в течение всего процесса осаждения. В момент времени (2 открывается изолирующий клапан (клапан 410 для газа-носителя или продувочного газа) в линии 101 для газа-носителя или продувочного газа. Регулятор 431 массового расхода (MFC) в линии 101 для газа-носителя или продувочного газа установлен на рабочее значение, равное, например, 50 кубическим сантиметрам в минуту. В момент времени t3 MFC 432 линии 204 защитного газа переключается с высокого значения на низкое значение, например, равное 20 кубическим сантиметрам в минуту. Время между моментами t3 и t4 можно использовать для продувки реакционной камеры 335. В момент времени t4 открывается импульсный клапан для подачи (неметаллического) прекурсора для плазменного источника. В примере, изображенном на Фиг. 5, в качестве прекурсора для плазменного источника использован газообразный водород, так что в момент времени t4 открывается импульсный клапан 412. В момент времени t5 мощность генератора плазмы (плазменного источника 110) возрастает до уровня генерации радикалов, например - до 2000 Вт. В одном из вариантов осуществления настоящего изобретения указанная мощность является радиочастотной (RF, от англ. Radio Frequency) мощностью. Радикалы генерируются в промежуток времени от t5 до t6. Другими словами, в промежуток времени между моментами t5 и t6 осуществляется плазменная АСО-фаза. В момент времени t6 мощность генератора плазмы (плазменного источника 110) понижается до уровня, при котором радикалы не генерируются, например - до мощности менее 100 Вт. В момент времени t7 импульсный клапан (здесь: клапан 412) для подачи прекурсора для плазменного источника закрывается. В момент времени t8 MFC 432 линии 204 защитного газа переключается с низкого значения на высокое значение. Промежуток времени между t7 и t9 может быть использован для продувки реакционной камеры 335. В момент времени t9 второй парообразный прекурсор направляют в реакционную камеру 335. В данном варианте осуществления настоящего изобретения вторым прекурсором является металлический прекурсор. В промежуток времени между t9 и t10 осуществляется фаза импульсной подачи второго прекурсора. Промежуток времени между t9 и t10 может содержать импульс второго прекурсора и третий продувочный период для удаления избытка молекул второго прекурсора и побочных продуктов реакции из реакционного пространства 331, причем массовая скорость потока защитного газа через линию 204 защитного газа имеет высокое значение для предотвращения обратного потока химически активных молекул к шиберному клапану 115 и удаленному генератору 110 плазмы. Это может быть осуществлено в форме известного стандартного способа термического АСО. Цикл осаждения, состоящий из периода продувки между t3 и t4, фазы плазменного АСО между t5 и t6, второго периода продувки между t7 и t9 и фазы термического АСО между t9 и t10. повторяют до тех пор, пока не будет наращена желаемая толщина материала на по меньшей мере одной подложке в реакционной камере 335. В конце, в момент времени t11, клапан 410 для продувочного газа-носителя закрывается, и MFC 431 линии 101 продувочного газа-носителя устанавливается на нулевое значение. В заключение, закрывается шиберный клапан 115 в момент времени t12.
Альтернативный вариант осуществления настоящего изобретения относится, например, к ситуациям, в которых по определенной причине желательно, чтобы линия 102 от плазменного источника была перекрыта шиберным клапаном 115 в течение процесса осаждения. Это может иметь место, например, во время фазы термического АСО, или если желательно провести в реакторе процесс осаждения только с фазами термического АСО. В этих вариантах осуществления настоящего изобретения путь через импульсные клапаны 411-414 и плазменный источник 110 к реакционному пространству 331 закрыт. Поскольку предпочтительно следует поддерживать постоянное давление в плазменном источнике 110, то клапан 417 отводящей линии открывают и поток газа через плазменный источник 110 направляют через отводящую линию 207 прямо в выпускную линию для поддержания постоянного давления. Защитный газ, вытекающий из линии 204 защитного газа, образует защитный буфер, предотвращающий нарастание потока частиц и газа в направлении от реакционной камеры 335 к шиберному клапану 115.
На Фиг. 6 изображено технологическое оборудование реактора осаждения согласно другому иллюстративному варианту осуществления настоящего изобретения. Вариант осуществления настоящего изобретения, изображенный на Фиг. 6, в основном соответствует варианту осуществления настоящего изобретения, изображенному на Фиг. 4, за исключением того, что он не содержит шиберного клапана 115, соответствующей отводящей линии 207, линии 204 защитного газа и клапана 410 для продувочного газа-носителя.
В некоторых вариантах осуществления настоящего изобретения кислородные радикалы, генерируемые из газообразного кислорода, используют для наращивания оксидов металлов, таких как оксиды металлов 3-й группы (например, оксид иттрия), оксидов металлов 4-й группы (например, диоксид гафния), оксидов металлов 5-й группы (например, пентоксид тантала) и оксидов металлов 13-й группы (например, оксид алюминия). Радикалы аммиака, генерируемые из газообразного аммиака, и радикалы азота, генерируемые из газообразного азота, используют для наращивания нитридов металлов, таких как нитриды металлов 4-й группы (например, нитрид титана), нитридов металлов 5-й группы (например, нитрид тантала и сверхпроводящий нитрид ниобия) и нитридов элементов 14-й группы (например, нитрид кремния). Радикалы водорода, генерируемые из газообразного водорода, используют в качестве восстановителей для наращивания тонких пленок, состоящих из отдельных элементов, таких как металлы 4-й группы (например, титан), металлы 5-й группы (например, тантал), металлы 6-й группы (например, вольфрам) и металлы 11-й группы (например, серебро). Летучие углеводороды используют для генерации углеводородных радикалов для наращивания карбидов металлов, таких как карбиды металлов 4-й группы (например, карбид титана).
На Фиг. 7 работа реактора осаждения из Фиг. 6 проиллюстрирована посредством временной диаграммы согласно иллюстративному варианту осуществления настоящего изобретения. В момент времени tA MFC 431 линии 101 для продувочного газа-носителя 101 устанавливается на технологический уровень, предпочтительно - в диапазоне от 10 до 200 кубических сантиметров в минуту, более предпочтительно - в диапазоне от 20 до 100 кубических сантиметров в минуту, например - на 50 кубических сантиметров в минуту. Промежуток времени между tB и tC используют для импульсной подачи парообразного металлического прекурсора, например - триметилалюминия (ТМА), в режиме термического АСО в реакционное пространство 331, нагретое до температуры, выбранной в диапазоне от примерно 50 до примерно 500°С, например - до 200°С при использовании ТМА в качестве металлического прекурсора. Промежуток времени между tC и tD используют для продувки реакционного пространства 331 инертным газом, который содержит газообразный аргон или гелий из линии 102 плазменного источника и газообразного азота из питающих линий 371, 372. В момент времени 1в открывается импульсный клапан для (неметаллического) прекурсора для плазменного источника. На Фиг. 6 из доступных газов для плазменного источника выбран газообразный кислород, так что в момент времени tD открывается импульсный клапан 414. В момент времени tE мощность генератора плазмы (плазменного источника 110) возрастает до уровня, обеспечивающего генерацию радикалов, то есть до радиочастотной мощности, выбранной в диапазоне от 100 до 3000 Вт, например - равной 2000 Вт в случае генерации кислородных радикалов. Радикалы генерируются в течение промежутка времени между tE и tF. Другим словами, в промежуток времени между моментами tE и tF осуществляют фазу плазменного АСО. В момент времени tF мощность генератора плазмы (плазменного источника 110) снижают до уровня, при котором радикалы не генерируются, предпочтительно - до мощности менее 100 Вт, например - до 0 Вт. В момент времени tG закрывается импульсный клапан (здесь: клапан 414 для газообразного кислорода) прекурсора для плазменного источника. Промежуток времени между tG и tH используют для продувки системы инертным газом. Цикл осаждения от момента времени tB до момента времени tH, состоящий из импульса металлического прекурсора, продувки, импульса прекурсора радикалов и продувки, повторяют до тех пор, пока на подложке 360 не будет наращена тонкая пленка желаемой толщины.
Следует отметить, что могут быть осуществлены различные варианты представленных в данной работе примеров осуществления настоящего изобретения. В конструкции, изображенной на Фиг. 4, цикл осаждения может быть проведен в порядке, изображенном на Фиг. 5, или, например, в порядке, изображенном на Фиг. 7.
В некоторых вариантах осуществления настоящего изобретения поток газа из источника химически неактивного газа направляют в устройство для подачи радикалов (или расширительное пространство 425) через генератор плазмы (плазменный источник 110) в период импульса плазменного прекурсора во время периода плазменного АСО, причем в течение этого периода импульса газ функционирует в качестве газа-носителя для генерированных радикалов, тогда как в некоторых вариантах осуществления настоящего изобретения поток газа из источника химически неактивного газа направляют в расширительное пространство 425 через генератор плазмы в период продувки во время периода плазменного АСО, причем этот газ во время периода продувки функционирует как инертный или продувочный газ. В некоторых вариантах осуществления настоящего изобретения газ направляют таким образом во время обоих этих периодов. В некоторых вариантах осуществления настоящего изобретения во время обоих этих периодов газ из источника химически неактивного газа дополнительно направляют в расширительное пространство 425 через линию 204 защитного газа. Например, во время периода термического АСО газ из источника химически неактивного газа в некоторых вариантах осуществления настоящего изобретения направляют в расширительное пространство 425 по обоим путям или только через линию 204 для защитного газа (например, в случае, если путь от генератора плазмы к расширительному пространству 425 закрыт). Также, если путь от генератора плазмы к расширительному пространству 425 закрыт, газ из источника химически неактивного газа в некоторых вариантах осуществления настоящего изобретения во время этих периодов направляют в расширительное пространство 425 через линию 204 для защитного газа, что приводит к непрерывному потоку инертного газа в расширительное пространство 425 и препятствует появлению эффекта обратного потока. Если путь от генератора плазмы к расширительному пространству 425 закрыт, то в некоторых вариантах осуществления настоящего изобретения поток газа из источника химически неактивного газа, текущий через генератор плазмы, во время этого периода направляют в отводящую линию для поддержания постоянного давления в генераторе плазмы.
Приведенный ниже пример эксперимента также демонстрирует работу избранных вариантов осуществления настоящего изобретения.
ОПИСАНИЕ ПРИМЕРОВ ОСУЩЕСТВЛЕНИЯ ИЗОБРЕТЕНИЯ
Пример 1
Кремниевую подложку размером 100 мм загружали в реакционную камеру 335 с помощью двойного подъемника, изображенного на Фиг. 3. Технологическое оборудование реактора осаждения согласно Фиг. 6 и временную диаграмму согласно Фиг. 7 использовали для наращивания оксида алюминия Аl2О3 из триметиламмония ТМА и воды H2O на силиконовую подложку при 200°С. Скорость потока газообразного аргона через линию 101 для газа-носителя или продувочного газа была равна 30 кубическим сантиметрам в минуту. Длительность импульса ТМА была равна 0,1 с, далее следовала продувка в течение 6 с. Затем открывался импульсный клапан 414 для газообразного кислорода и газообразный кислород со скоростью потока, равной 50 кубическим сантиметрам в минуту, поступал через импульсный клапан 414 к удаленному генератору 110 плазмы. Радиочастотную мощность повышали от 0 Вт до 2500 Вт для включения генерации плазмы и удерживали на уровне 2500 Вт в течение 6 с. После этого радиочастотную мощность снижали от 2500 Вт до 0 Вт для отключения генерации плазмы. Затем закрывали клапан для газообразного кислорода и продували систему инертным газом в течение 10 с. Цикл осаждения повторяли до тех пор, пока не нарастала тонкая пленка Аl2О3 толщиной 36 нм. В результате полуширина доверительного интервала неоднородности толщины тонкой пленки, равная 1 сигме, составила всего 1,3% по результатам измерения эллипсометром в 49 точках.
В иллюстративном варианте осуществления настоящего изобретения описываемый реактор осаждения является системой с компьютерным управлением. Компьютерная программа, хранящаяся в памяти системы, содержит инструкции, которые при выполнении их по меньшей мере одним процессором системы приводят к тому, что реактор работает согласно инструкциям. Инструкции могут быть в форме машинно-читаемой управляющей программы. На Фиг. 8 приведено схематичное изображение блок-схемы системы 800 управления реактором осаждения. В базовых настройках системы с помощью программного обеспечения запрограммированы технологические параметры, а инструкции выполняются через терминал 806 человеко-машинного интерфейса (ЧМИ) и загружаются через шину 804 Ethernet блок 802 управления. В одном из вариантов осуществления настоящего изобретения блок 802 управления содержит блок программируемого логического контроллера (ПЛК) общего назначения. Блок 802 управления содержит по меньшей мере один микропроцессор для исполнения программного обеспечения блока управления, содержащего управляющую программу, хранящуюся в памяти, динамическую и статическую память, I/O модули, A/D и D/A конвертеры и реле мощности. Блок 802 управления направляет электрическую мощность к пневматическим контроллерам клапанов реактора осаждения, имеет двухстороннюю связь с регуляторами массового расхода и управляет работой плазменного источника, генерацией плазмы и подъемником, а также обеспечивает другое управление работой реактора осаждения. Блок 802 управления может измерять и передавать показания датчиков из реактора осаждения на терминал 806 ЧМИ. Пунктирная линия 816 показывает интерфейсную линию между элементами реактора осаждения и блоком 802 управления.
Приведенное выше описание обеспечило за счет неограничивающих примеров конкретных исполнений и вариантов осуществления настоящего изобретения полное и информативное описание наилучшего способа, в настоящее время предлагаемого авторами настоящего изобретения для его осуществления. Однако специалистам в данной области техники очевидно, что изобретение не ограничено деталями вариантов его осуществления, описанных выше, и оно может быть осуществлено в других вариантах с использованием эквивалентных средств без отклонения от характеристик настоящего изобретения.
Кроме того, некоторые признаки описанных выше вариантов осуществления настоящего изобретения могут быть с успехом использованы без соответствующего использования других признаков. Поэтому приведенное выше описание следует считать только иллюстрирующим принципы настоящего изобретения, а не ограничивающим его. Соответственно, объем изобретения ограничен исключительно прилагаемой формулой изобретения.

Claims (7)

1. Установка для плазменного атомно-слоевого осаждения, содержащая газовую линию от источника химически неактивного газа к расширительному устройству для подачи радикалов, открывающемуся в реакционную камеру, удаленный плазменный источник, систему управления потоком газа из источника химически неактивного газа через удаленный плазменный источник к расширительному устройству для подачи радикалов в течение всего периода плазменного атомно-слоевого осаждения, реактор плазменного атомно-слоевого осаждения, выполненный с возможностью осаждения материала в реакционной камере на по меньшей мере одну подложку посредством последовательных самонасыщающихся поверхностных реакций.
2. Установка по п. 1, отличающаяся тем, что упомянутая система управления выполнена с возможностью обеспечения упомянутого потока газа к расширительному устройству для подачи радикалов через удаленный плазменный источник в течение периода импульса прекурсора плазмы, входящего в упомянутый период плазменного атомно-слоевого осаждения, причем упомянутый газ используют в качестве газа-носителя для генерируемых радикалов.
3. Установка по п. 1, отличающаяся тем, что упомянутая система управления выполнена с возможностью обеспечения упомянутого потока газа из источника химически неактивного газа к расширительному устройству для подачи радикалов через удаленный плазменный источник в течение периода продувки, входящего в период плазменного атомно-слоевого осаждения, причем газ в течение этого периода продувки функционирует как продувочный и инертный защитный газ.
4. Установка по п. 1, отличающаяся тем, что упомянутая система управления выполнена с возможностью дополнительного обеспечения упомянутого потока газа из источника химически неактивного газа к расширительному устройству для подачи радикалов по обводной линии в обход удаленного плазменного источника.
5. Установка по п. 1, отличающаяся тем, что упомянутая система управления выполнена с возможностью дополнительного обеспечения упомянутого потока газа к расширительному устройству для подачи радикалов как по пути, проходящему через удаленный плазменный источник, так и по обводной линии в обход удаленного плазменного источника, в течение периода плазменного атомно-слоевого осаждения.
6. Установка по любому из пп. 1-3, отличающаяся тем, что расширительное устройство для подачи радикалов выполнено деформируемым, при этом установка дополнительно содержит по меньшей мере один механический привод для деформации упомянутого устройства для подачи радикалов путем перехода из сжатой формы в удлиненную форму и обратно.
7. Установка по п. 6, отличающаяся тем, что держатель подложки, удерживающий по меньшей мере одну подложку, механически соединен с деформируемым расширительным устройством для подачи радикалов, при этом за счет деформации деформируемого расширительного устройства для подачи радикалов обеспечивается подъем держателя подложки, удерживающего по меньшей мере одну подложку, в верхнее положение для загрузки или удаления подложки.
RU2013148923/02A 2011-04-07 2011-04-07 Атомно-слоевое осаждение с плазменным источником RU2584841C2 (ru)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/FI2011/050303 WO2012136876A1 (en) 2011-04-07 2011-04-07 Atomic layer deposition with plasma source

Publications (2)

Publication Number Publication Date
RU2013148923A RU2013148923A (ru) 2015-05-20
RU2584841C2 true RU2584841C2 (ru) 2016-05-20

Family

ID=46968647

Family Applications (1)

Application Number Title Priority Date Filing Date
RU2013148923/02A RU2584841C2 (ru) 2011-04-07 2011-04-07 Атомно-слоевое осаждение с плазменным источником

Country Status (9)

Country Link
US (3) US9095869B2 (ru)
EP (1) EP2694700B1 (ru)
JP (1) JP5919371B2 (ru)
KR (3) KR101819721B1 (ru)
CN (1) CN103635605B (ru)
RU (1) RU2584841C2 (ru)
SG (1) SG11201405416UA (ru)
TW (1) TWI565824B (ru)
WO (1) WO2012136876A1 (ru)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
RU2633894C1 (ru) * 2016-06-24 2017-10-19 Акционерное общество "Научно-производственное предприятие "Пульсар" Способ плазмо-стимулированного атомно-слоевого осаждения изолирующих диэлектрических покрытий на гетероструктурах нитрид-галлиевых полупроводниковых приборов

Families Citing this family (295)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101819721B1 (ko) * 2011-04-07 2018-02-28 피코순 오와이 플라즈마 소오스를 갖는 원자층 퇴적
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9443998B2 (en) * 2013-03-14 2016-09-13 Nanoco Technologies Ltd. Multi-layer-coated quantum dot beads
JP6107327B2 (ja) * 2013-03-29 2017-04-05 東京エレクトロン株式会社 成膜装置及びガス供給装置並びに成膜方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
CN103882409B (zh) * 2014-03-13 2016-04-20 中国科学院半导体研究所 源输送混合比可调气路装置
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9617637B2 (en) * 2014-07-15 2017-04-11 Lam Research Corporation Systems and methods for improving deposition rate uniformity and reducing defects in substrate processing systems
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9318319B2 (en) * 2014-08-27 2016-04-19 Ultratech, Inc. Radical-enhanced atomic layer deposition using CF4 to enhance oxygen radical generation
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
EP3271499A4 (en) * 2015-03-17 2018-12-19 Picosun Oy Heat conductive ald-coating in an electrical device
WO2016156659A1 (en) * 2015-04-01 2016-10-06 Picosun Oy Ald-deposited graphene on a conformal seed layer
JP5990626B1 (ja) * 2015-05-26 2016-09-14 株式会社日本製鋼所 原子層成長装置
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9865455B1 (en) * 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP6697640B2 (ja) * 2017-02-08 2020-05-20 ピコサン オーワイPicosun Oy 可動構造をもつ堆積またはクリーニング装置および動作方法
US10655221B2 (en) * 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP2018152457A (ja) * 2017-03-13 2018-09-27 株式会社デンソー 半導体基板およびその製造方法
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
KR102411152B1 (ko) * 2017-05-02 2022-06-21 피코순 오와이 Ald 장치, 방법 및 밸브
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI650837B (zh) * 2017-09-25 2019-02-11 台灣積體電路製造股份有限公司 製程設備及其組裝方法
CN109559965B (zh) * 2017-09-25 2021-05-14 台湾积体电路制造股份有限公司 制程设备及其组装方法
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10927459B2 (en) * 2017-10-16 2021-02-23 Asm Ip Holding B.V. Systems and methods for atomic layer deposition
KR101960073B1 (ko) * 2017-10-27 2019-03-20 주식회사 뉴파워 프라즈마 반도체 공정용 기판 처리 시스템
KR102014887B1 (ko) * 2017-10-27 2019-08-28 주식회사 뉴파워 프라즈마 선택적으로 라디칼을 공급하는 라디칼 발생기
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US10734238B2 (en) 2017-11-21 2020-08-04 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for critical dimension control
US10515815B2 (en) * 2017-11-21 2019-12-24 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for fin field effect transistor formation
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10446394B2 (en) 2018-01-26 2019-10-15 Lam Research Corporation Spacer profile control using atomic layer deposition in a multiple patterning process
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
CN111074235B (zh) * 2018-10-19 2024-01-05 北京北方华创微电子装备有限公司 进气装置、进气方法及半导体加工设备
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
FI129719B (en) 2019-06-25 2022-07-29 Picosun Oy PLASMA IN SUBSTRATE PROCESSING EQUIPMENT
JP2022538099A (ja) * 2019-06-25 2022-08-31 ピコサン オーワイ 基板処理装置におけるプラズマ
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
US20220275510A1 (en) * 2019-08-06 2022-09-01 Lam Research Corporation Thermal atomic layer deposition of silicon-containing films
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
WO2021090793A1 (ja) * 2019-11-06 2021-05-14 株式会社クリエイティブコーティングス Dlc膜の成膜装置及び成膜方法
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
CN113363405B (zh) * 2021-06-29 2022-06-03 昆山梦显电子科技有限公司 一种显示面板的制备方法、显示面板及显示装置
JP7039085B1 (ja) * 2021-08-30 2022-03-22 株式会社クリエイティブコーティングス 成膜装置
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
FI130545B (en) * 2021-09-14 2023-11-08 Picosun Oy SUBSTRATE PROCESSING EQUIPMENT AND METHOD
CN114807905B (zh) * 2022-06-27 2022-10-28 江苏邑文微电子科技有限公司 一种原子层沉积装置

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SU810085A3 (ru) * 1974-11-29 1981-02-28 Ой Лохья Аб (Фирма) Способ получени составных пленокНЕОРгАНичЕСКиХ СОЕдиНЕНий
RU2258764C1 (ru) * 2001-04-16 2005-08-20 Технише Университет Эйндховен Способ и устройство для осаждения по меньшей мере частично кристаллического кремниевого слоя на подложку
WO2009130375A1 (en) * 2008-04-22 2009-10-29 Picosun Oy Apparatus and methods for deposition reactors
WO2010024671A1 (en) * 2008-08-27 2010-03-04 Nederlandse Organisatie Voor Toegepast-Natuurwetenschappelijk Onderzoek Tno Apparatus and method for atomic layer deposition

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4996077A (en) * 1988-10-07 1991-02-26 Texas Instruments Incorporated Distributed ECR remote plasma processing and apparatus
US7204886B2 (en) * 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
KR100439948B1 (ko) * 2002-04-19 2004-07-12 주식회사 아이피에스 리모트 플라즈마 ald 장치 및 이를 이용한 ald 박막증착방법
US20040086434A1 (en) * 2002-11-04 2004-05-06 Gadgil Pradad N. Apparatus and method for treating objects with radicals generated from plasma
WO2004085703A1 (ja) * 2003-03-25 2004-10-07 Tokyo Electron Limited 処理装置及び処理方法
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
JP2005322668A (ja) * 2004-05-06 2005-11-17 Renesas Technology Corp 成膜装置および成膜方法
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8211235B2 (en) * 2005-03-04 2012-07-03 Picosun Oy Apparatuses and methods for deposition of material on surfaces
KR101272321B1 (ko) * 2005-05-09 2013-06-07 한국에이에스엠지니텍 주식회사 복수의 기체 유입구를 가지는 원자층 증착 장치의 반응기
US20070116872A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
CN101321893B (zh) 2005-12-06 2011-09-28 株式会社爱发科 气体压头及薄膜制造装置
KR101379015B1 (ko) * 2006-02-15 2014-03-28 한국에이에스엠지니텍 주식회사 플라즈마 원자층 증착법을 이용한 루테늄 막 증착 방법 및고밀도 루테늄 층
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
JP5193527B2 (ja) 2006-09-28 2013-05-08 東京エレクトロン株式会社 シリコン酸化膜の形成方法、シリコン酸化膜の形成装置及びプログラム
US7595270B2 (en) * 2007-01-26 2009-09-29 Asm America, Inc. Passivated stoichiometric metal nitride films
US20080241387A1 (en) * 2007-03-29 2008-10-02 Asm International N.V. Atomic layer deposition reactor
JP5219562B2 (ja) * 2007-04-02 2013-06-26 株式会社日立国際電気 基板処理装置、基板処理方法及び半導体装置の製造方法
US8235001B2 (en) 2007-04-02 2012-08-07 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
US20090025004A1 (en) * 2007-07-16 2009-01-22 Microsoft Corporation Scheduling by Growing and Shrinking Resource Allocation
WO2009129332A2 (en) * 2008-04-16 2009-10-22 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
KR101497413B1 (ko) * 2008-08-28 2015-03-02 주식회사 뉴파워 프라즈마 용량 결합 플라즈마 반응기 및 이를 이용한 플라즈마 처리 방법 및 이것에 의해 제조된 반도체 장치
US20100183825A1 (en) * 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method
KR101819721B1 (ko) * 2011-04-07 2018-02-28 피코순 오와이 플라즈마 소오스를 갖는 원자층 퇴적

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SU810085A3 (ru) * 1974-11-29 1981-02-28 Ой Лохья Аб (Фирма) Способ получени составных пленокНЕОРгАНичЕСКиХ СОЕдиНЕНий
RU2258764C1 (ru) * 2001-04-16 2005-08-20 Технише Университет Эйндховен Способ и устройство для осаждения по меньшей мере частично кристаллического кремниевого слоя на подложку
WO2009130375A1 (en) * 2008-04-22 2009-10-29 Picosun Oy Apparatus and methods for deposition reactors
WO2010024671A1 (en) * 2008-08-27 2010-03-04 Nederlandse Organisatie Voor Toegepast-Natuurwetenschappelijk Onderzoek Tno Apparatus and method for atomic layer deposition

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
RU2633894C1 (ru) * 2016-06-24 2017-10-19 Акционерное общество "Научно-производственное предприятие "Пульсар" Способ плазмо-стимулированного атомно-слоевого осаждения изолирующих диэлектрических покрытий на гетероструктурах нитрид-галлиевых полупроводниковых приборов

Also Published As

Publication number Publication date
TWI565824B (zh) 2017-01-11
RU2013148923A (ru) 2015-05-20
CN103635605A (zh) 2014-03-12
KR20180128982A (ko) 2018-12-04
US20180099304A1 (en) 2018-04-12
US9868131B2 (en) 2018-01-16
KR101923167B1 (ko) 2018-11-29
JP5919371B2 (ja) 2016-05-18
KR101819721B1 (ko) 2018-02-28
KR20180008875A (ko) 2018-01-24
EP2694700A4 (en) 2014-08-27
SG11201405416UA (en) 2014-11-27
US20140024223A1 (en) 2014-01-23
US9095869B2 (en) 2015-08-04
TW201243095A (en) 2012-11-01
KR102111702B1 (ko) 2020-05-15
JP2014517499A (ja) 2014-07-17
EP2694700A1 (en) 2014-02-12
WO2012136876A8 (en) 2012-12-06
KR20140029424A (ko) 2014-03-10
CN103635605B (zh) 2017-03-08
EP2694700B1 (en) 2016-11-16
US20150322569A1 (en) 2015-11-12
WO2012136876A1 (en) 2012-10-11

Similar Documents

Publication Publication Date Title
RU2584841C2 (ru) Атомно-слоевое осаждение с плазменным источником
RU2571547C2 (ru) Реактор для осаждения с плазменным источником
EP2286006B1 (en) Methods and apparatus for deposition reactors
TWI652431B (zh) 用於保護氣體容器內部之方法及設備
EP3114249A1 (en) Protecting an interior of a hollow body with an ald coating
KR102642370B1 (ko) 전구체 전달 시스템