CN103635605A - 具有等离子体源的原子层沉积 - Google Patents

具有等离子体源的原子层沉积 Download PDF

Info

Publication number
CN103635605A
CN103635605A CN201180069858.8A CN201180069858A CN103635605A CN 103635605 A CN103635605 A CN 103635605A CN 201180069858 A CN201180069858 A CN 201180069858A CN 103635605 A CN103635605 A CN 103635605A
Authority
CN
China
Prior art keywords
gas
source
plasma
during
free radical
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201180069858.8A
Other languages
English (en)
Other versions
CN103635605B (zh
Inventor
V·基尔皮
W-M·李
T·马利南
J·科斯塔莫
S·林德弗斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Picosun Oy
Original Assignee
Picosun Oy
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Picosun Oy filed Critical Picosun Oy
Publication of CN103635605A publication Critical patent/CN103635605A/zh
Application granted granted Critical
Publication of CN103635605B publication Critical patent/CN103635605B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05CAPPARATUS FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05C5/00Apparatus in which liquid or other fluent material is projected, poured or allowed to flow on to the surface of the work
    • B05C5/001Apparatus in which liquid or other fluent material is projected, poured or allowed to flow on to the surface of the work incorporating means for heating or cooling the liquid or other fluent material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45582Expansion of gas before it reaches the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32743Means for moving the material to be treated for introducing the material into processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32871Means for trapping or directing unwanted particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本发明涉及一种方法,所述方法包括操作等离子体原子层沉积反应器,所述反应器被构造成在反应室(335)中在至少一个基材(360)上通过顺序的自饱和表面反应来沉积材料;和基本上在整个沉积周期期间使来自不活泼气体源的气体流入到朝向反应室开口的变宽的自由基进给部件中。本发明还涉及相应的设备。

Description

具有等离子体源的原子层沉积
发明领域
本发明总的涉及具有等离子体源的沉积反应器。更具体地,但不是排他地,本发明涉及这样的沉积反应器,在其中材料通过顺序自饱和表面反应沉积在表面上。
发明背景
原子层外延(Atomic Layer Epitaxy,ALE)方法是Tuomo Suntola博士在1970年代初发明的。该方法的另一个通用名是原子层沉积(Atomic Laye Deposition,ALD),并且现在用它代替了ALE。ALD是基于向基材顺序引入至少两种反应性前体物质的特殊的化学沉积方法。所述基材位于反应空间内。反应空间通常被加热。ALD的基本生长机制依赖于化学吸附(chemisorption)与物理吸附(physisorption)之间的结合强度差异。在所述沉积过程期间,ALD利用化学吸附并消除物理吸附。化学吸附期间,在固相表面的原子和从气相抵达的分子之间形成强化学键。通过物理吸附的结合要弱的多,因为只涉及范德华力。当局部温度超过所述分子的凝结温度时,物理吸附键就很容易被热能断裂。
ALD反应器的反应空间包括能够交替和顺序地暴露于用于薄膜沉积的每种ALD前体的所有加热表面。基本的ALD沉积周期由四个顺序的步骤组成:脉冲A,吹扫A,脉冲B,和吹扫B。脉冲A通常由金属前体蒸气组成,而脉冲B由非金属前体蒸气组成,尤其是氮或氧前体蒸气。不活泼气体例如氮气或氩气、和真空泵用于在吹扫A和吹扫B期间从反应空间清除气态反应副产物和剩余的反应物分子。沉积顺序包括至少一个沉积周期。重复沉积周期,直到沉积顺序已经产生期望厚度的薄膜。
前体物质通过化学吸附与加热表面的反应性部位形成化学键。通常以一个前体脉冲期间在表面上形成不超过固体材料分子单层的方式来安排条件。因此,所述生长过程是自终结的或自饱和的。例如,第一种前体可以包括保持与所述吸附物质相连并使所述表面饱和的配位体,其阻止进一步的化学吸附。保持反应空间温度超过所使用的前体的凝结温度并低于其热分解温度,使得所述前体分子物质基本上完好地化学吸附在基材上。基本完好是指当前体分子物质化学吸附在表面上时,挥发性配位体可以离开所述前体分子。所述表面变得基本上被第一种类型的反应性部位、即第一种前体分子的吸附物质饱和。这个化学吸附步骤通常继之以第一吹扫步骤(吹扫A),其中过量的第一种前体和可能的反应副产物被从反应空间除去。然后将第二种前体蒸气引入反应空间。第二种前体分子通常与第一种前体分子的吸附物质反应,从而形成所期望的薄膜材料。一旦已经消耗了吸附的第一种前体的全部量并且所述表面已经被第二种类型的反应性部位基本饱和,则该生长终止。过量的第二种前体蒸气和可能的反应副产物蒸气然后通过第二个吹扫步骤(吹扫B)除去。然后重复所述周期,直到薄膜已经生长到所期望的厚度。沉积周期也可以更复杂。例如,所述周期可以包括被吹扫步骤分开的三个或更多个反应物蒸气脉冲。所有这些沉积周期形成了受逻辑单元或微处理器控制的定时沉积顺序。
通过ALD生长的薄膜是致密的,无针孔并具有均一的厚度。例如,从三甲基铝(CH3)3Al、也称为TMA和水在250-300℃通过热ALD生长的氧化铝,在100-200mm直径晶片上通常有约1%的不均一性。通过ALD生长的金属氧化物薄膜适合于栅极电介质、电致发光显示器绝缘体、磁读出头间隙的填充层、电容器电介质和钝化层。通过ALD生长的金属氮化物薄膜适合于扩散阻挡层,例如在双镶嵌结构中。
适合于在各种ALD反应器中的ALD方法的前体公开于,例如,综述R.Puurunen,"Surface chemistry of atomic layer deposition:A casestudy for the trimethylaluminium/water process",J.Appl.Phys.,97(2005),121301页中,所述文献通过引用并入本文。
在ALD方法中使用自由基可以获得一些优点,例如在非常低的沉积温度下使用热敏基材的可能性。在等离子体ALD反应器中,自由基由等离子体源产生。然而,使用等离子体源可能引起对沉积反应器的一定要求或特定的问题。
发明概述
根据本发明的第一个示例方面,提供了一种方法,所述方法包括:
操作等离子体原子层沉积反应器,所述反应器被构造成在反应室中在至少一个基材上通过顺序自饱和表面反应来沉积材料;和
基本上在整个沉积周期期间使来自不活泼气体源的气体流入到朝向反应室开口的变宽的自由基进给部件。
“使...流”的表达在实践中可以是指“导向”、“传导”或“引导流动”。
在某些实施方式中,沉积反应器是等离子体增强原子层沉积反应器,即PEALD反应器。在某些实施方式中,沉积反应器包括在反应室顶侧上的等离子体源。在某些实施方式中,等离子体源是感应耦合等离子体源。在某些实施方式中,等离子体源产生用作沉积反应器中反应物的自由基。在某些实施方式中,等离子体源的活化输出物质由自由基组成。在这些实施方式中,活化的输出物质是基本上不含离子的自由基。
在某些实施方式中,等离子体原子层沉积反应器(等离子体ALD反应器)可以用于等离子体ALD和热ALD二者。热ALD的进给管线可以与引导自由基经其进入反应室的等离子体ALD源管线分开。
沉积过程由一个或多个连贯的沉积周期组成。每个沉积周期可以由热ALD期接着等离子体ALD期或者等离子体ALD期接着热ALD期组成。每个等离子体ALD期可以基本上由等离子体ALD脉冲期(自由基生成期)和随后的等离子体ALD吹扫期组成。类似地,每个热ALD期基本上可以由热ALD脉冲期和随后的热ALD吹扫期组成。在某些实施方式中,每个ALD周期可以包含超过两个脉冲期(它们后面可以跟着各自的吹扫期)。
在某些实施方式中,所述方法包括:
在等离子体原子层沉积期的等离子体前体脉冲期期间,使来自不活泼气体源的气体经过等离子体源流入自由基进给部件,所述气体在该脉冲期期间充当所生成的自由基的载气。
在某些实施方式中,所述方法包括:
在等离子体原子层沉积期的吹扫期期间,使来自不活泼气体源的气体经等离子体源流入自由基进给部件,所述气体在该吹扫期期间充当吹扫和惰性保护气体。
在某些实施方式中,所述方法包括:
在等离子体原子层沉积期期间和热原子层沉积期期间,都使来自不活泼气体源的气体经等离子体源流入自由基进给部件。
在某些实施方式中,所述方法包括:
使来自不活泼气体源的气体经绕过等离子体源的路径流入到自由基进给部件中。
在某些实施方式中,所述方法包括:
在等离子体原子层沉积期期间,使来自不活泼气体源的气体经行经等离子体源的路径和经绕过等离子体源的另一个路径二者流入自由基进给部件。
在某些实施方式中,所述方法包括:
在热原子层沉积期期间,使来自不活泼气体源的气体只经绕过等离子体源的路径流入自由基进给部件,和
引导来自不活泼气体源并流经等离子体源的气体在该时期期间进入排气管线。
在某些实施方式中,所述方法包括:
在等离子体原子层沉积期期间,引导惰性气体经热原子层沉积进给管线去往反应室,所述热原子层沉积进给管线与在等离子体原子层沉积期期间将自由基经其引入反应室的等离子体源管线分开。
因此,在某些实施方式中,所述沉积反应器可以包括从不活泼气体源到进给部件的两个路径,而在一些其他实施方式中,只实现了单个路径。在某些实施方式中,通过闸门阀或相当的关闭构件在必要时关闭经过等离子体源的路径,使得然后所述路径不会继续经所述进给部件进入反应室,而是完全绕过所述反应室,从而可以将等离子体源与反应室分开。
在某些实施方式中,所述方法包括使用可变形的进给部件,所述进给部件可通过至少一个机械致动器在收缩形状和伸展形状之间变形。
在某些实施方式中,携带至少一个基材的基材架与所述可变形的进给部件机械联接,并且所述方法包括:通过使所述可变形进给部件变形,引起所述携带至少一个基材的基材架提升到用于装载或卸载的上部位置。
根据本发明的第二个示例方面,提供了等离子体原子层设备,所述设备包括:
气体管线,其从不活泼气体源到朝向反应室开口的变宽的自由基进给部件;和
控制系统,其被构造成基本上在整个沉积周期期间使来自不活泼气体源的气体流入进给部件,和
等离子体原子层沉积反应器,其被构造成在反应室中通过顺序自饱和表面反应将材料沉积在至少一个基材上。
在某些实施方式中,所述设备或控制系统被构造成在等离子体原子层沉积期的等离子体前体脉冲期期间,使来自不活泼气体源的气体经等离子体源流入自由基进给部件,所述气体在该脉冲期期间充当所生成的自由基的载气。
在某些实施方式中,所述设备或控制系统被构造成在等离子体原子层沉积期的吹扫期期间,使来自不活泼气体源的气体经过等离子体源流入自由基进给部件,所述气体在吹扫期期间充当吹扫和惰性保护气体。
在某些实施方式中,所述设备或控制系统被构造成在等离子体原子层沉积期和热原子层沉积期这两个期间,使来自不活泼气体源的气体经过等离子体源流入自由基进给部件。
在某些实施方式中,所述设备或控制系统被构造成使来自不活泼气体源的气体经绕过等离子体源的路径流入自由基进给部件。
在某些实施方式中,所述设备或控制系统被构造成在等离子体原子层沉积期期间,使来自不活泼气体源的气体经行经等离子体源的路径和经绕过等离子体源的另一个路径二者流入自由基进给部件。
在某些实施方式中,所述设备或控制系统被构造成:
在热原子层沉积期期间,使来自不活泼气体源的气体只经绕过等离子体源的路径流入自由基进给部件;和
引导来自不活泼气体源并流经等离子体源的气体在该时期期间进入排气管线。
在某些实施方式中,所述设备或控制系统被构造成在等离子体原子层沉积期期间,引导惰性气体经热原子层沉积进给管线去往反应室,所述热原子层沉积进给管线与在等离子体原子层沉积期期间将自由基经其引入反应室的等离子体源管线分开。
在某些实施方式中,限定或形成膨胀空间的所述进给部件,其尺寸或者其形状或大小是可变的。在某些实施方式中,所述提升机构被构造成改变所述进给部件的尺寸。
在某些实施方式中,所述进给部件是自由基经其进入反应室的部件。在某些实施方式中,所述进给部件具有收缩形状和伸展形状,这些形状之间的转变由提升机构(升降器或类似的机构)操作。所述升降器可以被构造成推动或牵拉所述进给部件从所述伸展形状到所述收缩形状,在所述进给部件处于其收缩形状时,允许所述至少一个基材的所述装载。在某些实施方式中,所述进给部件被构造成垂直变形。
在某些实施方式中,所述进给部件包括一组可活动以便安装在彼此内的嵌套子部件或环样构件。所述子部件可以是内部中空的。嵌套子部件的数量可以是两个或更多个,以形成套筒式(telescopic)结构。所述嵌套子部件的形式可以是截锥体(truncated cone)。在一种实施方式中,在所述进给部件实际上由两个或更多个子部件组成的情况下,至少最接近反应空间的子部件可以是截锥体。在某些实施方式中,所述进给部件由两个嵌套的子部件组成。
在某些实施方式中,所述进给部件是可变形的,并且所述设备包括至少一个机械致动器,以使所述进给部件在收缩形状和伸展形状之间变形。
在某些实施方式中,携带至少一个基材的基材架与所述可变形的进给部件机械联接,并且其中使所述可变形进给部件变形,引起所述携带至少一个基材的基材架提升到用于装载或卸载的上部位置。
根据本发明的第三个示例方面,提供了等离子体原子层设备,所述设备包括:
操作等离子体原子层沉积反应器的机构,所述反应器被构造成在反应室中在至少一个基材上通过顺序自饱和表面反应来沉积材料;和
用于基本上在整个沉积周期期间使来自不活泼气体源的气体流入到朝向反应室开口的变宽的自由基进给部件中的机构。
本发明不同的非限制性示例方面和实施方式已经在上文中说明。上述实施方式仅用于阐明可以在实施本发明中使用的所选的方面或步骤。一些实施方式可以只参考本发明的某些示例方面来介绍。应该领会,相应的实施方式同样可以适用于其他示例方面。可以形成任何合适的实施方式组合。
附图简要说明
现在将只通过示例并参考附图说明本发明,在所述附图中:
图1显示了根据示例性实施方式,沉积反应器的总体构架;
图2显示了根据示例性实施方式,沉积反应器的某些细节;
图3显示了根据示例性实施方式,沉积反应器的反应室和某些相关部件;
图4显示了根据示例性实施方式,沉积反应器的工艺仪器;
图5显示了在图4的示例性实施方式中定时图的例子;
图6显示了根据另一个示例性实施方式,沉积反应器的工艺仪器;
图7显示了在图6的示例性实施方式中定时图的例子;和
图8显示了根据示例性实施方式,沉积反应器控制系统的草框图。
发明详述
在下面的说明中,原子层沉积(ALD)技术被用作例子。然而,目的不是严格限于该技术,而是必须认识到,某些实施方式也可以适用于利用其他相当的原子规模沉积技术的方法和设备中。
ALD生长机制的基础是技术人员已知的。ALD方法的详情也已经在本专利申请的引言部分中说明。这些细节在此不重复,但是对于这方面参考了引言部分。
图1显示了沉积反应器(等离子体ALD反应器等)的侧视图。所述沉积反应器包括在ALD反应器模块130内部基材传递室下方的反应室(图1中未显示)。源气体通过载气和吹扫气体管线101流入在反应室顶侧上的等离子体源110。由等离子体源110从源气体生成的自由基通过反应室进给管线或等离子体源管线102流向反应室。在等离子体源110和反应室之间,有基材传递室120。至少一个基材通过传递室120装载到反应室中。基材传递室120包括用于装载锁定的界面等,用于装载所述至少一个基材。在示例性实施方式中,所述界面可以是装载锁定凸缘122等,具有闸门阀的装载锁定可以与之相连。在一种示例性实施方式中,将所述至少一个基材装载到传递室中的可以是自动的程序。或者,可以手工装载所述至少一个基材。与传递室整合的较大的舱口123特别适合于在室压下手动装载和卸载。
起于等离子体源的等离子体源管线102可以在传递室120之前通过与等离子体源管线102相连的关闭构件或阀115、例如闸门阀等(以下称为闸门阀115)关闭。当阀115打开时,由等离子体源110从源气体生成的自由基通过等离子体源管线102流向所述反应室。自由基流过传递室上凸缘121进入朝向反应室变宽的膨胀空间(图1未显示)。这个及其他补充细节在图2中更详细地显示。在如图6和相关描述中更详细说明的实施方式中,关闭构件或阀115可以从所述构造上省略,并且在所述沉积过程期间,有保护性惰性气体(例如氩气)从源气体管线101经过等离子体发生器110流向反应空间(331,图3)。
膨胀空间由进给部件或组件限定或形成,所述进给部件或组件包括一组可活动以便安装在彼此内的嵌套子部件或环样构件。在图2显示的实施方式中,子部件数量是两个。子部件241和242形成套筒式结构。在图2显示的示例性实施方式中,上部的子部件241与传递室上凸缘121相连。所述凸缘121也可以表示为真空室凸缘,因为通常可以在传递室的围绕进给部件的部分中产生真空或接近真空。在图2显示的示例性实施方式中,下部的子部件242与膨胀空间凸缘224相连,所述凸缘在沉积期间以基本防渗漏的方式靠着反应室凸缘234安装,防止反应空间(331,图3)和反应室周围的气体空间(335,图3)之间漏气。
在图2显示的实施方式中,升降器250的可收缩轴与膨胀空间凸缘224相连,或直接与进给部件相连。升降器250的主体也可以与传递室上凸缘121或与沉积反应器中其它合适的对应物相连。升降器250可以是例如利用至少部分被波纹管251等覆盖的刚性可收缩轴操作的升降器。在一种实施方式中,这种安排在气动或线性致动器与膨胀空间凸缘224或进给部件之间形成防漏的竖直柔性覆盖物。在一种实施方式中,使用线性馈通(feedthrough),用于将进给部件和膨胀空间凸缘与基材架在真空中一起移动并从大气侧控制
图2显示的沉积反应器具有任选的与等离子体源管线102流体连通的排空管线207。排空管线207在等离子体源110与闸门阀115之间的等离子体源管线102部分上与等离子体源管线102连接。
此外,图2显示的沉积反应器具有与等离子体源管线102流体连通的任选的保护气体管线204。在保护气体管线中流动的不活泼保护气体阻止上游方向的粒子或气流。保护气体管线204在下游方向紧接闸门阀115的实施方式中,在闸门阀115之后的等离子体源管线102的部分上与等离子体源管线102连接。
在可替换实施方式中,膨胀空间凸缘224没有与进给部件分开,而是形成进给部件的一部分,从而形成进给部件的底部。所述底部在该实施方式中靠着反应室起到边缘密封的功能。另一方面,它起到升降器250的固定点(升降器轴)的功能。
在反应室335的反应空间331中,如图3所示,至少一个水平放置的基材360被基材架361支撑或平放在基材架361之上。在一种实施方式中,基材架包括两个以开放的间隙分开的区段,所述间隙宽得足够允许基材叉在所述区段之间自由移动。基材架361通过架支撑体362与膨胀空间凸缘224相连。在一种示例性实施方式中,基材架361被构造成与膨胀空间凸缘224一起移动。在一种实施方式中,升降器波纹管251的底端与所述轴防渗漏式偶联。用致动器在升降器波纹管251内牵引所述轴,使升降器波纹管251收缩,并且可以向上牵引所述至少一个基材360或基材架361供装载或卸载,同时保持基材操作区和它的周围处于真空。包括子部件241和242的进给部件在子部件242滑动到较小的子部件241上时垂直收缩,产生用于通过传递室120进行装载与卸载的空间(图1)。可以有超过一个升降器,例如两个升降机。第二个升降器的升降器波纹管351已在图3中用虚线显示。
在一种实施方式中,基材架361可与膨胀空间凸缘224可分开地连接。以这种方式,当向上拉时,可以将基材架361与所述至少一个基材360一起装载或卸载。类似地,被垂直放入基材架的一批基材可以装载到沉积反应器中以及从所述沉积反应器卸载。
在反应室335的反应空间331中,通过交替顺序自饱和表面反应,发生将材料沉积在所述至少一个基材360上。或者,来自等离子体源110(图1和2)及其他前体蒸气的自由基流向反应室335的反应空间331。来自等离子体源110的自由基作为从上到下的流301通过膨胀空间流到反应空间331。另一个前体蒸气通过进给管线371经过示例的管件381和反应室凸缘234内的通道373或者通过进给管线372经过示例性管件382和反应室凸缘234内的通道374流动。在典型的反应器构造中,进料管线的数量举例来说是4或6。或者,其他前体也可以通过关闭等离子体生成的等离子体源管线102,流入反应室335。废气通过在底部的废气导向装置排除到废气管线,如流向箭头305所示。
在一种实施方式中,等离子体发生器(等离子体源110)和基材架361之间的气体空间基本上由开放的气体空间构成,使得由等离子体发生器产生的大部分自由基能够基本完好地到达基材360,而不会在所述基材之前击中任何表面。
图4显示了根据示例性实施方式,沉积反应器的工艺仪器。来自惰性(或不活泼)气体源的惰性气体流被分成通过载气和吹扫气体管线101流动的载气和吹扫气体流以及通过保护气体管线204流动的保护气体流。在一种实施方式中,氩气或氦气等被用作惰性气体。载气和吹扫气体管线101可以由载气和吹扫气体阀410打开和关闭。操作期间,阀410的默认位置是“打开”。保护气体管线204可以由保护气体阀416打开和关闭。操作期间,阀416的默认位置是“打开”。在载气和吹扫气体管线101中的流速由质量流量控制器(MFC)431控制,在保护气体管线204中的流速由质量流量控制器432控制。保护气体管线204与闸门阀115下游的等离子体源管线102连接。操作期间,闸门阀的默认位置是“打开”。合并流通过等离子体源管线102流动并通过膨胀空间425进入反应室335。真空泵438用于将来自反应空间331的废气吹扫到废气管线中。压力换能器PT用于检验等离子体源管线压力处于合适范围,以便操作远程等离子体发生器。
在载气和吹扫气体阀410的下游进入等离子体源110之前,所述载气和吹扫气体流过等离子体源前体脉冲阀411-414。在一种实施方式中,所述阀是三向阀。载气和吹扫气体流入脉冲阀的第一个入口,并通过出口输出。在这种情形下,可以经脉冲阀411-414流入载气和吹扫气体管线101并可以随后用来在等离子体源110中生成自由基的前体被表示为等离子体源前体。取决于应用的沉积周期,所期望的等离子体源前体经MFM(Mass Flow Meter,质量流量计)并通过毛细管或针形阀被引导到相应的脉冲阀的第二个入口中。操作期间,阀411-414的默认位置是第一个入口和出口“打开”,第二个入口“关闭”,并且将只在选定的等离子体源前体的等离子体前体脉冲期期间“打开”。
在图4显示的实施方式中,氮气、氢气、氨气和氧气作为等离子体源前体的实例。质量流量计MFM441测量氮气从氮气源通过毛细管或针形阀451到氮脉冲阀411的流速。类似地,MFM442测量氢气从氢气源通过毛细管或针形阀452到氢脉冲阀412的流速,MFM443测量氨气从氨气源通过毛细管或针形阀453到氨脉冲阀413的流速,和MFM444测量氧气从氧气源通过毛细管或针形阀454到氧脉冲阀414的流速。MFM441-444用于验证等离子体源前体的质量流速调节到期望值,所述期望值以加到毛细管或针形阀451-454上游的等离子体源前体的压力和以毛细管的孔径或以调节针形阀451-454加以控制。当脉冲阀的第二个入口打开时,相应的等离子体源前体与载气流混合,并进一步流向等离子体源110供自由基生成。
在正常操作期间,没有采用与等离子体源110下游和闸门阀115上游的等离子体源管线101连接的排空管线207。因此,排空阀417(排空管线207可以由它打开和关闭)的默认位置是“关闭”。
在图4中,还显示了在图3中可见的其他进料管线371和372,通过它们,其他前体蒸气可以在例如热ALD期期间流入反应室335。
图5显示了根据示例性实施方式,利用定时图操作图4的沉积反应器。沉积过程基本上由重复的沉积周期形成。在t1时刻,等离子体源管线102的闸门阀115打开。闸门阀115在整个沉积过程期间保持被打开。在t2时刻,载气和吹扫气体管线101的隔离阀(载气和吹扫气体阀410)打开。载气和吹扫气体管线101的MFC431设置到加工值,例如50sccm。在t3时刻,保护气体管线204的MFC432从高值设置到低值,例如20sccm。t3和t4之间的时间可以用于吹扫反应室335。在t4时刻,(非金属)等离子体源前体的脉冲阀打开。在图5显示的实例中,氢气被用作等离子体源前体,因此在t4时刻是脉冲阀412被打开。在t5时刻,等离子体发生器(等离子体源110)的功率提高到自由基生成水平,例如2000W。在一种实施方式中,本文提到的功率是射频(RF)功率。自由基在t5和t6之间的时间期间生成。换句话说,在t5和t6时刻之间,进行等离子体ALD阶段。在t6时刻,等离子体发生器(等离子体源110)的功率降低到不生成自由基的水平,例如降低到小于100W的功率。在t7时刻,等离子体源前体的脉冲阀(在此是阀412)关闭。在t8时刻,保护气体管线204的MFC432从低值设置到高值。t7和t9之间的时间可用于吹扫反应室335。在t9时刻,第二种前体蒸气被引入反应室335。在本实施方式中,第二种前体是金属前体。在t9和t10之间,进行第二种前体脉冲阶段。t9和t10之间的时间可以由第二种前体脉冲和第三个吹扫期组成,所述第三个吹扫期用于从反应空间331除去剩余的第二种前体分子和反应副产物,同时保护气体通过保护气体管线204的质量流速处于高值,以防向着闸门阀115和远程等离子体发生器110的反应性分子返流。这个可以按照本身已知的常规热ALD方法进行。重复由t3和t4之间的吹扫期、t5和t6之间的等离子体ALD阶段、t7和t9之间的第二个吹扫期和t9和t10之间的热ALD阶段形成的沉积周期,直到在反应室335中的所述至少一个基材上已经生长了期望的材料厚度。最后,在t11时刻,关闭载气和吹扫气体阀410,并将载气和吹扫气体管线101的MFC431设置为零值。最后,在t12时刻关闭闸门阀115。
可替代实施方式考虑了例如如下的情形,其中出于某种理由,在沉积过程期间希望等离子体源管线102被闸门阀115关闭。这在例如热ALD阶段期间、或者如果期望反应器只以热ALD阶段进行沉积过程的话,可以发生。在这些实施方式中,通过脉冲阀411-414和等离子体源110到反应空间331的路径被关闭。因为在等离子体源110中应该优选保持恒压,所以排空管线阀417被打开,并且通过等离子体源110的气流直接经排空管线207引到所述废气管线以保持恒压。从保护气体管线204流动的保护气体形成保护性缓冲,防止粒子和气流从反应室335的方向上升进入闸门阀115的方向。
图6显示了根据另一个示例性实施方式,沉积反应器的工艺仪器。图6显示的实施方式除了不包含闸门阀115、相关排空管线207、保护气体管线204以及载气和吹扫气体阀410之外,在其他方面都与图4显示的实施方式一致。
在某些实施方式中,从氧气生成的氧自由基用于生长金属氧化物,例如3族金属的氧化物(例如氧化钇)、4族金属的氧化物(例如二氧化铪)、5族金属的氧化物(例如五氧化钽)和13族金属的氧化物(例如氧化铝)。从氨气生成的氨自由基和从氮气生成的氮自由基用于生长金属氮化物,例如4族金属的氮化物(例如氮化钛)、5族金属的氮化物(例如氮化钽和超导氮化铌)和14族元素的氮化物(例如氮化硅)。从氢气生成的氢自由基用作还原剂,用于生长元素薄膜,例如4族金属(例如钛)、5族金属(例如钽)、6族金属(例如钨)和11族金属(例如银)。利用挥发性烃生成烃自由基,以生长金属碳化物,例如4族金属的碳化物(例如碳化钛)。
图7显示了根据示例性实施方式,利用定时图操作图6的沉积反应器。在tA时刻,载气和吹扫气体管线101的MFC431被设置到加工值,优选在10-200sccm范围内,更优选在20-100sccm范围内,例如50sccm。tB和tc之间的时间用于以热ALD模式向被加热到选自大约50-500℃温度的反应空间331脉冲金属前体蒸气,例如三甲基铝(TMA),所述温度在例如TMA用作金属前体的情况下,为200℃。tc和tD之间的时间用于用由来自等离子体源管线102的氩气或氦气和来自进给管线371、372的氮气组成的惰性气体吹扫反应空间331。在tD时刻,(非金属)等离子体源前体的脉冲阀被打开。氧气在图6中选自可用的等离子体源气体,因此在tD时刻,是脉冲阀414被打开。在tE时刻,等离子体发生器(等离子体源110)的功率提高到自由基生成水平,到达选自100-3000W的RF功率,例如,在氧自由基生成的情况下,为2000W。自由基在tE和tF之间的时间期间生成。换句话说,在tE和tF时刻之间,进行等离子体ALD阶段。在tF时刻,等离子体发生器(等离子体源110)的功率降低到不生成自由基的水平,优选降低到小于100W的功率,例如0W。在tG时刻,等离子体源前体的脉冲阀(在此是氧气阀414)关闭。tG和tH之间的时间用于用惰性气体吹扫所述系统。重复从tB时刻到tH时刻的由金属前体脉冲、吹扫、自由基前体脉冲和吹扫组成的沉积周期,直到在基材360上生长了期望厚度的薄膜。
要注意,可以实现在本文中介绍的实施方式的若干变体。在图4显示的构造中,沉积周期可以用图5显示的次序、或例如图7显示的次序实行。
在某些实施方式中,在等离子体ALD期的等离子体前体脉冲期期间,引导气体从不活泼气体源经等离子体发生器(等离子体源110)流入自由基进给部件(或膨胀空间425),所述气体在该脉冲期期间充当所生成的自由基的载气,并且在某些实施方式中,在等离子体ALD期的吹扫期期间,引导气体从不活泼气体源经等离子体发生器流入膨胀空间425,所述气体在该吹扫期期间充当惰性或吹扫气体。在某些实施方式中,以这种方式在这两个时期期间引导气体。在这两个时期期间,来自不活泼气体源的气体在某些实施方式中另外通过保护气体管线204被引入膨胀空间425。在例如热ALD期期间,来自不活泼气体源的气体在某些实施方式中通过这两个路径、或只通过保护气体管线204(在从等离子体发生器到膨胀空间425的路径例如被关闭的情况下),被引入膨胀空间425。同样,只要从等离子体发生器到膨胀空间425的路径被关闭,在某些实施方式中,来自不活泼气体源的气体就在这些时期期间通过保护气体管线204被引入膨胀空间425,引起进入膨胀空间425的连续惰性气体流并且阻止返流效应。如果从等离子体发生器到膨胀空间425的路径被关闭,流经等离子体发生器的来自不活泼气体源的气体在某些实施方式中在该时期期间被引入排空管线,从而在等离子体发生器中保持恒压。
下面的试验实施例进一步证明了选择的示例性实施方式的操作。
实施例1
用图3显示的双升降器将100-mm硅晶片装载到反应室335。使用图6的沉积反应器仪器和图7的定时图来在200℃下在硅晶片上从三甲基铝TMA和水H2O生长氧化铝Al2O3。氩气通过载气和吹扫气体管线101的流速是30sccm。TMA脉冲长度是0.1s,接着是6s吹扫。氧气脉冲阀414被打开,50sccm的氧气通过所述脉冲阀414流向远程等离子体发生器110。RF功率从0W提高到2500W,以接通等离子体并在2500W水平保持6s。然后,将RF功率从2500W降低到0W,以切断等离子体。接着,关闭氧气阀并用惰性气体吹扫所述系统10s。重复沉积周期,直到生长了36-nm的Al2O3薄膜。结果,用椭率计从49个点测量的薄膜厚度的1-σ不均匀性只有1.3%。
在一种示例性实施方式中,本文描述的沉积反应器是计算机控制系统。储存在所述系统存储器中的计算机程序包括指令,其在被所述系统的至少一个处理器执行时引起沉积反应器按照指令运行。所述指令可以是计算机可读程序代码的形式。图8显示了沉积反应器控制系统800的草框图。在基本的系统设置中,工艺参数在软件的帮助下程序化,并用人机接口(HMI)终端806执行指令并将所述指令通过以太网总线804下载到控制箱802。在一种实施方式中,控制箱802包括通用型可编程逻辑控制(PLC)单元。所述控制箱802包括至少一个微处理器、动态和静态存储器、I/O模块、A/D和和D/A转换器、以及功率继电器,所述微处理器用于执行包括储存在存储器中的程序代码在内的控制箱软件。控制箱802将电力送往沉积反应器的阀的气动控制器,与质量流量控制器具有双向通信,控制等离子体源和自由基生成和升降器的操作,以及以其它方式控制沉积反应器的操作。控制箱802可以测量来自沉积反应器的探测读数,并将其转播到HMI终端806。虚线816指示沉积反应器部件和控制箱802之间的接口线。
上述说明通过本发明的具体实行和实施方式的非限制性实例,提供了发明人考虑的当前用于执行本发明的最佳方式的充分和信息的描述。然而,本领域技术人员清楚,本发明不局限于上面介绍的实施方式的细节,而是它可以使用等价手段以其他实施方式实行,而不偏离本发明特征。
此外,在没有相应使用其他特征时,以上公开的本发明实施方式的一些特征可以用来达成优势。因此,上文的描述应该被认为是仅仅说明本发明的原理,而不是限制本发明。因此,本发明的范围只受所附的专利权利要求书的制约。

Claims (20)

1.一种方法,所述方法包括:
操作等离子体原子层沉积反应器,所述反应器被构造成在反应室中在至少一个基材上通过顺序自饱和表面反应来沉积材料;和
基本上在整个沉积周期期间使来自不活泼气体源的气体流入到朝向反应室开口的变宽的自由基进给部件中。
2.权利要求1的方法,所述方法包括:
在等离子体原子层沉积期的等离子体前体脉冲期期间,使来自不活泼气体源的气体经等离子体源流入所述自由基进给部件,所述气体在该脉冲期期间充当所生成的自由基的载气。
3.权利要求1或2的方法,所述方法包括:
在等离子体原子层沉积期的吹扫期期间,使来自不活泼气体源的气体经所述等离子体源流入所述自由基进给部件,所述气体在该吹扫期期间充当吹扫和惰性保护气体。
4.前述权利要求任一项的方法,所述方法包括:
在等离子体原子层沉积期和热原子层沉积期这两个期间,使来自不活泼气体源的气体经所述等离子体源流入所述自由基进给部件。
5.前述权利要求任一项的方法,所述方法包括:
使来自不活泼气体源的气体经绕过等离子体源的路径流入所述自由基进给部件。
6.权利要求1的方法,所述方法包括:
在等离子体原子层沉积期期间,使来自不活泼气体源的气体经行经等离子体源的路径和经绕过等离子体源的另一个路径二者流入所述自由基进给部件。
7.权利要求1或6的方法,所述方法包括:
在热原子层沉积期期间,使来自不活泼气体源的气体只经绕过等离子体源的路径流入所述自由基进给部件,和
引导来自不活泼气体源并流经等离子体源的气体在该时期期间进入排气管线。
8.前述权利要求任一项的方法,所述方法包括:
在等离子体原子层沉积期期间,引导惰性气体经热原子层沉积进给管线去往所述反应室,所述热原子层沉积进给管线与在等离子体原子层沉积期期间将自由基经其引入所述反应室的等离子体源管线分开。
9.前述权利要求任一项的方法,所述方法包括:
使用可变形的进给部件,所述进给部件能够通过至少一个机械致动器在收缩形状和伸展形状之间变形。
10.权利要求9的方法,其中携带至少一个基材的基材架与所述可变形的进给部件机械联接,并且所述方法包括:
通过使所述可变形进给部件变形,引起所述携带至少一个基材的基材架提升到用于装载或卸载的上部位置。
11.等离子体原子层设备,所述设备包括:
气体管线,其从不活泼气体源到朝向反应室开口的变宽的自由基进给部件;和
控制系统,其被构造成基本上在整个沉积周期期间使来自不活泼气体源的气体流入进给部件,和
等离子体原子层沉积反应器,其被构造成在反应室中通过顺序自饱和表面反应将材料沉积在至少一个基材上。
12.权利要求11的设备,其中所述控制系统被构造成在等离子体原子层沉积期的等离子体前体脉冲期期间,使来自不活泼气体源的气体经等离子体源流入所述自由基进给部件,所述气体在该脉冲期期间充当所生成的自由基的载气。
13.权利要求11或12的设备,其中所述控制系统被构造成在等离子体原子层沉积期的吹扫期期间,使来自不活泼气体源的气体经所述等离子体源流入所述自由基进给部件,所述气体在该吹扫期期间充当吹扫和惰性保护气体。
14.前述权利要求11-13任一项的设备,其中所述控制系统被构造成在等离子体原子层沉积期和热原子层沉积期这两个期间,使来自不活泼气体源的气体经所述等离子体源流入所述自由基进给部件。
15.前述权利要求11-14任一项的设备,其中所述控制系统被构造成使来自不活泼气体源的气体经绕过等离子体源的路径流入所述自由基进给部件。
16.权利要求11的设备,其中所述控制系统被构造成在等离子体原子层沉积期期间,使来自不活泼气体源的气体经行经等离子体源的路径和经绕过等离子体源的另一个路径二者流入所述自由基进给部件。
17.权利要求11或16的设备,其中所述控制系统被构造成:
在热原子层沉积期期间,使来自不活泼气体源的气体只经绕过等离子体源的路径流入所述自由基进给部件;和
引导来自不活泼气体源并流经等离子体源的气体在该时期期间进入排气管线。
18.前述权利要求11-17任一项的设备,其中所述控制系统被构造成在等离子体原子层沉积期期间,引导惰性气体经热原子层沉积进给管线去往所述反应室,所述热原子层沉积进给管线与在等离子体原子层沉积期期间将自由基经其引入所述反应室的等离子体源管线分开。
19.前述权利要求11-18任一项的设备,其中所述进给部件是可变形的,并且所述设备包括至少一个机械致动器,以使所述进给部件在收缩形状和伸展形状之间变形。
20.权利要求19的设备,其中携带至少一个基材的基材架与所述可变形的进给部件机械联接,并且其中使所述可变形进给部件变形引起所述携带至少一个基材的基材架提升到用于装载或卸载的上部位置。
CN201180069858.8A 2011-04-07 2011-04-07 具有等离子体源的原子层沉积 Active CN103635605B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/FI2011/050303 WO2012136876A1 (en) 2011-04-07 2011-04-07 Atomic layer deposition with plasma source

Publications (2)

Publication Number Publication Date
CN103635605A true CN103635605A (zh) 2014-03-12
CN103635605B CN103635605B (zh) 2017-03-08

Family

ID=46968647

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201180069858.8A Active CN103635605B (zh) 2011-04-07 2011-04-07 具有等离子体源的原子层沉积

Country Status (9)

Country Link
US (3) US9095869B2 (zh)
EP (1) EP2694700B1 (zh)
JP (1) JP5919371B2 (zh)
KR (3) KR101923167B1 (zh)
CN (1) CN103635605B (zh)
RU (1) RU2584841C2 (zh)
SG (1) SG11201405416UA (zh)
TW (1) TWI565824B (zh)
WO (1) WO2012136876A1 (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103882409A (zh) * 2014-03-13 2014-06-25 中国科学院半导体研究所 源输送混合比可调气路装置
CN109559965A (zh) * 2017-09-25 2019-04-02 台湾积体电路制造股份有限公司 制程设备及其组装方法
CN110234793A (zh) * 2017-02-08 2019-09-13 皮考逊公司 具有可移动结构的沉积或清洁装置和操作方法
CN111074235A (zh) * 2018-10-19 2020-04-28 北京北方华创微电子装备有限公司 进气装置、进气方法及半导体加工设备
CN112126914A (zh) * 2019-06-25 2020-12-25 皮考逊公司 衬底处理装置中的等离子体
CN113363405A (zh) * 2021-06-29 2021-09-07 昆山梦显电子科技有限公司 一种显示面板的制备方法、显示面板及显示装置
CN114207184A (zh) * 2019-08-06 2022-03-18 朗姆研究公司 含硅膜的热原子层沉积

Families Citing this family (302)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
EP2694700B1 (en) * 2011-04-07 2016-11-16 Picosun Oy Atomic layer deposition with plasma source
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN107099283A (zh) * 2013-03-14 2017-08-29 纳米技术有限公司 多层包覆的量子点珠
JP6107327B2 (ja) * 2013-03-29 2017-04-05 東京エレクトロン株式会社 成膜装置及びガス供給装置並びに成膜方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9617637B2 (en) * 2014-07-15 2017-04-11 Lam Research Corporation Systems and methods for improving deposition rate uniformity and reducing defects in substrate processing systems
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9318319B2 (en) * 2014-08-27 2016-04-19 Ultratech, Inc. Radical-enhanced atomic layer deposition using CF4 to enhance oxygen radical generation
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN107429395A (zh) * 2015-03-17 2017-12-01 皮考逊公司 电设备中的导热ald涂层
WO2016156659A1 (en) * 2015-04-01 2016-10-06 Picosun Oy Ald-deposited graphene on a conformal seed layer
JP5990626B1 (ja) * 2015-05-26 2016-09-14 株式会社日本製鋼所 原子層成長装置
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
RU2633894C1 (ru) * 2016-06-24 2017-10-19 Акционерное общество "Научно-производственное предприятие "Пульсар" Способ плазмо-стимулированного атомно-слоевого осаждения изолирующих диэлектрических покрытий на гетероструктурах нитрид-галлиевых полупроводниковых приборов
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US9865455B1 (en) * 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) * 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP2018152457A (ja) * 2017-03-13 2018-09-27 株式会社デンソー 半導体基板およびその製造方法
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
CN110582591B (zh) * 2017-05-02 2022-05-10 皮考逊公司 原子层沉积设备、方法和阀
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI650837B (zh) * 2017-09-25 2019-02-11 台灣積體電路製造股份有限公司 製程設備及其組裝方法
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10927459B2 (en) 2017-10-16 2021-02-23 Asm Ip Holding B.V. Systems and methods for atomic layer deposition
KR102014887B1 (ko) * 2017-10-27 2019-08-28 주식회사 뉴파워 프라즈마 선택적으로 라디칼을 공급하는 라디칼 발생기
KR101960073B1 (ko) * 2017-10-27 2019-03-20 주식회사 뉴파워 프라즈마 반도체 공정용 기판 처리 시스템
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10515815B2 (en) * 2017-11-21 2019-12-24 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for fin field effect transistor formation
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US10734238B2 (en) 2017-11-21 2020-08-04 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for critical dimension control
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10446394B2 (en) 2018-01-26 2019-10-15 Lam Research Corporation Spacer profile control using atomic layer deposition in a multiple patterning process
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
WO2019169335A1 (en) 2018-03-02 2019-09-06 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20220020958A (ko) * 2019-06-25 2022-02-21 피코순 오와이 기판 처리 장치에서의 플라즈마
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
WO2021090793A1 (ja) * 2019-11-06 2021-05-14 株式会社クリエイティブコーティングス Dlc膜の成膜装置及び成膜方法
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
JP7039085B1 (ja) * 2021-08-30 2022-03-22 株式会社クリエイティブコーティングス 成膜装置
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
FI130545B (en) * 2021-09-14 2023-11-08 Picosun Oy SUBSTRATE PROCESSING EQUIPMENT AND METHOD
CN114807905B (zh) * 2022-06-27 2022-10-28 江苏邑文微电子科技有限公司 一种原子层沉积装置

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050019494A1 (en) * 2003-07-25 2005-01-27 Applied Materials, Inc., A Delaware Corporation Sequential gas flow oxide deposition technique
US20050271812A1 (en) * 2004-05-12 2005-12-08 Myo Nyi O Apparatuses and methods for atomic layer deposition of hafnium-containing high-k dielectric materials
CN101171365A (zh) * 2005-05-09 2008-04-30 Asm吉尼泰克韩国株式会社 多入口原子层沉积反应器
US20100183825A1 (en) * 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (sv) * 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US4996077A (en) * 1988-10-07 1991-02-26 Texas Instruments Incorporated Distributed ECR remote plasma processing and apparatus
NL1017849C2 (nl) * 2001-04-16 2002-10-30 Univ Eindhoven Tech Werkwijze en inrichting voor het deponeren van een althans ten dele kristallijne siliciumlaag op een substraat.
KR100439948B1 (ko) * 2002-04-19 2004-07-12 주식회사 아이피에스 리모트 플라즈마 ald 장치 및 이를 이용한 ald 박막증착방법
US20040086434A1 (en) * 2002-11-04 2004-05-06 Gadgil Pradad N. Apparatus and method for treating objects with radicals generated from plasma
EP1420080A3 (en) * 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
JP4579157B2 (ja) * 2003-03-25 2010-11-10 東京エレクトロン株式会社 処理装置及び切り替え機構
JP2005322668A (ja) * 2004-05-06 2005-11-17 Renesas Technology Corp 成膜装置および成膜方法
US8211235B2 (en) * 2005-03-04 2012-07-03 Picosun Oy Apparatuses and methods for deposition of material on surfaces
US20070116872A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
CN101321893B (zh) 2005-12-06 2011-09-28 株式会社爱发科 气体压头及薄膜制造装置
KR101379015B1 (ko) * 2006-02-15 2014-03-28 한국에이에스엠지니텍 주식회사 플라즈마 원자층 증착법을 이용한 루테늄 막 증착 방법 및고밀도 루테늄 층
JP5193527B2 (ja) * 2006-09-28 2013-05-08 東京エレクトロン株式会社 シリコン酸化膜の形成方法、シリコン酸化膜の形成装置及びプログラム
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
US7595270B2 (en) * 2007-01-26 2009-09-29 Asm America, Inc. Passivated stoichiometric metal nitride films
US20080241387A1 (en) * 2007-03-29 2008-10-02 Asm International N.V. Atomic layer deposition reactor
US8235001B2 (en) 2007-04-02 2012-08-07 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
JP5219562B2 (ja) * 2007-04-02 2013-06-26 株式会社日立国際電気 基板処理装置、基板処理方法及び半導体装置の製造方法
US20090025004A1 (en) * 2007-07-16 2009-01-22 Microsoft Corporation Scheduling by Growing and Shrinking Resource Allocation
KR101540077B1 (ko) * 2008-04-16 2015-07-28 에이에스엠 아메리카, 인코포레이티드 알루미늄 탄화수소 화합물들을 이용한 금속 카바이드 막들의 원자층 증착법
US8741062B2 (en) * 2008-04-22 2014-06-03 Picosun Oy Apparatus and methods for deposition reactors
EP2159304A1 (en) * 2008-08-27 2010-03-03 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Apparatus and method for atomic layer deposition
KR101497413B1 (ko) * 2008-08-28 2015-03-02 주식회사 뉴파워 프라즈마 용량 결합 플라즈마 반응기 및 이를 이용한 플라즈마 처리 방법 및 이것에 의해 제조된 반도체 장치
EP2694700B1 (en) * 2011-04-07 2016-11-16 Picosun Oy Atomic layer deposition with plasma source

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050019494A1 (en) * 2003-07-25 2005-01-27 Applied Materials, Inc., A Delaware Corporation Sequential gas flow oxide deposition technique
US20050271812A1 (en) * 2004-05-12 2005-12-08 Myo Nyi O Apparatuses and methods for atomic layer deposition of hafnium-containing high-k dielectric materials
CN101171365A (zh) * 2005-05-09 2008-04-30 Asm吉尼泰克韩国株式会社 多入口原子层沉积反应器
US20100183825A1 (en) * 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103882409A (zh) * 2014-03-13 2014-06-25 中国科学院半导体研究所 源输送混合比可调气路装置
CN103882409B (zh) * 2014-03-13 2016-04-20 中国科学院半导体研究所 源输送混合比可调气路装置
CN110234793A (zh) * 2017-02-08 2019-09-13 皮考逊公司 具有可移动结构的沉积或清洁装置和操作方法
CN110234793B (zh) * 2017-02-08 2020-10-02 皮考逊公司 具有可移动结构的沉积或清洁装置和操作方法
CN109559965A (zh) * 2017-09-25 2019-04-02 台湾积体电路制造股份有限公司 制程设备及其组装方法
CN111074235A (zh) * 2018-10-19 2020-04-28 北京北方华创微电子装备有限公司 进气装置、进气方法及半导体加工设备
CN111074235B (zh) * 2018-10-19 2024-01-05 北京北方华创微电子装备有限公司 进气装置、进气方法及半导体加工设备
CN112126914A (zh) * 2019-06-25 2020-12-25 皮考逊公司 衬底处理装置中的等离子体
CN114207184A (zh) * 2019-08-06 2022-03-18 朗姆研究公司 含硅膜的热原子层沉积
CN113363405A (zh) * 2021-06-29 2021-09-07 昆山梦显电子科技有限公司 一种显示面板的制备方法、显示面板及显示装置

Also Published As

Publication number Publication date
EP2694700B1 (en) 2016-11-16
KR20180008875A (ko) 2018-01-24
KR20180128982A (ko) 2018-12-04
JP5919371B2 (ja) 2016-05-18
KR101923167B1 (ko) 2018-11-29
EP2694700A1 (en) 2014-02-12
RU2584841C2 (ru) 2016-05-20
KR102111702B1 (ko) 2020-05-15
EP2694700A4 (en) 2014-08-27
CN103635605B (zh) 2017-03-08
US9868131B2 (en) 2018-01-16
JP2014517499A (ja) 2014-07-17
TW201243095A (en) 2012-11-01
KR20140029424A (ko) 2014-03-10
US20180099304A1 (en) 2018-04-12
TWI565824B (zh) 2017-01-11
US20140024223A1 (en) 2014-01-23
KR101819721B1 (ko) 2018-02-28
WO2012136876A1 (en) 2012-10-11
SG11201405416UA (en) 2014-11-27
US20150322569A1 (en) 2015-11-12
WO2012136876A8 (en) 2012-12-06
RU2013148923A (ru) 2015-05-20
US9095869B2 (en) 2015-08-04

Similar Documents

Publication Publication Date Title
CN103635605A (zh) 具有等离子体源的原子层沉积
CN103459660B (zh) 具有等离子体源的沉积反应器
KR101978398B1 (ko) 전구체 소스 및 이를 포함하는 증착 반응기
CN104204290A (zh) 原子层沉积方法和装置
US20100266765A1 (en) Method and apparatus for growing a thin film onto a substrate
CN105177527A (zh) 采用臭氧等离子体的氧自由基增强的原子层沉积
EP2850222A1 (en) Powder particle coating using atomic layer deposition cartridge
TW201819674A (zh) 粒子塗層
Tallarida et al. Atomic layer deposition of nanolaminate oxide films on Si
CN107099783A (zh) 具有减少的基于石英的污染物的等离子体增强原子层沉积方法
US20070193637A1 (en) Systems and methods for controlling fluid flow
US20190112709A1 (en) Methods and System for the Integrated Synthesis, Delivery, and Processing of Source Chemicals for Thin Film Manufacturing

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant