CN101321893B - 气体压头及薄膜制造装置 - Google Patents

气体压头及薄膜制造装置 Download PDF

Info

Publication number
CN101321893B
CN101321893B CN2006800453414A CN200680045341A CN101321893B CN 101321893 B CN101321893 B CN 101321893B CN 2006800453414 A CN2006800453414 A CN 2006800453414A CN 200680045341 A CN200680045341 A CN 200680045341A CN 101321893 B CN101321893 B CN 101321893B
Authority
CN
China
Prior art keywords
gas
gas introduction
introduction port
breaker plate
head
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2006800453414A
Other languages
English (en)
Other versions
CN101321893A (zh
Inventor
山田贵一
加藤伸幸
植松正纪
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Ulvac Inc
Original Assignee
Ulvac Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ulvac Inc filed Critical Ulvac Inc
Publication of CN101321893A publication Critical patent/CN101321893A/zh
Application granted granted Critical
Publication of CN101321893B publication Critical patent/CN101321893B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45514Mixing in close vicinity to the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本发明提供一种低成本、可以抑制自由基气体的减活、能够向基板上均匀导入原料气体的气体压头及薄膜制造装置。本发明的气体压头(13)具备导入反应气体的反应气体导入口(30A)、导入原料气体的原料气体导入口(30B)、和与原料气体导入口(30B)相向配置地使原料气体分散的分散板(32),原料气体导入口(30B)包围反应气体导入口(30A)周围那样地设有多个。导入至反应气体导入口(30A)的反应气体与导入至原料气体导入口(30B)且由分散板(32)分散的原料气体混合。原料气体导入口(30B)虽在反应气体导入口(30A)的周围设有多个,但不必做成喷洒孔等那样的细微孔。

Description

气体压头及薄膜制造装置
技术领域
本发明涉及例如用于MOCVD装置等、向反应室导入原料气体及反应气体、惰性气体等成膜气体的气体压头以及装备该气体压头的薄膜制造装置。 
背景技术
以往,在利用如MOCVD(Metal Organic Chemical VaporDeposition)法的CVD法制造薄膜时,作为提高膜厚、膜质、膜组成等的晶片面内的分布均匀性的手段,对于原料气体和反应气体均匀的混合、对晶片均匀喷出气体等提出了各种技术方案。 
例如在下述专利文献1中公开的是这样的技术,即,在用MOCVD法制造薄膜的薄膜制造装置中,把由气化的原料气体和反应气体构成的混合气体导入真空气氛的成膜室内,在放置在配置于成膜室内的台上的晶片等的被处理基板上通过气相化学反应进行成膜。在成膜室的上部与台相向地配置喷洒头,经由该喷洒头向基板上均匀喷出混合气体。 
图10表示喷洒头1的一个构成例。喷洒头1由设有混合气体的导入管2a的金属制的头本体2和覆盖该头本体2的开口部的金属制的喷洒板3构成,其中混合气体由原料气体和反应气体构成。在喷洒板3上穿设有使混合气体通过的多个细微的喷洒孔3a。在头本体2和喷洒板3之间,设有用于使导入的混合气体遍及喷洒孔3a的整个形成区域的空间部4。 
专利文献1:(日本)特开2004-35971号公报 
专利文献2:(日本)特开平11-54459号公报 
喷洒头1在对基板表面供给气体的均匀供给性方面优良,在CVD 加工中被广泛使用。喷洒孔3a根据用途有各种各样的规格,但开口径大多为1mm左右,其数量也达数百至数千。因而,喷洒头的制作成本非常高。 
另外,喷洒孔3a由于是原料气体或反应气体流动的路径,故容易引起膜附着,必须定期进行维护保养。但是,很难除去在细微的喷洒孔3a内附着的膜,未完全除去的膜成为微粒的产生源。因而,由于喷洒板的再利用几乎不可能,故必须进行定期更换,正由于该原因而存在半导体制造成本上升的问题。 
进而,在使用了喷洒头1的薄膜制造装置中,当存在喷洒孔3a等的传导小的部位时,喷洒孔3a的一次侧(空间部4或导入管2a的内部)的气体向二次侧(成膜室侧)排气变得困难,结果一片基板处理所需要的排气时间(=处理时间)变长,存在生产率下降的问题。 
特别是在近年,上述专利文献2所公开的ALD(Atomic LayerDeposition)成膜的研究盛行,但由于ALD是气体的导入/排气反复数十个循环的方法,每一循环的气体排气时间的缩短效果与循环数成比例地变大。因而,气体的迅速排气对提高生产率有很大贡献。 
另一方面,除喷洒头之外,还有若干向成膜室内导入气体的方法。例如有气环喷嘴。但是,气环喷嘴中的靠近向环的气体导入口(连在环上的直线状的气体配管)的孔喷出气体的速度快,其结果,从靠近气体导入口侧的孔的气体供给量变多。由此,对膜厚分布、组成分布等的膜性能带来不良影响。特别,由于CVD加工会在膜上非常强烈地展现气体流动的影响,故在大量生产装置中采用上述的喷洒头。 
另外,以确保装置的可靠性及降低工程劣化为目的,成膜温度低温化的要求强烈。为了使成膜温度低温化,以在低温下也开始反应的方式(或者促进反应的方式)使反应气体活性化是有效的。这是因为导入活性化的反应气体、即自由基气体而成膜的方法可以把基板上的反应温度抑制得较低。 
其另一方面,由于自由基气体在与金属接触时具有减活的性质,故必须由石英管或高纯度陶瓷管导入成膜室。另外,由于自由基气体 反应性强、与原料气体接触时进行气相反应,故必须使自由基气体和原料气体分别导入成膜室内。进而,为了确保膜厚或膜质、膜组成的分布均匀性,必须使原料气体或原料气体和反应气体的混合气体通过喷洒头等气体压头向成膜室导入。 
但是,由于喷洒头主要是金属制,故在通过喷洒孔时自由基气体大多与金属面接触而发生减活。另一方面,若用石英或高纯度陶瓷制作喷洒头的话,虽可以降低自由基气体的减活,但喷洒头的制作成本进一步增加,成为非常高价的部件。另外,由于有关上述的膜除去可能性或者再利用性、传导相关的问题也依然残留,所以不能期待半导体制造成本的降低及生产率的提高。 
这样,在现有的薄膜制造装置中存在如下问题,即,当用于防止减活的自由基导入结构优先时,原料气体的浓度分布恶化而不能得到面内均匀性,另外,当原料气体的浓度分布优先时自由基减活。 
发明内容
本发明是鉴于上述问题提出的,其课题是提供一种低成本、可以抑制自由基气体的减活、能够向基板上均匀导入原料气体的气体压头及薄膜制造装置。 
当解决以上的问题时,本发明的气体压头包括:导入第一气体的第一气体导入口,导入第二气体的第二气体导入口,面对该第二气体导入口配置并使第二气体分散的分散板;第二气体导入口设有多个,以便围住第一气体导入口的周围。 
在本发明的气体压头中,第二气体导入口在第一气体导入口的周围设有多个,但不必做成像喷洒孔等那样的细微孔。即,在本发明中,由分散板产生的分散作用实现第二气体浓度的均匀化,能够实现结构的简洁化以及通过清洗可反复使用。 
在本发明的气体压头中,由于不需要喷洒孔等那样的细微孔,所以气体压头的加工成本降低,也可完全除去附着膜。另外,由于传导下降的影响也小,所以有助于缩短成膜室的排气时间。进而,由于反应气体即便使用自由基气体,也可以抑制自由基的减活,故可以实现成膜温度的低温化,另外,气体压头的构成材质可以采用金属,也可以是石英玻璃或高纯度陶瓷。 
作为本发明的气体压头的结构,具有:基座部件,其在中央部形成第一气体导入口,在其周围形成多个第二气体导入口;分散板,其与该基座部件隔开间隔地相向配置,在遮蔽多个第二气体导入口的同时具有上述开口。 
在反应气体使用自由基气体的情况下,最好在气体压头的上游侧配置自由基源,以使得可以在向成膜室导入前激励反应气体。作为自由基源,适用高温加热的触媒线或微波发生源或者等离子发生源等。 
为了实现第二气体的均匀分散,最好把第二气体导入口分别配置在相对第一气体导入口等距离的位置上,或在第一气体导入口的周围按等角度间隔配置第二气体导入口。另外,与第二气体导入口相向配置的分散板需要隔开适度的间隔地进行配置。 
合适的是,只要把分散板的与第二气体导入口相向的区域和该分散板的开口的周缘间的距离(L)至少为50mm以上就可以。另外,基座部件和分散板之间的间隔(G)相对上述距离L的比(G/L)为0.04以上。 
第二气体导入口的开口径没有特别限定,例如形成得比第一气体导入口的开口径小。第二气体导入口的数量也没有特别限制,只要至少为两个以上就可以,但数量越多就越能提高第二气体浓度的均匀性。 
另外,台和分散板之间的距离(S)设为40mm以下。此时,分散板的开口的面积设成被放置在台上的被处理基板的面积的0.25倍以上是理想的。 
如上所述,按照本发明,无需做成喷洒孔那样的多个细微孔,就可以实现气体浓度的分散均匀化。由此,可以实现气体压头的低成本化、通过洗净的再利用化及高传导化,并可以谋求半导体器件的生产成本的降低和生产率的提高。另外,由于在反应气体使用了自由基气体时可以抑制自由基的减活,所以可使成膜温度的低温化,可以谋求器件可靠性的确保和工程劣化的降低。 
附图说明
图1是按本发明的实施方式的薄膜制造装置的配管构成图。 
图2是表示反应气体源的结构的一例的图。 
图3是表示反应气体源的结构的另一例的图。 
图4是薄膜制造装置的概略剖面图。 
图5是表示与本发明有关的气体压头的结构的图,A是平面图,B是侧剖面图,C是底面图。 
图6是表示与本发明有关的气体压头的另一结构例的图,A是平面图,B是侧剖面图,C是底面图。 
图7是表示成膜气体的导入方式的一例的时序图。 
图8是在本发明的实施例中说明的样本膜的俄歇电子分光分析图。 
图9是在本发明的实施例中说明的样本膜的基板面内分布的一实验结果,A表示比较例,B表示实施例。 
图10是喷洒头的概略构成图。 
附图标记说明 
10薄膜制造装置;11成膜室;12真空腔;13气体压头;14台;21反应气体供给线;22原料气体供给线;23自由基源;24旁通配管;25真空排气线;26真空排气装置;30A反应气体导入口;30B原料气体导入口;31基座部件;32分散板;33衬垫;34开口;D开口的直径;d反应气体导入口的直径;G基座部件和分散板的间隔;L遮蔽距离;S分散板和台间的距离;W基板。 
具体实施方式
以下,参照附图对本发明的实施方式进行说明。 
图1是根据本发明的实施方式的薄膜制造装置10的成膜气体供给线及真空排气线的配管构成图。另外,在本说明书中所说的“成膜气体”是指化学反应所用的原料气体、反应气体、惰性气体等的单一气体或混合气体。
薄膜制造装置10具备:在内部形成成膜室(反应室)11的真空腔12;向成膜室11导入原料气体及反应气体的气体压头13;设置在成膜室11中用于支承半导体晶片、玻璃基板等的被处理基板(以下称为“基板”)W的台14。 
成膜室11通过真空排气线25与真空排气装置26连接,构成为能够通过使主阀V0开阀而真空排气成规定的减压气氛。台14与气体压头13相向地配置。该台14例如由加热板构成,能把放置在该台14上的基板W加热到规定温度。 
气体压头13如在后详述那样,分别连接有与反应气体源连通的反应气体供给线21和与原料气体源连通的原料气体供给线22,向成膜室11内导入反应气体、原料气体或它们的混合气体。特别是气体压头13具有相对台14上的基板W以面内均匀的方式导入原料气体的功能。 
在此,反应气体与本发明的“第一气体”相对应,原料气体与本发明的“第二气体”相对应。如后所述,作为第一气体,有时也代替反应气体或者与反应气体一起地使用惰性气体。作为反应气体,可以使用NH3(氨气)或H2(氢气)等。作为原料气体,可以使用成膜金属(Ta、Cu、Al、Ti、Zr、V、Nb)的有机金属材料,对应于成膜对象(配线膜、屏障膜等)加以选择。此时,通过对反应气体使用活性化的氨气等氮化气体来制造这些金属的氮化膜。作为惰性气体,可以使用N2或Ar。 
在此,对反应气体源进行说明。如图2所示,反应气体源是使用质量流控制器(MFC)可以控制气体流量的一般的气体源(反应气体源、惰性气体源等)。该气体源可以通过集聚而从一个气体源放出多种气体。图3表示气体源的集聚例。在图示的例子中,反应气体1是NH3,反应气体2是H2,惰性气体是N2。可以把这些单一气体或混合气体作为气体源使用。 
原料气体源使用把固体或液体的有机金属原料气化而作为原料气体的系统。在液体原料的情况下,使用把液体送往气化器进行气化的气化系统或者发泡系统。在固体原料的情况下,使用在把固体加热液化后利用气化器气化的原料加热系统和气化系统的复合系统,或者使用加热系统和发泡系统的复合系统,或者使用把固体原料气化的升华系统等。另外,原料气体不限于有机金属材料,也可以使用在WF6等的半导体制造加工中所一般使用的气体。 
导入成膜室11的反应气体及原料气体相互引起化学反应,在基板W上形成金属薄膜。副生成物或剩余的气体通过真空排气线25被排气。 
反应气体和原料气体可以同时导入成膜室11内,也可以分别导入。原料气体供给线21通过开闭第一阀V1进行原料气体的导入/非导入的切换。另外,也可通过在第一阀V1闭阀时开启第二阀V2,使原料气体通过旁通配管24而不经由成膜室11地进行排气。此时,第二阀V2在成膜时闭阀,成膜结束后开阀。由于用这样的方法供给原料气体,在成膜室11中可以使原料气体稳定地导入。 
另外,也可以使反应气体活性化,向成膜室11导入。在本实施方式中,把用于激励通过反应气体供给线21供给的反应气体而产生自由基的自由基源23设置在气体压头13的附近。作为自由基源23,例如使用被高温加热的触媒线。 
其次,气体压头13具有以均匀浓度向基板W的表面供给原料气体的功能,由此,确保在基板W上形成的薄膜的膜厚、膜质、膜组成的面内均匀性。以下,对该气体压头13的详细情况进行说明。图4是薄膜制造装置10的概略构成图,图5是气体压头13的(A)平面图、(B)侧剖面图及(C)底面图。 
气体压头13由基座部件31和分散板32及衬垫33的组合体构成,设置在真空腔12的上部。基座部件31与分散板32之间,在这些部件的最外周部通过螺栓等连接机构(图示略)拆装自由地被组装。另外,也可以根据需要,在基座部件31和分散板32之间夹装密封部件。 
构成气体压头13的基座部件31、分散板32、衬垫33分别为铝或不锈钢等金属制,但也可以由石英或高纯度陶瓷等构成,可以根据使用的气体的种类等适当选定。 
在基座部件31的中央部设有导入反应气体的反应气体导入口(第一气体导入口)30A,在该反应气体导入口30A的周围设有导入原料气体的原料气体导入口30B。反应气体导入口30A位于台14上的基板W的中央部。如图5A所示,设有多个(本例中为4个)原料气体导入口30B,以便包围反应气体导入口30A的周围。 
原料气体导入口30B的开口径没有特别限定,本实施方式中采用比反应气体导入口30A还小的直径,分别由相同直径(例如直径15mm)的圆孔形成。各个原料气体导入口30B相对于反应气体导入口30A分别配置在相等距离上。另外,各个原料气体导入口30B在原料气体导入口30A的周围按等角度间隔配置。 
分散板32与基座部件31的下面相向配置,以便遮蔽原料气体导入口30B。分散板32和基座部件31(原料气体导入口30B)之间的间隔,可以由衬垫33的厚度调整。衬垫33可由单独部件构成,也可以与基座部件31的下面周缘或者分散板32的上面周缘一体形成。 
在分散板32的中央部,在与反应气体导入口30A相向的区域中设有开口34。开口34的形状是任意的,在本实施方式中做成比反应气体导入口30A的开口径大的直径的圆孔(图5C)。另外,反应气体导入口30A形成为开口径随着朝向分散板32侧而变大(d1<d2)的圆锥台形状,但不限于此,也可以做成开口径(d)不变的直圆筒形状。 
作为分散板32的开口34的面积(或者直径D),可以与放置在台14上的基板W的面积相对应而设定。在本实施方式中,开口34的面积设定为基板W的面积的0.25倍以上。此时,假设台14和分散板32之间的距离S为40mm以下。 
如图5C所示,作为分散板32上的与原料气体导入口30B相向的区域和开口34的周缘之间的距离(以下称为“遮蔽距离”)L的尺寸,当过小时原料气体的分散方向固定化、分散性恶化。因此,本实施方 式中遮蔽距离L的尺寸至少为50mm以上。 
另外,分散板32和基座部件31的间隔G(图5B)的尺寸,当小到需要量以上时,原料气体的流速过大、分散性变差。因此,本实施方式中,与遮蔽距离L的尺寸对应地还调整衬垫33的形成厚度G,在本实施方式中,G/L的大小形成为0.04以上。因而,L=50mm的情况下,G的尺寸为2mm以上。 
另外,气体压头13的基座部件31及分散板32不限于如图5示的平面看圆形状的部件,也可以是图6所示的平面看为正方形状等的矩形部件。在图6的例子中,各个原料气体导入口30B分别形成在基座部件31的对角位置上。在图6中,对与图5对应的部分分别赋予相同的符图标记。 
其次,对以上那样构成的本实施方式的薄膜制造装置10及气体压头13的作用进行说明。 
由反应气体导入口30A导入的反应气体(或自由基气体、惰性气体),通过分散板33的开口34供给到配置在正下方的台14上的基板W的表面上。另一方面,原料气体同时向多个原料气体导入口30B被导入,由分散板32分散。分散的原料气体通过分散板32的开口34,沿着在台14的周围形成的排气流被供给至基板W的表面。 
在本实施方式的气体压头13中,通过在多处设置原料气体的导入口30B,使从各个气体导入口导入的原料气体分散并在开口34的形成位置集中,遍及基板W的整个表面地以面内均匀的气体量导入原料气体。由此可以确保在基板W上形成的薄膜的膜厚、膜质、膜组成的面内均匀性。 
原料气体和反应气体可以同时导入成膜室11,也可以分别导入。另外,也可以把反应气体作为通过自由基源23激励而活性化的自由基气体向成膜室11导入。进而,还可以代替反应气体或者与反应气体一起地导入惰性气体。即,根据成膜加工的种类可以使这些成膜气体的导入方式不同。 
例如,在通常的CVD(MOCVD)法中,相对气体压头13同时 导入反应气体和原料气体,进行基板W的成膜。在图7A中表示此时的成膜气体的导入例。惰性气体作为反应气体用的运载气体被使用。反应气体和原料气体在气体压头13的开口34和台14之间的反应空间中混合。原料气体通过与反应气体的接触而发生化学反应,该反应生成物在基板W上堆积形成规定的金属膜。特别是,由于在反应气体中使用氨气等的含氮气体,故可以形成金属氮化膜。反应生成的副生成物或剩余的成膜气体通过台周围向成膜室11的外部被排出。 
按本实施方式,由于可以用气体压头13向基板表面均匀导入原料气体,所以可以稳定地得到成膜的金属膜的膜厚或膜质、膜组成等的面内均匀性。另外,由于气体压头13自身的结构简单,不设置喷洒孔那样的细微孔,所以容易进行分解清洗,能反复使用。由此,可以谋求气体压头使用成本的降低。 
进而,在本实施方式的气体压头13中,由于分别向成膜室11导入反应气体和原料气体,所以可以防止导入前的反应。由此,可以提高气体供给线的清洁度,实现稳定的气体供给和维护保养循环的长期化。 
反应气体可以通过自由基源23活性化,作为自由基气体导入成膜室11。由此,可以使基板W的成膜温度低温化,可以确保器件的可靠性并抑制基板W的工程劣化。特别是由于本实施方式的气体压头13在气体的导入路径上不用喷洒孔那样的细微孔,所以可以抑制自由基的减活,维持基板的低温成膜。 
另一方面,反应气体和原料气体交替向成膜室11导入。这样的成膜气体的导入方式可以适用于ALD(Atomic Layer Deposition)成膜加工。ALD成膜法这样的成膜加工,即,通过反复多次进行把反应气体(自由基气体)及原料气体之中一种气体导入成膜室后排气、再把另一种气体导入成膜室后排气的工序,从而在基板W上使金属薄膜以数原子层单位堆积(参照上述专利文献2)。 
在导入的气体的排气中惰性气体作为辅助气体被使用的情况较多。辅助气体具有把反应气体或原料气体从成膜室11迅速地逐出的功 能、和把成膜室11的压力变化抑制到最小限度以减少基板温度的变化的功能。 
在图7B、图7C中表示这样的ALD加工的气体导入时序例。图7B的例子表示在反应气体及原料气体的导入时同时导入惰性气体的例子,图7C的例子表示在反应气体的导入时停止导入惰性气体的例子。这些气体导入时序是一例,在每个加工中都可以采用最合适的导入流。 
按照本实施方式,由于在气体压头13的气体导入路径中不使用喷洒孔那样的细微孔,故可以迅速进行通过气体压头13的气体的排气,可以谋求在上述的ALD加工中缩短气体置换时间来提高生产率。 
实施例 
以下,对本发明的实施例进行说明,但本发明并不限于以下的实施例。 
制作参照图5说明的气体压头13并装入真空腔中。在此,设反应气体导入口30A的开口径为100mm(d1=d2)、原料气体导入口30B的开口径为15mm、原料气体导入口30B和分散板32的间隔G为2mm、分散板32的开口34的直径D为240mm、分散板32的遮蔽距离L为50mm、台14和分散板32间的距离S为35mm。 
导入成膜气体来制作TaN膜。成膜气体的种类、流量等成膜条件如下。 
·原料气体:PEMAT:Ta[N(CH3)(C2H6)]5  0.7mg/min 
            N2(运载气体)  500sccm 
·反应气体:NH3  200sccm 
·分压调整/辅助气体:Ar 460sccm 
·成膜压力:利用压力调整阀经常调整成1Torr一定。 
·基板温度:318℃ 
当在常温下使用液体的PEMAT时,原料气体源使用了气化系统。在气化器中,制作N2(辅助PEMAT的雾化也起运载作用)和气化的PEMAT的混合气体(=原料气体)。 
另外,从反应气体源向自由基源23导入NH3,形成NH3原子被活性化了的自由基气体向成膜室11导入。把基板W放置在台14上,向成膜室11导入成膜气体,从而进行成膜。 
成膜气体的导入顺序为原料气体→辅助气体→自由基气体→辅助气体,该循环多次反复。由原料气体生成Ta膜,自由基气体除去Ta膜中的不纯物,使Ta膜氮化而生成TaN膜,由此可以在基板上成膜出膜厚30nm的TaN膜。 
图8表示制作出的TaN膜的AES(Auger Electron Spectroscopy:俄歇电子分光分析)的分析结果。可知在膜厚方向形成一定组成的膜,维持成膜过程中的原料气体的供给浓度稳定。 
另外,可以抑制自由基的减活,基板温度即使为318℃也能制造优质的TaN膜。也就是说,可知与不使用自由基气体的通常的MOCVD法需要400℃以上的基板温度相比,可以实现成膜温度的低温化。 
其次,图9表示所制作的TaN膜的基板面内的电阻率(膜厚)分布,A是气体压头13的分散板32的遮蔽距离L的尺寸为30mm时的图,B是遮蔽距离L的尺寸为50mm时的图。在图中,面内的虚线相当于分布梯度的等高线。另外,图中箭头表示原料气体的导入方向(4方向)。 
如图9A所示,在遮蔽距离L为30mm的情况下,面内的电阻率分布在原料气体的导入方向和其以外的方向有较大不同。这是由于在气体导入口附近的膜厚大、对电阻率分布有影响的缘故。 
与此相对,如图9B所示可知,在遮蔽距离L为50mm的情况下,与原料气体的导入方向无关,从基板周缘部向中心部以相同的电阻率分布推移,面内分布均匀性得到改善。由于分散板32的遮蔽距离L越大面内分布的均匀性越高,所以可知在本例的情况下,只要遮蔽距离L至少为50mm以上就可以得到良好面内分布均匀性。 
以上,对本发明的实施方式进行了说明,当然本发明不限于此,可以根据本发明的技术构思进行各种变型。 
例如在以上的实施方式中,把原料气体导入口30B在反应气体导 入口30A周围设置共4处,但当然不限于该数量,进而也可以增加设置数量。另外,各气体导入口30A、30B的开口形状不限于圆形,也可以是椭圆状或圆弧状、矩形状等。 
另外,为了提高由分散板32实现的原料气体的分散功能,也可以使与原料气体导入口30B相向的分散板32上的区域粗面化。另外,为了控制原料气体分散后的气体的导入方向,也可以使开口34的周缘形成为向下的锥状等。 
进而,在以上的实施方式中,对把与本发明有关的气体压头13适用于薄膜制造装置10的例子进行了说明,但也可代替该例子地作为蚀刻装置用的气体压头加以适用。在该情况下,在上述实施方式中,可以在与反应气体导入口30A相对应的第一气体导入口导入例如H2气体或N2气体,在与原料气体导入口30B相对应的第二气体导入口导入NF3气体。 

Claims (15)

1.一种气体压头,其特征在于,具备:
导入第一气体的第一气体导入口,
导入第二气体的第二气体导入口,以及
与所述第二气体导入口相向配置、使所述第二气体分散的分散板;
所述第二气体导入口以包围所述第一气体导入口周围的方式设置有多个。
2.如权利要求1所述的气体压头,其特征在于,所述多个第二气体导入口相对于所述第一气体导入口分别按相等距离配置。
3.如权利要求1所述的气体压头,其特征在于,所述多个第二气体导入口按等角度间隔配置在所述第一气体导入口的周围。
4.如权利要求1所述的气体压头,其特征在于,所述第一、第二气体导入口分别形成在与所述分散板隔开间隙地相向配置的基座部件上。
5.如权利要求4所述的气体压头,其特征在于,在所述分散板上,在与所述第一气体导入口相向的区域中,形成比该第一气体导入口大的开口。
6.如权利要求4所述的气体压头,其特征在于,所述第一气体导入口以开口径随着朝向所述分散板侧变大的方式形成。
7.一种薄膜制造装置,具备成膜室、对该成膜室进行真空排气的排气机构、设置在所述成膜室内而对被处理基板进行支承的台、以及与所述台相向配置而向所述成膜室内导入成膜气体的气体压头;其特征在于,
所述气体压头具备:
基座部件,该基座部件在中央部形成有用于导入第一成膜气体的第一气体导入口,在该第一气体导入口的周围形成有用于导入第二成膜气体的多个第二气体导入口;以及
分散板,该分散板与所述基座部件隔开间隔地相向配置,遮蔽所述多个第二气体导入口,而且在与所述第一气体导入口相向的区域中具有开口。
8.如权利要求7所述的薄膜制造装置,其特征在于,所述第二气体导入口相对于所述第一气体导入口分别按等角度间隔配置在相等距离上。
9.如权利要求7所述的薄膜制造装置,其特征在于,所述分散板的开口的面积比所述第一气体导入口的面积大。
10.如权利要求7所述的薄膜制造装置,其特征在于,在所述气体压头上,设置激励所述第一成膜气体的自由基源。
11.如权利要求7所述的薄膜制造装置,其特征在于,所述第一成膜气体是反应气体或惰性气体,所述第二成膜气体是原料气体。
12.如权利要求7所述的薄膜制造装置,其特征在于,所述分散板的跟所述第二气体导入口相向的区域与该分散板的开口的周缘之间的距离至少为50mm以上。
13.如权利要求7所述的薄膜制造装置,其特征在于,所述基座部件与所述分散板之间的间隔(G)相对于所述分散板的跟所述第二气体导入口相向的区域与该分散板的开口的周缘之间的距离(L)的比(G/L),为0.04以上。
14.如权利要求7所述的薄膜制造装置,其特征在于,所述分散板的开口的面积,是放置在所述台上的被处理基板的面积的0.25倍以上。
15.如权利要求14所述的薄膜制造装置,其特征在于,所述台与所述分散板之间的距离是40mm以下。
CN2006800453414A 2005-12-06 2006-11-13 气体压头及薄膜制造装置 Expired - Fee Related CN101321893B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2005351547 2005-12-06
JP351547/2005 2005-12-06
PCT/JP2006/322539 WO2007066472A1 (ja) 2005-12-06 2006-11-13 ガスヘッド及び薄膜製造装置

Publications (2)

Publication Number Publication Date
CN101321893A CN101321893A (zh) 2008-12-10
CN101321893B true CN101321893B (zh) 2011-09-28

Family

ID=38122623

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2006800453414A Expired - Fee Related CN101321893B (zh) 2005-12-06 2006-11-13 气体压头及薄膜制造装置

Country Status (7)

Country Link
US (1) US8197599B2 (zh)
JP (1) JP4931082B2 (zh)
KR (1) KR101044355B1 (zh)
CN (1) CN101321893B (zh)
DE (1) DE112006003315T5 (zh)
TW (1) TWI311160B (zh)
WO (1) WO2007066472A1 (zh)

Families Citing this family (270)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
KR101832478B1 (ko) 2008-10-24 2018-02-26 어플라이드 머티어리얼스, 인코포레이티드 다중 가스 공급 장치 및 방법
US20110318505A1 (en) * 2008-12-09 2011-12-29 Akiko Yamamoto Method for forming tantalum nitride film and film-forming apparatus for forming the same
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
CN104135219B (zh) 2009-05-19 2016-12-07 最大输出可再生能源公司 包括发电装置的集群的电站的构造
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5778132B2 (ja) * 2010-03-16 2015-09-16 東京エレクトロン株式会社 成膜装置
JP5445252B2 (ja) * 2010-03-16 2014-03-19 東京エレクトロン株式会社 成膜装置
JP2012153932A (ja) * 2011-01-25 2012-08-16 Aisin Seiki Co Ltd ノズル
KR102111702B1 (ko) 2011-04-07 2020-05-15 피코순 오와이 플라즈마 소오스를 갖는 원자층 퇴적
US9695510B2 (en) * 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9911916B2 (en) 2014-03-28 2018-03-06 Hitach, Ltd. Method for vapor-phase growth of phase-change thin film, and device for vapor-phase growth of phase-change thin film
JP5837962B1 (ja) * 2014-07-08 2015-12-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびガス整流部
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP2016195214A (ja) * 2015-04-01 2016-11-17 株式会社 ワコム研究所 Mocvd装置による窒化膜を成膜する成膜方法及び成膜装置、並びにシャワーヘッド
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) * 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
CN114351117B (zh) * 2020-10-13 2022-12-20 东部超导科技(苏州)有限公司 喷淋板、配置喷淋板的mocvd反应系统及其使用方法
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3185493B2 (ja) * 1993-09-07 2001-07-09 日新電機株式会社 薄膜気相成長装置
US5976992A (en) * 1993-09-27 1999-11-02 Kabushiki Kaisha Toshiba Method of supplying excited oxygen
US5744049A (en) * 1994-07-18 1998-04-28 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same
JPH09246192A (ja) * 1996-03-05 1997-09-19 Nissin Electric Co Ltd 薄膜気相成長装置
JP4097747B2 (ja) 1997-08-07 2008-06-11 株式会社アルバック バリア膜形成方法
JP4487338B2 (ja) * 1999-08-31 2010-06-23 東京エレクトロン株式会社 成膜処理装置及び成膜処理方法
JP2004035971A (ja) 2002-07-05 2004-02-05 Ulvac Japan Ltd 薄膜製造装置

Also Published As

Publication number Publication date
KR20080075111A (ko) 2008-08-14
TW200722551A (en) 2007-06-16
US8197599B2 (en) 2012-06-12
CN101321893A (zh) 2008-12-10
TWI311160B (en) 2009-06-21
WO2007066472A1 (ja) 2007-06-14
JP4931082B2 (ja) 2012-05-16
US20090250004A1 (en) 2009-10-08
KR101044355B1 (ko) 2011-06-29
JPWO2007066472A1 (ja) 2009-05-14
DE112006003315T5 (de) 2008-10-16

Similar Documents

Publication Publication Date Title
CN101321893B (zh) 气体压头及薄膜制造装置
US7622005B2 (en) Uniformity control for low flow process and chamber to chamber matching
US6812157B1 (en) Apparatus for atomic layer chemical vapor deposition
US10242866B2 (en) Selective deposition of silicon nitride on silicon oxide using catalytic control
US8123860B2 (en) Apparatus for cyclical depositing of thin films
US6656282B2 (en) Atomic layer deposition apparatus and process using remote plasma
US8551248B2 (en) Showerhead for CVD depositions
US20130269612A1 (en) Gas Treatment Apparatus with Surrounding Spray Curtains
KR100355321B1 (ko) 성막방법및장치
US20060249077A1 (en) Multiple inlet atomic layer deposition reactor
TWI589724B (zh) 熱絲化學氣相沉積腔室之噴頭設計
US20050252447A1 (en) Gas blocker plate for improved deposition
CN102576667A (zh) 中空阴极喷头
WO2001004376A9 (en) A method of forming a silicon nitride layer on a semiconductor wafer
JPH07201762A (ja) 半導体素子製造用ガス供給装置
KR20110054833A (ko) 샤워헤드 어셈블리 및 이를 구비한 박막증착장치 및 박막증착방법
US9328419B2 (en) Gas treatment apparatus with surrounding spray curtains
TWI788666B (zh) 具有入口混合器的噴頭
KR100422398B1 (ko) 박막 증착 장비
CN115323353A (zh) 具有多个喷头的供电及供气结构的基板处理装置
US20200407842A1 (en) Systems and methods for homogenous intermixing of precursors in alloy atomic layer deposition
US20240068095A1 (en) Gas distribution apparatuses for improving mixing uniformity
US10358717B2 (en) Method for depositing high deposition rate, thick tetraethyl orthosilicate film with low compressive stress, high film stability and low shrinkage
KR20220013924A (ko) 박막 증착 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20110928