TWI311160B - Gas head and thin film manufacturing apparatus - Google Patents

Gas head and thin film manufacturing apparatus Download PDF

Info

Publication number
TWI311160B
TWI311160B TW095144618A TW95144618A TWI311160B TW I311160 B TWI311160 B TW I311160B TW 095144618 A TW095144618 A TW 095144618A TW 95144618 A TW95144618 A TW 95144618A TW I311160 B TWI311160 B TW I311160B
Authority
TW
Taiwan
Prior art keywords
gas
film
jet head
film forming
manufacturing apparatus
Prior art date
Application number
TW095144618A
Other languages
English (en)
Other versions
TW200722551A (en
Inventor
Takakazu Yamada
Nobuyuki Kato
Masaki Uematsu
Original Assignee
Ulvac Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ulvac Inc filed Critical Ulvac Inc
Publication of TW200722551A publication Critical patent/TW200722551A/zh
Application granted granted Critical
Publication of TWI311160B publication Critical patent/TWI311160B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45514Mixing in close vicinity to the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

1311160 九、發明說明: 【發明所屬之技術領域】 产本發明係關於一種用於例如M0CVD等裝置,且將原料 亂體、反應氣體及惰性氣體等成膜氣體導入反應室的喷氣 頭(gas head)、及具有該喷氣頭的薄膜製造裝置。、、 -【先前技術】 以往,作為在藉由如M〇CVD(Metal 〇rganic ap〇rDeposltlon,金屬有機氣相沈積)法等⑽法製造薄 臈時提高臈厚、膜質、膜组成等晶圓面内之分佈均勾性的 手段’已提案有-種原料氣體與反應氣體之均勾混 於晶圓均勻喷出氣體等相關之各種技術。 例如 於下述專利 製造薄膜的薄膜製造裝置將種以M0CVD》
將由耽化後的原料氣體與反J 構成的混合氣體導入真空環境之成膜室内 =:二成膜室内之台座(stage)上的晶圓等被處理 ::膜:::學反應進行成膜的技術。其係構成為 、至與台座對向而配設有噴頭(shower 頭於基板上均句喷出混合氣體的方式。 件所2 =示喷頭1之—構成例。加係由以η 氣體頭本體部2 ’設有由原料氣體與反庫 ::::=合,體之導入管2a;金屬製的喷板3,: 〆項不體J之開口部予以 體通過的複數個細微喷孔3a。於頭本體;=合* 間,設有使被導入沾、9入^ +菔哔2與喷板3之 的4氣體遍佈噴孔3a之形成區域全域 318762 5 1311160 用的空間部4。 (專利文獻1)日本特開2004_35971號公報 (專利文獻2)日本特開平u —54459號公報 【發明内容】 [發明所欲解決的課題] - 喷頭1係對於基板表面的氣體之均勻供給性佳, .廣泛使用於CVD製程中。喷孔3a雖因用途而有各種不J 者’但多為開口徑lmm左右者,其數量則可達到數 籲千。因此,喷頭之製作成本非常高。 另外,因為喷孔3a為原料氣體和反應氣體流動的路徑 故容易發生膜附著,而需要定期性的維護。但是,附著於 細微的喷孔3a内的膜係難以去除,且未完全去除的膜合成 為粒子(Particle)的發生源。從而,因喷板幾乎為不可再 利用’因此必須定期進行交換,因而導致半導體製造成本 上升的問題。 • 再者,於使用噴頭1的薄膜製造裝置令,若存在有喷 孔3a 4之電¥ (conductance)較小的部位,則會使喷孔3a 之1 -人側(空間部4和導入管2a的内部)的氣體變得難以排 ,至=^側(成膜室側),結果,存在有i片基板的處理所 而排氣%間(=處理時間)變長而使生產性降低的問題。 尤其近年來,如前述專利文獻2所揭示的ALD(At〇mic Layer DeP〇siti〇n,原子層沉積)成膜的研究盛行,但由於 ALD係將氣體之導入/排氣予以重複數十循環的手法,一循 %<之氣體排氣時間的縮短效果會與循環數成正比而變大。 6 318762 1311160 彳< #使II胃之迅速排氣對生產性之提升有很大貢獻。 另—方面’除噴頭以外尚有數種將氣體導入成膜室内 方法例如’氣體環狀噴嘴(gas ring nozzle)。但是, ^ $衣狀喷令係與朝環之氣體導入口(連接至環的直線狀 氣&配管)車父近的孔之氣體喷出速度較快,結果會導致從較 '接近氣胜‘入口側的孔之氣體供給量變多。因此,會對膜 、厚分佈、組成分佈等膜性造成不良影響。尤其,因CVD製 紅會非常強烈地於膜顯現出氣體流的影響,故大量生產裝 鲁置則採用前述的喷頭。 ,另外,強烈要求以確保元件(device)可靠性及減低工 程劣化為目的的成膜溫度之低溫化。就使成膜溫度低溫化 而έ ’將反應氣體活性化俾即使在低溫下也可開始(或促進) 反應的方式被視為有效的。此乃因將活性化後的反應氣體 (亦即自纟基(radical)氣體)導入並進行成膜的方法,係可 將於基板上的反應溫度降低的緣故。 鲁另方面,自由基氣體因為具有與金屬接觸則會去活 化(deactivation)的性質,故必須以石英管或高純度陶莞 管導入至成膜室。另外,因為自由基氣體反應性高,盘原 料氣體接觸時會進行氣相反應,故必須將自由基氣體㈣ 料氣體分別導入成膜室内。而且,為了確保膜厚、膜質和 膜組成的分佈平均性,而必須將原料氣體或原料氣體與反 應氣體的混合氣體經由喷頭等噴氣頭而導入至成膜室。 然而,因為喷頭係主要為金屬製,故通過喷孔時大量 的自由基氣體會與金屬面接觸而去活化。另一方面,雖以 318762 7 1311160 石英或高純度陶曼製作喷頭即可減低自由基氣體的 化,卻使得喷頭的製作成本更高,而變成非常高價的零件。 另外’因如上所述之膜去除可能性或再利用性、 相關的問題也依然存在,故無法期望半導體製造成本的; 低及生產性的提升。 降 ' %上所述,於f知的薄膜製造農置中,存在有以下門 、題:若以防止去活化用之自由其邋λ m 4 l 1叫 ^ “ 目由基v人構造優先時,原料氣 體之痕度/刀佈會惡化而無法得到面内均句性,而若 氣體之濃度分佈優先時,會使自由基去活化。 " 本發明係為了解決如上所述之問題而研創者,其課 ^提供-種低成本、可抑制自由基之去活化、且可 氣體均勻地導入基板上的噴氣頭及薄膜製造褒置。、…、、 [用以解決課題的手段] 為了解決以上課題,本發明之喷氣頭係具有:第工氣 導入口’導入有第1氣體;第2氣體導入口,導 2,:體;分散板,與該第2氣體導入口相對向配置且使第2 且前述第2氣體導入口係以將第i氣體導入口 之周圍予以包圍的方式而設置複數個。 有二之喷氣頭中,雖於第1氣體導人口的周圍設 體導人σ,但不需要噴孔等微細孔。亦即, 濃^的约^利用由分散板產生的分散作用謀求第2氣體 可使構成簡單化且藉由洗淨而重複使用。 減低噴:之賀乳頭中,因不需要噴孔等的微細孔,故可 /-、乳碩的加工成本’也可完全去除附著膜。另外,因 318762 8 1311160 為電導降低的影響也少, 短。而且,g|7 # ;成膜室之排氣時間的縮 由mm使f反應氣體使用自由基氣體,也可抑制自 頭之構:質可::rrr度之低溫化。又,喷氣 作英玻璃或高純度陶究。 乍為本么明之喷氣頭的構成, 於中央部形成有第i翁俨莫“有.基材構件’ 的周圍形忐古 > ,且於該第Ϊ氣體導入口 月圍形成有硬數個第2氣體導入口· 述基材構件隔古μ κ- η α 及刀放板’與前 入口遮蔽it具有料_。 錢則2乳體導 反應氣體使用自由基氣體時,較 氣體予以激勵的方式於喷氣頭之上游側= ===可適用經高溫加熱的觸媒線或 為謀求第2氣體之均勾分散,較佳為將第2氣體導入 口分別配置於與第1氣體導入口為等距離的位置, 2氣體導入口於第!氣體導入口之周圍以等角度間隔進行 配置:=,與第2氣體導入口相對向配置的分散板係必 須隔者適度的間隔進行配置。 較佳而言,分散板之與第2氣體導人口相對向的區 域、與該分散板之開口周緣之間的距離(1〇係至少有5〇_ 以上即可。另外’基材構件與分散板之間的間隔(g)與前述 距離(L)的比(G/L)為〇. 〇4以下。 第2氣體導入口之開口徑雖無特別限定,但例如形成 比第1氣體導人口之開口徑小。第2氣體導人口之數量亦 318762 9 1311160
提升第2氣體濃度之均勻性。 另外,使台座與分散板之間的 此時,較佳為使分散板之開口面積 處理基板之面積的〇. 25倍以上。 另外, 即可,但數量越多則越可 间的距離(S)為40mm以下。 面積成為載置於台座上的被 * [發明之效果] 如上所述,藉由本發明
鲁氣頭的低成本化、 不需要如喷孔般的複數個微 濃度的分散均勻化。藉此,可以實現喷 藉由洗淨的再利用化及高電導化,而謀 求半導體元件的生產赤太隊你&丄+ ,, .
劣化。 【實施方式】 以下,參照圖式對本發明之實施形態進行說明。 φ 第1圖係本發明實施形態的薄膜製造裝置1 〇之成膜氣 體么、、、、°笞線及真空排氣管線之配管構成圖。又,本說明書 中的所°月成膜氟體」係指用於化學反應的原料氣體、反 應氣體、惰性氣體等單一氣體或混合氣體。 薄膜製造裝置1〇係具有:真空室(Vacuum Chamber) 12 ’於内部形成有成膜室(反應室)11 ;喷氣頭13,將原料 氣體及反應氣體導入成膜室11 ;台座14,設置於成膜室 11 ’且由半導體晶圓支持玻璃基板等被處理基板(以下稱 「基板」)w。 10 318762 1311160 成膜室11係經由真空排氣管線25連接於真空排氣裝 置26,且構成為可藉由將主閥V0開閥以真空排氣至預、 之減壓環境。台座14係與喷氣頭13對向配置。該台座Μ 係由例如加熱板所構成,可將載置於該台座14上的基板⑺ 加熱至預定溫度。 - 錢頭13係詳細如後所述’分別連接有連絡於反應氣 、體源的反應氣體供給管線21、及連絡於原料氣體源的原料 氣體供給管線22,將反應氣體、原料氣體或該等之混合氣 籲體導人成膜室U内。尤其’喷氣頭13係具有將原料^體 面内均勻地導入台座14上之基板的功能。 在此,反應氣體係對應於本發明之「第丨氣體」,原料 氣體係對應於本發明之「第2氣體」。又如後所述,—也有代 替反應氣體或與反應氣體一起使用惰性氣體作為第1氣體 的情形。反應氣體係可使用NH3(氨氣)或H2(氫氣)等。原 料氣體則使用成膜金屬(Ta、Cu、Al、Ti、Zr、V、Nb)之有 籲機金屬材料,配合成膜對象(配線膜、阻障膜等)而加以選 擇。此時,以使用活性化為反應氣體的氨氣等氮化氣體來 製作該等金屬的氮化膜。惰性氣體係可使用N2或。 在此’對反應氣體源進行說明。反應氣體源係如第2 圖所示可用質量流量控制器(MFC, 來控制氣體流量的一般氣體源(反應氣體源、惰性氣體源 等)。該氣體源可藉由集積而從1個氣體源輸出複數種氣 體。第3圖係頻示氣體源之集積例。於圖示之例中,反應 氣體1為NH3、反應氣體2為H2、惰性氣體3為N2。可將 318762 1311160 該等之單-氣體或混合氣體作為氣體源來加以使用 原料氣體源係使用將固體或液體之有機金屬原 氣體化而作為原料氣體的系統。在液體原料之情形下,可 使用將液送至氣化器進行氣化的氣化系統或料 ° (bubblingU統。在固體原料之情形下,可使用將固體加 熱液化後以氣化器進行氣化的原料加熱系統與氣化系统的 複合系統、或加熱系統與起泡系統的複合系統、或將固姊 原料予以氣體化的昇華系統等。x,原料氣體不限於有: 金屬材料,也可使用在WF6等半導體製程中一般使用的氣 導入至成膜t 11狀應氣體及原㈣體,係相互引起 化學反應而於基板W上形成金屬薄膜。副產物和剩餘的氣 體係經由真空排氣管線25而排氣。 反應氣體與原料氣體可同時導入成膜室u内,亦可分 別導入成膜t 11内。原料氣體供給管線21係以開閉第工 鲁閥Π執行原料氣體的導入/非導入之切換。又,其係構成 為第1閥VI閉閥時,藉由將第2闕V2開閥,原料氣體可 經由旁通(bypasss)配管24,而不經由成膜室u而進行排 氣。此時,第2閥V2係於成膜時閉閥,而於成膜結束後開 閥。藉由以該等方法供應原料氣體,可將原料氣體穩定地 導入成膜室11。 另外,也可使反應氣體活性化且導入成膜室1丨。於本 貫施形態中,係將經由反應氣體供給管線21所供給的反應 氣體予以激勵且產生自由基用的自由基源23,設置於喷氣 12 318762 1311160 頭1 3的附近。自由基乂 接著,噴氣 乡、目、* °經高溫加熱的觸媒線。 至基板♦、 〜、有將原料氣體以均句的濃度供給 膜厚、膜質面藉此確保形成於基板W薄膜之 13的詳細進㈣明 内均料1下,針對該嘴氣頭 成圖,第5圖係嘴弟圖係薄膜製造裳置10的概略構 仰視圖。、、、之(A)俯視圖、(B)側剖面圖及(c) 之粗人:戶LI3:由基材構件31與分散板32與隔間材33 且設置於真空室12的上部。基材構件 定手、二i係於阶 4二=拆裝地組合。又,因應其需要,於 基材構㈣與分散板32之_介設㈣(seal)構件亦可 r成噴氣頭13的基材構件3卜分散板32、隔間材3: 係雖分別為銘或不錢鋼等金屬製,但亦可使用石英或高纯 度陶^構成,而可因應所使用的氣體之種類等而適當選 擇。 基材構件31之中央部設有導入反應氣體的反應氣體 導入口(第1氣體導人n)3GA,於該反應氣體導入口 3〇a 的周圍則设有導人有原料氣體的原料氣體導人口細。反 應氣體導人π 3GA係位置於台座14上之基g的中央部。 原料氣體導人π 30B係如第5圖(A)所示,以圍住反應氣體 導入口 30A之周圍的方式設置複數個(在本例中為4個)。 雖未特別限定原料氣體導入口 30B之開口徑,於本實 施形態中則分別形成為比反應氣體導入口 3〇A小徑且同一 318762 13 1311160 控(例如直徑15mm)的圓孔。 別配置於與反應氣體導入口 個原料氣體導入口 30B係以 入口 30A的周圍。 各個原料氣體導入口 30B係分 30A等距離之位置。另外,各 等角度間隔配置於原料氣體導 为散板32係以遮蔽原料氣體導入口 3〇β的方 、配置於基材構件31的下面。分散板32與基材構件、° 、料乳體導入α 30B)之間的間隔係以隔間材33之厚度? :整。隔間材33可由單獨的零件所構成,亦可與基;構: 1之下面周緣或分散板之上面周緣一體成形。 於分散板32之中央部之與反應氣體導入口 3〇α相對向 的區域設有開口 34。開口 34的形狀雖為任意,但本實施 形態中為比反應氣體導入口 30Α之開口徑更大的圓孔:第〜 圖(〇)。又,反應氣體導入口 30Α雖為越向分散板犯側開 口杈越大(d 1 < d 2)的圓錐台形狀,但不限於此,亦可為開口 徑(d)不變的直圓筒形狀。 • 分散板32之開口 34的面積(或直徑D)係可對應於載 置在台座14上的基板w之面積而進行設定。於本實施形態 中’開口 34之面積係設定為基板W之面積的〇25倍以上^ 此時,台座14與分散板32間的距離s為4〇_以下。
如第5圖(C)所示,分散板32上之原料氣體導入口 3〇B 之對向區域、與開口 34之周緣間的距離(以下稱「遮蔽距 離」)L的大小,若太小則原料氣體之分散方向會固定化而 使分散性惡化。因此,本實施形態中將遮蔽距離L之大小 没為至少50mm以上。 318762 14 1311160 ⑻二分 變差。為此本;細之流速會過大而使分散性 門心 以形態中’配合遮蔽距離L的大小也斟r 間材%之形成厚度G進行調 广對隔
的大小為2咖以上。 田L-50_時,G 噴氣頭13之基材構件31及分 第5圖所示的俯視圓形狀者。亦可板32並不限於如 正方形狀等之矩形狀者。於第圖所示之俯視 導入〇 Λ D 、 圖之例中’各個原料氣 i別形成於基材構件31之對角位置。又於第 穿置1〇者及喑^如上所述之構成的本實施形態之薄膜製造 裝置1〇及喷氣頭13之作用進行說明。 η =入反應氣體導入口 3〇Α的反應氣體(或自由基氣 體Μ·生氣體)’係通過分散板 、 置於其正下方的台座14上之:::口34犧 ,:,時導入至複數個原料氣體導入口 3〇Β : 反3=分散。被分散的原料氣㈣姻分散板%之開口 /者形成於台座14之周圍的排氣流而被供給至基板W 之表面。 於本貫施形態之喷顏通1 q ti? _設置純數個部位,^ 口 立籍由將從各個氣體導入口所導 入的原料氣體分散且集中於開口 34的形成位置,而於基板 之表面全域以面内均勾的氣體量導入原料氣體。藉此可 確保形成於基板w的薄膜之膜厚、膜質、膜組成的面内均 318762 15 1311160 勻性。 將原料氣體與反應氣體同時導入成膜室丨丨亦可,分別 導入成膜室11亦可。另外,亦可將反應氣體作為以自由基 源23激勵並活性化之自由基氣體並將該反應氣體導入成 膜室11。而且,亦可取代反應氣體而將反應氣體與惰性氣 -體一起導入。亦即,亦可因應成膜製程的種類而使該等成 . 膜氣體之導入形態相異。 例如,於通常之CVD(M0CVD)法中’係對喷氣頭13同 籲時導入反應氣體與原料氣體而進行基板¥的成膜。第7圖 (A)表示此時之成膜氣體的導入例。惰性氣體係作為反應氣 體用之載體氣体而使用。反應氣體與原料氣體係於喷氣頭 13之開口 34與台座14之間的反應空間被混合。原料氣體 係藉由與反應氣體的接觸而引起化學反應,其反應產生物 則堆積於基♦反W上並形成預定的金屬膜,尤其,由於反應 氣體係使用氨氣體等含有氮氣的氣體,故可以形成金屬氮 _化膜。由反應所產生的副產物和剩餘的成膜氣體則經由台 座周圍排氣至成膜室11的外部。 藉由本實施形態,因可藉由噴氣頭13將原料氣體均勻 地導入基板表面,故所成膜的金屬膜之膜厚和膜質、膜组 成等可以敎地獲得面内均句性。另外,噴氣頭13本身的 構成也簡單,並未設置如噴孔般的微細孔,藉此可容易地 進行分解洗淨,也可重複使用。藉此,可以謀求喷氣頭的 使用成本之減低。 再者,於本實施形態之噴氣頭13中,因將反應氣體與 318762 16 1311160 原料氣體分別導入成膜室n,故可防止導入前的反應。藉 此可提同乳體供給管線的清淨(clean)度而謀求穩定的氣 體供給與維護週期(maintenance cycle)的長期化。 反應氣體係可由自由基源23活性化且作為自由基氣 ,而導入至成膜室1卜藉此,可以使基板w之成膜溫度低 •溫化而確保元件的可靠性並抑制基板W的工程劣化。尤其 .本實施形態的噴氣頭13係於氣體的導入路徑並未使用喷、 孔般的微細孔,藉此可以抑制自纟基的失活且可維持基板 攀的低溫成膜。 另一方面,反應氣體與原料氣體係交互地被導入至成 膜室11。該等成膜氣體的導入形態係可適用於ALD(At〇mic
Layer DeP〇si t icm)成膜製程。ALD成膜法係藉由'將反應氣 體(自由基氣體)及原料氣體之其中一$導入成膜室後進行 排氣、:且在將另-方的氣體導入成膜室後進行排氣的步驟 執行複數次,而以數原子層為單位使金屬薄膜堆積在基板 鲁W上的成膜製程(參照前述專利文獻2)。 土 在導入氣體的排氣時大多使用惰性氣體作為辅助 ^ssist)氣體。辅助氣體係具有:將反應氣體或原料氣體 從成膜室11快速排出的功能;以及將成膜室u之壓力變 化抑制在最小限度以減低基板溫度變化的功能。 第7圖(B)、(C)係表示如上所述之ald製程的氣體導 入時序例。第7圖(B)之例係表示在反應氣體及原料氣體的 導入時同時導入惰性氣體之一例。第7圖(c)之例係表示在 反應氣體的導入時停止導入惰性氣體之一例。該等氣體導 318762 ^ 17 1311160 佳的導入流程。 之氣體導入路徑使 迅速進行氣體的排 氣體的置換時間以 入時序僅為一例,而可視製程而採用最 根據本實施形態,因未於喷氣頭丄3 用喷孔般的微細孔,故可經由喷氣頭13 氣’而可於如上所述的ALD製程中縮短 提升生產性。 [實施例] . α下雖對本發明之實施例進行說明,但本發明 以下之實施例所限定者。 … 鲁製作參照第5圖所說明的噴氣頭13並組入真空室。在 此,反應氣體導入口 30Α的開口徑為1〇〇mm(dl=d2f、原料 氣體導入口 30B的開口徑為15mm、原料氣體導入口 3〇β與 分散板32之間的間隔G為2mm、分散板32之開口 34的直 徑D為240mm、分散板32之遮蔽距離[為5〇mm、台座14 與分散板3 2之間的距離S為3 5 ππη。 導入成膜氣體而製作TaN膜。成膜氣體之種類、流量 •等之成膜條件則如下: •原料氣體:PEMAT: Ta[N(CH3)C2H6]5 〇.7mg/rain
N2(載體氣體)500sccm •反應氣體:NH3 200sccm •分壓調整/輔助氣體:Ar 460sccm •成膜壓力:以壓力調整閥調整為常保1T〇rr •基板溫度:318°C 於常溫下使用液體的PEMAT時,原料氣體源係使用氣 化系統。於氣化器中,可製作出N2(辅助pEMAT霧化亦發 318762 18 1311160 揮載體的功用)與經氣化的pEMAT之混合氣體(=原料氣 體)。 另外,從反應氣體源將NH3導入自由基源23,而作為 NH3原子被活性化之自由基氣體導入成膜室丨丨。成膜則藉 由將基板W載置於台座14且將成膜氣體導入成臈室丨丨來 進行。 . 成膜氣體之導入順序為原料氣體—輔助氣體—自由基 氣肢·^輔助軋體,且將此循環重複複數次。藉由以原料氣 ®體產生Ta膜,以自由基氣體取出Ta膜中的雜質,使 膜氮化而產生TaN膜的方式,在基板上使膜厚3〇nm的TaN 膜進行成膜。 第8圖係表示所製作的TaN膜之AES(AugerElectr〇n
Spectroscopy .歐皆電子能譜分析)所得的分析結果。膜厚 方向形成有一定組成的膜,由此可知成膜過程中的原料氣 體之供給濃度係維持穩定。 _。另外,自由基的去活化被抑制,即使基板溫度為318 C也可製作良質的Ta請。亦即,與未使用自由基氣體的 -般M0CVD法中需要4〇 〇 以上的基板溫度之情形相比, 可知實現成膜溫度之低溫化。 接者’第9圖係表示所製作的膜之基板面内的比
電阻(膜厚)分佈,八為噴氣頭13之分散板32之遮蔽距離L =小為3G_時,B為遮蔽距離[之大小為5Q_時的圖。 :面内的虛線係相當於分佈梯度的等高線。另外,圖 中前號係表示原料氣體的導入方向(4方向 318762 19 1311160 如第9圖(A)所示 電阻分佈係於原料氣體 大差異。此乃因氣體導 極大影響的緣故。 ,遮蔽距離L為30mm時,面内的比 之導入方向及其以外之方向上有極 入口附近的膜厚對比電阻分佈產生 ’在如第9圖⑻所示之遮蔽距離L為50_時, …、原枓讀之導人方向無關,從基板周緣部向中心部皆以 .同樣的比電阻分饰進行推移,可知面内分佈均勾性有所改 善。分散板32之遮蔽距離L越大,則面内分佈之均勾性越 §西,因此於本例的情形中,可知遮蔽距離L至少有5〇_ 以上即可獲得良好的面内分佈均勻性。 、,t以上雖針對本發明之實施形態進行說明,當財發明 為其所限定者,基於本發明之技術思想而可有各種變 例如於以上之實施形態中,雖於反應氣體導入口篇 的周圍設置共計4處的原料氣體導入口,當然並不限 籲於該數量,亦可更增加設置數量。另外,各氣體導入口 3 〇 A、3 0 B之開口形狀不限於圓形,亦可為漏形 矩型等。 另外,因係藉由分散板32來提升原料氣體之分散功 能,故亦可使與原料氣體導入口 3〇β相 二區域粗面化。另外’為了控制使原料氣體分 體V入方向,使開口 34之周緣形成朝下傾斜狀等亦可。 再者,於以上之實施形態中,雖就將本發明之喷氣頭 13適用於薄膜製造裝置1〇的例子進行說明,但亦可取代 318762 20 1311160 該例而適用於蝕刻裝置用的噴氣頭。此時,可於在前述實 細•形態中對應於反應氣體導入口 之第1氣體導入口導 入例如H2氣體或N2氣體,且於對應於原料氣體導入口 3〇β 之第2氣體導入口導入NF3氣體。 【圖式簡單說明】 第1圖係本發明實施形態的薄膜製造裝置之配管構成 圖。 第2圖係反應氣體源之構成之一例的示意圖。 鲁 第3圖係反應氣體源之構成之另-例的示意圖。 第4圖係薄膜製造裝置的概略剖面圖。 第5圖係本發明之噴氣頭的構成之示意圖,Α為俯視 圖、Β為側剖面圖、c為仰視圖。 、第6圖係本發明之喷氣頭的另一構成例之示意圖,Α 為俯視圖、B為侧剖面圖、c為仰視圖。 第7圖為表示成膜氣體之導入形態之一例的時序圖。 馨 第8圖為本發明實施例中所說明的取樣膜之歐皆電 忐譜分析圖。 八第9圖為本發明實施例中所說明的取樣膜之基板面户 布:一實驗結果,A為比較例,b為實施例。 第10圖為喷頭之概略構成圖。 【主要元件符號說明】 薄媒製造裝置 U 絲室 丄幺 直空官 u 一 13 喷氣頭 14 台座 。 21 原料氣體供給管線 318762 21 1311160 反應氣體供給管線 22 自由基源 24 真空排氣管線 26 反應氣體導入口 30B 基材構件 32 隔間材 34 直徑 d、dl 基材構件與分散板之間隔
21 23 25 30A 31 33 D G 原料氣體供給管線 旁通配管 真空排氣裝置 原料氣體導入口 分散板 開口 'd2反應氣體導入口徑 • L 遮蔽距離 MFC、MFC1、MFC2、MFC3質量流量控制器 S 分散板與台座間的距離 V0 主閥 V1 V2 第2閥 m 第1閥 基板 22 318762

Claims (1)

1311160 十、申請專利範圍: i —種喷氣頭,係具有: 第1氣體導入口,用以導入第i氣體; 第2氣體導入口,用以導入第2氣體; 分散板,與前述第2氣體導入口相對 -使前述第2氣體分散; 配置而用以 - 且前述第2氣體導入口係以將前述第1氣體導入口 之周圍予以包圍的方式而設置複數個。 L如友申請專利範圍第i項之噴氣頭,其中,前述複數個第 2亂體導人π係分別配置於與前述第以體導人 距離的位置。 ^^ 如申請專利範圍第!項之噴氣頭,其中,前述複數 2亂體導入口係於前述第1氣體導入口之周圍以等角户 間隔配置。 ^ ^ ^.如^請專利範圍第1項之噴氣頭,其中,前述第卜第 2乱體V人口係分別形成於與前述分散板隔 相對向配置的基材構件。 j丨糸而 5. 如申請專利範圍第4項之喷氣頭,其中, 之與前述第1氣體導入口 乂日批丄 刀放板 、f第1 , 對向的區域,係形成有比前 述第1軋體導入口更大的開口。 6. 如申請專利範圍第4項之喑_ ^ 項之噴虱碩,其中,前述第1氣!# 形式。 ,按迎别建分散板側則開口徑越大的 7 一種薄膜製造裝詈,椋亘古., 係八有·成膜室;排氣手段, 318762 23 1311160 成膜至予以真空排氣;台座,机番认‘ ,± , _ ^ ^ 4 压5又置於前述成膜室内且支 持被處理基板;以及喷氣頭, 又 首&飞碩與别述台座相對向配置且 將成膜虱體¥入至剷述成臈室内;其令, 前述喷氣頭係具有: 基材構件,於中央部形点古 ^ Μ 1 ^ ^ ^ a 蛤入第1成膜氣體 的第1就體¥入口,且於該第1氣體導入π的周圍形成 有用以導入第2成臈氣體的複數個第2氣體導入口; 分散板,與前述基材構件隔有間隔且相對向配置, Γ述複數個第2氣料人σ遮蔽並於與前述第!氣體 V入口相對向的區域具有開口。 8·如::專利範圍第7項之薄膜製造裝置,其中,前述第 體^口^係分別以等角度間隔配置於與前述第1氣 體V入口為等距離的位置。 9.,申請專利範圍第7項之薄膜製造裝置,其中,前述分 板之開口面積係比刖述第!氣體導入口之面積更大。 φ .如:請專利範圍$ 7項之薄膜製造裝£,其中,於前述 喷氣頭δ又置有用以激勵前述第i成膜氣體的自由基源。 •如申請專利範圍第7項之薄膜製造裝置,其中,前述第 1成膜氣體為反應氣體或惰性氣體,前述第2成膜 為原料氣體。 、〃- 12.如申請專利範圍第7項之薄膜製造裝置,其中,前述分 散板之與前述第2氣體導入口相對向的區域、與該分散 13板之開口周緣之間的距離’至少為50_以上。" ’如申請專利範圍第7項之薄膜製造裝置,其中,前述基 318762 24 1311160 材構件與前述分散板之間的間隔、與前述分散板之 前述第2氣體導入口相對向的區域與該分散板之開口 周緣之間的距離(L)的比(G/L)為〇. 〇4以下。 14·如申請專利範圍第7項之薄膜製造裝置,其中,前述分 月文板之開口面積係載置於前述台座上的被處理基板面 • 積的〇. 2 5倍以上。 _ 15.如申請專利範圍第14項之薄膜製造裝置,其中,前述 台座與前述分散板之間的距離為4〇mm以下。 318762 25
TW095144618A 2005-12-06 2006-12-01 Gas head and thin film manufacturing apparatus TWI311160B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2005351547 2005-12-06

Publications (2)

Publication Number Publication Date
TW200722551A TW200722551A (en) 2007-06-16
TWI311160B true TWI311160B (en) 2009-06-21

Family

ID=38122623

Family Applications (1)

Application Number Title Priority Date Filing Date
TW095144618A TWI311160B (en) 2005-12-06 2006-12-01 Gas head and thin film manufacturing apparatus

Country Status (7)

Country Link
US (1) US8197599B2 (zh)
JP (1) JP4931082B2 (zh)
KR (1) KR101044355B1 (zh)
CN (1) CN101321893B (zh)
DE (1) DE112006003315T5 (zh)
TW (1) TWI311160B (zh)
WO (1) WO2007066472A1 (zh)

Families Citing this family (275)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US8786139B2 (en) 2009-05-19 2014-07-22 Maxout Renewables, Inc. Architecture for power plant comprising clusters of power-generation devices
KR20110074926A (ko) * 2008-10-24 2011-07-04 어플라이드 머티어리얼스, 인코포레이티드 다중 가스 공급 장치 및 방법
WO2010067778A1 (ja) * 2008-12-09 2010-06-17 株式会社アルバック 窒化タンタル膜の形成方法及びその成膜装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9404180B2 (en) 2010-03-16 2016-08-02 Tokyo Electron Limited Deposition device
JP5445252B2 (ja) * 2010-03-16 2014-03-19 東京エレクトロン株式会社 成膜装置
JP2012153932A (ja) * 2011-01-25 2012-08-16 Aisin Seiki Co Ltd ノズル
CN103635605B (zh) 2011-04-07 2017-03-08 皮考逊公司 具有等离子体源的原子层沉积
US9695510B2 (en) * 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
WO2015145746A1 (ja) 2014-03-28 2015-10-01 株式会社日立製作所 相変化薄膜気相成長方法及び相変化薄膜気相成長装置
JP5837962B1 (ja) * 2014-07-08 2015-12-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびガス整流部
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP2016195214A (ja) * 2015-04-01 2016-11-17 株式会社 ワコム研究所 Mocvd装置による窒化膜を成膜する成膜方法及び成膜装置、並びにシャワーヘッド
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) * 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
CN114351117B (zh) * 2020-10-13 2022-12-20 东部超导科技(苏州)有限公司 喷淋板、配置喷淋板的mocvd反应系统及其使用方法
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3185493B2 (ja) * 1993-09-07 2001-07-09 日新電機株式会社 薄膜気相成長装置
US5976992A (en) * 1993-09-27 1999-11-02 Kabushiki Kaisha Toshiba Method of supplying excited oxygen
US5744049A (en) * 1994-07-18 1998-04-28 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same
JPH09246192A (ja) * 1996-03-05 1997-09-19 Nissin Electric Co Ltd 薄膜気相成長装置
JP4097747B2 (ja) 1997-08-07 2008-06-11 株式会社アルバック バリア膜形成方法
JP4487338B2 (ja) * 1999-08-31 2010-06-23 東京エレクトロン株式会社 成膜処理装置及び成膜処理方法
JP2004035971A (ja) 2002-07-05 2004-02-05 Ulvac Japan Ltd 薄膜製造装置

Also Published As

Publication number Publication date
JPWO2007066472A1 (ja) 2009-05-14
TW200722551A (en) 2007-06-16
US20090250004A1 (en) 2009-10-08
KR101044355B1 (ko) 2011-06-29
CN101321893B (zh) 2011-09-28
US8197599B2 (en) 2012-06-12
KR20080075111A (ko) 2008-08-14
JP4931082B2 (ja) 2012-05-16
CN101321893A (zh) 2008-12-10
DE112006003315T5 (de) 2008-10-16
WO2007066472A1 (ja) 2007-06-14

Similar Documents

Publication Publication Date Title
TWI311160B (en) Gas head and thin film manufacturing apparatus
US9466524B2 (en) Method of depositing metals using high frequency plasma
US7482283B2 (en) Thin film forming method and thin film forming device
WO2005085495A1 (ja) 成膜方法
JP6942188B2 (ja) 低温窒化ケイ素膜のための方法及び装置
US20040107897A1 (en) Atomic layer deposition apparatus and method for preventing generation of solids in exhaust path
JP2005113268A (ja) 上流下流排気機構を備えた薄膜形成装置及び方法
US20050229848A1 (en) Thin-film deposition apparatus
JP2002069651A (ja) Ald薄膜蒸着装置及び蒸着方法
US7771535B2 (en) Semiconductor manufacturing apparatus
KR20060136406A (ko) 금속 층을 형성하는 방법 및 장치
KR20070000436A (ko) 금속 층을 형성하는 방법 및 장치
JP2000212752A (ja) 反応チャンバガス流入方法及びそれに用いるシャワ―ヘッド
JP2007173824A (ja) 原子層成長及び化学気相成長による薄膜形成方法
US7699945B2 (en) Substrate treatment method and film forming method, film forming apparatus, and computer program
JP2007138295A (ja) シングルチャンバ内で異なる堆積プロセスを実行する方法およびシステム
WO2006041169A1 (ja) 基板処理装置及び半導体装置の製造方法
CN112695294A (zh) 半导体沉积反应器歧管
JP2004096060A (ja) 成膜方法
KR20070096248A (ko) 가스분리형 샤워헤드를 이용한 원자층 증착 장치
JP3968869B2 (ja) 成膜処理方法及び成膜処理装置
Haukka ALD technology-present and future challenges
JP2004277772A (ja) 処理装置
JP2004047660A (ja) 成膜装置及び成膜方法
US9236467B2 (en) Atomic layer deposition of hafnium or zirconium alloy films