KR102642370B1 - 전구체 전달 시스템 - Google Patents

전구체 전달 시스템 Download PDF

Info

Publication number
KR102642370B1
KR102642370B1 KR1020217008770A KR20217008770A KR102642370B1 KR 102642370 B1 KR102642370 B1 KR 102642370B1 KR 1020217008770 A KR1020217008770 A KR 1020217008770A KR 20217008770 A KR20217008770 A KR 20217008770A KR 102642370 B1 KR102642370 B1 KR 102642370B1
Authority
KR
South Korea
Prior art keywords
reservoir
precursor
temperature
valve
controller
Prior art date
Application number
KR1020217008770A
Other languages
English (en)
Other versions
KR20210036996A (ko
Inventor
조셉 아우부촌
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20210036996A publication Critical patent/KR20210036996A/ko
Application granted granted Critical
Publication of KR102642370B1 publication Critical patent/KR102642370B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Feeding, Discharge, Calcimining, Fusing, And Gas-Generation Devices (AREA)
  • Refuse Collection And Transfer (AREA)
  • Extrusion Moulding Of Plastics Or The Like (AREA)
  • Flow Control (AREA)

Abstract

전구체 전달 시스템이 본원에 설명된다. 일부 실시예들은, 원자 층 증착 프로세스들을 위한, 전구체를 포함하는 균일한 가스 유동을 처리 챔버 내로 제공하는 것이 가능한 전구체 전달 시스템을 제공한다. 전구체 전달 시스템의 일부 실시예들은, 유입 라인, 배출 라인을 갖는 저장소, 및 배출 밸브를 포함한다. 추가적인 실시예들은, 전구체 소스, 유입 밸브, 가열기, 처리 챔버, 및 제어기를 포함한다. 부가적인 실시예들은, 전구체 전달 시스템을 사용하기 위한 방법들에 관한 것이다.

Description

전구체 전달 시스템
본 개시내용의 실시예들은 일반적으로 전구체 전달 시스템들에 관한 것이다. 본 개시내용의 일부 실시예들은 원자 층 증착 프로세스들에서 사용하기 위한 전구체 전달 시스템에 관한 것이다.
효율적인 원자 층 증착 프로세스들은, 전구체가 비교적 짧은 시간 기간에 챔버 내로 펄싱될 것을 요구한다. 디바이스 요건들이 점점 더 작아짐에 따라, 이러한 디바이스들의 표면적이 증가한다. 그에 따라서, 완전한 포화를 위해 기판에 전달되어야 할 전구체의 양이 또한 증가한다.
부가적으로, 현재의 전달 시스템들은 종종, 시간 경과에 따라 일관된 전구체 농도들을 전달하지 못한다. 일부 경우들에서, 전달되는 펄스는 펄스의 끝에서보다 펄스의 시작에서 농도가 더 높다. 일부 경우들에서, 전구체의 농도는 프로세스의 끝에서보다 프로세스의 시작에서 더 높다. 이러한 변동들은 불규칙한 증착 및 디바이스 장애로 이어질 수 있다. 추가로, 일부 현재의 전달 시스템들은 종종, 고체 전구체들의 입자들을 함유하는 전구체 펄스들을 전달한다.
그에 따라서, 일관된 높은 농도 전구체 펄스들을 제공하는 전구체 전달 시스템들에 대한 필요성이 존재한다.
본 개시내용의 하나 이상의 실시예는, 유입 라인 및 배출 라인을 갖는 저장소, 배출 라인 상의 배출 밸브, 및 배출 밸브에 연결되는 제어기를 포함하는 전구체 전달 시스템에 관한 것이다. 제어기는, 저장소를 가압하기 위해 배출 밸브를 폐쇄하고 배출 라인을 통한 저장소로부터의 균일한 가스 유동을 제공하기 위해 배출 밸브를 개방하도록 구성된다.
본 개시내용의 부가적인 실시예들은, 전구체로 저장소를 충전하도록 제어기를 사용하는 것을 포함하는 전구체 전달의 방법에 관한 것이다. 제어기는, 유입 라인 상의 유입 밸브를 개방하고 배출 라인 상의 배출 밸브를 폐쇄하도록 구성된다. 유입 라인 및 배출 라인은 저장소와 유체 연통한다. 제어기는, 배출 라인을 통한 충전된 저장소로부터의 전구체의 유동을 제공하는 데 사용된다. 제어기는, 배출 밸브를 개방하도록 구성된다.
본 개시내용의 추가적인 실시예들은, 유입 라인 및 배출 라인을 갖는 저장소, 유입 라인과 유체 연통하는 전구체 소스, 배출 라인과 유체 연통하는 처리 챔버, 배출 라인 상의 배출 밸브, 및 배출 밸브에 연결되는 제어기를 포함하는 전구체 전달 시스템에 관한 것이다. 제어기는, 저장소를 가압하기 위해 배출 밸브를 폐쇄하고 저장소로부터 처리 챔버로의 균일한 가스 유동을 제공하기 위해 배출 밸브를 개방하도록 구성된다.
본 개시내용의 상기 언급된 특징들이 상세하게 이해될 수 있는 방식으로, 위에서 간략하게 요약된 본 개시내용의 보다 구체적인 설명이 실시예들을 참조하여 이루어질 수 있으며, 이러한 실시예들 중 일부가 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 개시내용의 단지 전형적인 실시예들을 도시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 유의되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
도 1은 본 개시내용의 일부 실시예들에 따른 전구체 전달 시스템의 개략적인 표현을 도시한다.
도 2는 본 개시내용의 하나 이상의 실시예에 따른 전구체 전달 시스템의 개략적인 표현을 도시한다.
도 3은 본 개시내용의 하나 이상의 실시예에 따른 전구체 전달 시스템의 개략적인 표현을 도시한다.
도 4a 내지 도 4d는 본 개시내용의 하나 이상의 실시예에 따른, 전구체 전달 시스템을 사용하는 방법을 도시한다.
본 개시내용의 몇몇 예시적인 실시예들을 설명하기 전에, 본 개시내용은 하기의 설명에서 기술되는 구성 또는 프로세스 단계들의 세부사항들로 제한되지 않음이 이해되어야 한다. 본 개시내용은 다른 실시예들이 가능하며, 다양한 방식들로 실시되거나 수행되는 것이 가능하다.
본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "기판"이라는 용어는 프로세스가 작용하는 표면 또는 표면의 일부분을 지칭한다. 기판에 대한 언급은 또한, 문맥상 명확히 달리 표시하지 않는 한, 기판의 일부분만을 지칭할 수 있다는 것이 관련 기술분야의 통상의 기술자들에 의해 또한 이해될 것이다. 부가적으로, 기판 상의 증착에 대한 언급은, 하나 이상의 막 또는 피쳐가 상부에 증착 또는 형성된 기판, 및 베어(bare) 기판 둘 모두를 의미할 수 있다.
본원에서 사용되는 바와 같은 "기판"은, 제조 프로세스 동안 그 위에서 막 처리가 수행되는 임의의 기판 또는 기판 상에 형성된 물질 표면을 지칭한다. 예컨대, 처리가 수행될 수 있는 기판 표면은, 응용에 따라, 규소, 산화규소, 응력가해진 규소(strained silicon), 절연체상 규소(SOI; silicon on insulator), 탄소 도핑된 산화규소들, 비정질 규소, 도핑된 규소, 게르마늄, 갈륨 비소화물, 유리, 사파이어와 같은 물질들, 및 임의의 다른 물질들, 이를테면 금속들, 금속 질화물들, 금속 합금들, 및 다른 전도성 물질들을 포함한다. 기판들은, 비-제한적으로, 반도체 웨이퍼들을 포함한다. 기판들은, 기판 표면을 연마, 식각, 환원, 산화, 히드록실화, 어닐링, UV 경화, e-빔 경화, 및/또는 베이킹하기 위해 전처리 프로세스에 노출될 수 있다. 본 개시내용에서, 기판 자체의 표면 상에 직접적으로 막 처리를 하는 것에 부가하여, 개시되는 막 처리 단계들 중 임의의 막 처리 단계는 또한, 아래에서 더 상세히 개시되는 바와 같이, 기판 상에 형성된 하부층 상에 수행될 수 있으며, "기판 표면"이라는 용어는 맥락이 나타내는 바에 따라 그러한 하부층을 포함하도록 의도된다. 따라서, 예컨대, 막/층 또는 부분적인 막/층이 기판 표면 상에 증착된 경우, 새롭게 증착된 막/층의 노출된 표면이 기판 표면이 된다.
본 개시내용의 실시예들은, 기상 증착 프로세스(예컨대, 원자 층 증착 프로세스) 동안의 전구체 전달을 위한 장치 및 방법들에 관한 것이다. 본 개시내용의 일부 실시예들은 유리하게, 종래의 전구체 전달 시스템들에 비해 더 짧은 시간 기간에 더 높은 전구체 농도들의 전달을 제공한다. 본 개시내용의 일부 실시예들은 유리하게, 처리 챔버 내로 높은 투여량의 화학물질을 버스팅하는 능력을 갖는 장치 및 방법들을 제공한다. 높은 버스트 전달 프로세스들은, 높은 표면적의 구조화된 웨이퍼들 상의 증착에 유용할 수 있다.
일부 실시예들은 유리하게, 원자 층 증착(ALD) 펄스 동안 프로세스 챔버로 전달되는 전구체의 양에 대한 더 큰 제어를 제공한다. 본 개시내용의 일부 실시예들은 유리하게, 기판 처리 방법 동안 시간 경과에 따라 더 일관된 전구체 농도들의 전달을 제공한다. 본 개시내용의 일부 실시예들은 유리하게, 더 적은 고체 입자들을 갖는 전구체의 전달 및 결과적인 막들에서의 더 낮은 수준들의 입자 동반(entrainment)을 제공한다.
본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "전구체", "반응물", "반응성 가스" 등의 용어들은, 기판 표면과 반응할 수 있는 임의의 가스상 종을 지칭하기 위해 상호교환가능하게 사용된다.
본원에서 사용되는 바와 같은 "원자 층 증착" 또는 "주기적 증착"은, 기판 표면 상에 물질의 층을 증착하기 위한, 2개 이상의 반응성 화합물의 순차적 노출을 지칭한다. 기판 또는 기판의 부분이, 처리 챔버의 반응 구역 내에 도입되는 2개 이상의 반응성 화합물에 개별적으로 노출된다. 시간-도메인 ALD 프로세스에서, 각각의 반응성 화합물에 대한 노출은, 시간 지연에 의해 분리되어 각각의 화합물이 기판 표면 상에 부착되고/거나 그와 반응된 다음 처리 챔버로부터 퍼징되는 것이 허용된다. 이러한 반응성 화합물들은 기판에 순차적으로 노출된다고 일컬어진다. 공간적 ALD 프로세스에서, 기판 표면의 상이한 부분들은, 기판 상의 어떠한 주어진 지점도 하나 초과의 반응성 화합물에 동시에 노출되지 않도록 2개 이상의 반응성 화합물에 동시에 노출된다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, 이와 관련하여 사용되는 "실질적으로"라는 용어는, 관련 기술분야의 통상의 기술자들에 의해 이해될 바와 같이, 기판의 작은 부분이 확산으로 인해 다수의 반응성 가스들에 동시에 노출될 수도 있는 가능성이 존재하고, 그 동시의 노출은 의도치 않은 것임을 의미한다.
시간-도메인 ALD 프로세스의 일 양상에서, 제1 반응성 가스(즉, 제1 전구체 또는 화합물 A)가 반응 구역 내로 펄싱되고 이어서 제1 시간 지연이 후속된다. 다음으로, 제2 반응성 가스(즉, 제2 전구체 또는 화합물 B)가 반응 구역 내로 펄싱되고 이어서 제2 지연이 후속된다. 각각의 시간 지연 동안, 아르곤 또는 헬륨과 같은 퍼지 가스가 처리 챔버 내로 도입되어 반응 구역을 퍼징하거나, 그렇지 않으면, 임의의 잔류 반응성 화합물 또는 반응 부산물들을 반응 구역으로부터 제거한다. 대안적으로, 퍼지 가스는, 반응성 화합물들의 펄스들 사이의 시간 지연 동안 퍼지 가스만이 유동하도록, 증착 프로세스 전체에 결쳐 연속적으로 유동할 수 있다. 반응성 가스들은, 원하는 막 또는 막 두께가 기판 표면 상에 형성될 때까지 교번적으로 펄싱된다. 어느 시나리오에서든, 화합물 A, 퍼지 가스, 화합물 B, 및 퍼지 가스를 펄싱하는 ALD 프로세스가 한 사이클로 지칭된다. 사이클은, 화합물 A 또는 화합물 B로 시작되어 미리 결정된 두께를 갖는 막이 달성될 때까지 사이클의 개개의 순서가 계속될 수 있다.
본원에서 사용되는 바와 같은 "펄스" 및 "펄싱" 또는 "투여"는, 프로세스 챔버 내로 간헐적으로 또는 비-연속적으로 도입되는 일정 양의 소스 가스를 지칭하도록 의도된다. 각각의 펄스 내의 특정 화합물의 양은, 펄스의 지속기간에 따라 시간 경과에 따라서 변할 수 있다. 특정 프로세스 가스는 단일 화합물 또는 2개 이상의 화합물의 혼합물/조합물을 포함할 수 있다.
각각의 펄스/투여에 대한 지속기간들은 가변적이고, 예컨대, 처리 챔버의 용적 용량뿐만 아니라 그에 결합된 진공 시스템의 능력들을 수용하도록 조정될 수 있다. 부가적으로, 프로세스 가스의 투여 시간은 프로세스 가스의 유량, 프로세스 가스의 온도, 제어 밸브의 유형, 이용되는 프로세스 챔버의 유형뿐만 아니라, 기판 표면 상에 흡착되기 위한 프로세스 가스의 성분들의 능력에 따라 변할 수 있다. 투여 시간들은 또한, 형성되는 층의 유형 및 형성되는 디바이스의 기하학적 구조에 기반하여 변할 수 있다. 투여 시간은, 실질적으로 기판의 전체 표면 상에 흡착/화학 흡착되기에 충분한 화합물의 용적을 제공하고 기판 상에 프로세스 가스 성분의 층을 형성할 만큼 충분히 길어야 한다.
일부 실시예들에서, 반응물은 불활성, 희석 및/또는 캐리어 가스를 포함한다. 불활성, 희석 및/또는 캐리어 가스는 반응성 종들과 혼합될 수 있고, 펄싱되거나 일정한 유동을 가질 수 있다. 일부 실시예들에서, 캐리어 가스는 약 1 내지 약 20000 sccm의 범위 내의 일정한 유동으로 처리 챔버 내로 유동된다. 캐리어 가스는 막 증착에 간섭하지 않는 임의의 가스일 수 있다. 예컨대, 캐리어 가스는, 아르곤, 헬륨, 질소, 네온 등, 또는 이들의 조합물들 중 하나 이상을 포함할 수 있다. 하나 이상의 실시예에서, 캐리어 가스는 저장소 내로 유동되기 전에 반응성 종들과 혼합된다.
도 1을 참조하면, 본 개시내용의 일부 실시예들은 전구체 전달 시스템(100)에 관한 것이다. 전구체 전달 시스템(100)은, 유입 라인(120) 및 배출 라인(130)을 갖는 저장소(110)를 포함한다. 전구체 전달 시스템(100)은, 배출 라인(130) 상의 배출 밸브(135)를 더 포함한다. 일부 실시예들에서, 전구체 전달 시스템(100)은, 배출 밸브(135)에 연결되는 제어기(150)를 더 포함한다. 일부 실시예들에서, 제어기(150)는, 저장소(110)를 가압하기 위해 배출 밸브(135)를 폐쇄하고, 저장소(110)로부터 배출 라인(130)을 통한 하류 구성요소로의, 예컨대, 처리 챔버(180)로의 균일한 가스 유동을 제공하기 위해 배출 밸브(135)를 개방하도록 구성된다.
일부 실시예들에서, 전구체를 포함하는 캐리어 가스가 유입 라인(120)을 통해 저장소(110)로 전달된다. 일부 실시예들에서, 전구체를 포함하는 캐리어 가스는, 배출 밸브(135)가 폐쇄될 때 저장소(110)를 미리 결정된 압력으로 가압한다.
일부 실시예들에서, 전구체 전달 시스템(100)은, 유입 라인(120) 상의 유입 밸브(125)를 더 포함한다. 일부 실시예들에서, 제어기(150)는 유입 밸브(125)에 연결되고, 유입 밸브(125)를 개방 및 폐쇄하여 저장소(110)를 미리 결정된 압력으로 충전하도록 구성된다. 예시되진 않지만, 제어기(150)는, 통상의 기술자에게 알려져 있는 연결 유형을 사용하여 구성요소들 중 임의의 구성요소에 연결될 수 있다.
일부 실시예들에서, 유입 밸브(125)가 개방되어 저장소(110)를 미리 결정된 압력으로 충전한다. 저장소(110) 내의 압력은, 통상의 기술자에게 알려져 있는 임의의 압력 측정 디바이스(예컨대, 압력 게이지(115))를 사용하여 측정될 수 있다. 일부 실시예들에서, 일단 저장소(110)가 미리 결정된 압력에 도달하면 유입 밸브(125)가 폐쇄된다. 압력 게이지(115) 또는 다른 압력 측정 디바이스는 도 1에 예시된 바와 같이 저장소(110)의 내부 용적 내에 위치할 수 있거나, 유입 라인(120) 또는 배출 라인(130)을 따라 위치할 수 있다. 일부 실시예들에서, 하나 이상의 압력 측정 디바이스는 유입 라인(120), 배출 라인(130), 또는 저장소(110) 중 하나 이상에 위치한다.
일부 실시예들에서, 유입 밸브(125)는 배출 밸브(135)가 개방될 때에는 언제나 폐쇄된다. 일부 실시예들에서, 유입 밸브(125)는 배출 밸브(135)가 폐쇄될 때에는 언제나 개방된다. 이론에 의해 얽매임이 없이, 임의의 주어진 시간에 유입 밸브(125) 및 배출 밸브(135) 중 하나만이 개방되도록 허용하는 것은, 고체 전구체 입자들이 전구체 앰풀로부터 처리 챔버 내로 직접 유동하는 것을 방지하는 것으로 여겨진다. 일부 실시예들에서, 제어기(150)는, 개방된 유입 밸브(125) 또는 개방된 배출 밸브(135) 중 하나를 유입 밸브(125) 및 배출 밸브(135) 중 나머지 하나를 개방하기 전에 폐쇄하도록 구성된다.
일부 실시예들에서, 배출 밸브(135)는 고속 펄싱 밸브(고속 전환 밸브 또는 고속 밸브로 또한 지칭됨)이고, 저장소(110)와 배출 밸브(135) 사이에 오리피스(132)가 있다. 일부 실시예들에서, 고속 펄싱 밸브는 50 밀리초 내에 개방 및/또는 폐쇄되도록 구성된다. 일부 실시예들에서, 고속 펄싱 밸브는 40 밀리초, 30 밀리초, 20 밀리초, 또는 10 밀리초 내에 개방 및/또는 폐쇄되도록 구성된다. 일부 실시예들에서, 고속 펄싱 밸브는 50 밀리초, 40 밀리초, 30 밀리초, 20 밀리초, 또는 10 밀리초 내에 개방 및 폐쇄될 수 있다. 일부 실시예들에서, 고속 펄싱 밸브는, 완전히 개방되거나 완전히 폐쇄되는 밸브이다. 일부 실시예들에서, 고속 펄싱 밸브는, 밸브를 통한 유동 프로파일의 조절을 허용할 수 있는 가변 개방 밸브이다. 일부 원자 층 증착(ALD) 프로세스 실시예들에서, 배출 밸브는, 챔버로의 가스의 전달을 제어하는 데 사용되는 고속 전환 밸브이고, 유입 밸브는, ALD 사이클의 시간 척도로 동작할 수 있는, 통상의 기술자에게 친숙할 임의의 밸브이다.
오리피스(132)는, 배출 라인(130)을 통한 유동을 제약하는 임의의 적합한 오리피스일 수 있다. 오리피스 크기는, 예컨대, 저장소 및 오리피스를 통해 유동하는 특정 가스, 저장소의 동작 압력, 및/또는 오리피스를 통한 가스의 유량에 의존한다. 일부 실시예들의 오리피스는, 그를 통해 연장되는 정밀한 애퍼쳐를 갖는 디스크 형상 구성요소이다. 일부 실시예들에서, 오리피스는, 약 100 ㎛ 내지 약 1500 ㎛의 범위 내의 크기를 갖는다. 일부 실시예들에서, 오리피스는 갖는다.
일부 실시예들에서, 제어기(150)는, 저장소 압력을 25 % 초과, 20 % 초과, 15 % 초과, 10 % 초과, 5 % 초과, 2 % 초과, 또는 1 % 초과로 감소시킴이 없이 저장소(110)로부터의 균일한 가스 유동을 제공하기에 충분한 압력으로 저장소(110)를 가압하도록 구성된다. 일부 실시예들에서, 저장소 압력은, 증착 프로세스의 과정 동안 저장소로부터의 균일한 가스 유동이 제공되기에 충분하도록 이루어진다. 일부 실시예들에서, 저장소 압력은, 전구체 전달 펄스의 길이에 걸쳐 저장소로부터의 균일한 가스 유동이 제공되기에 충분하도록 이루어진다.
이론에 의해 얽매임이 없이, 저장소가 높은 압력으로 유지되는 경우, 배출 밸브(135)가 개방될 때 제공되는 가스의 유동은 균일할 것으로 여겨진다. 이와 관련하여 사용되는 바와 같이, "균일한 가스 유동"은, 펄스의 시작으로부터 펄스의 끝까지 약 5 % 이하, 약 2 % 이하, 약 1 % 이하, 또는 약 0.5 % 이하로 변하는 유량을 갖는다.
제어기(150)는, 배출 밸브(135), 유입 밸브(125), 및/또는 가열기(160) 중 하나 이상에 결합된다. 일부 실시예들에서, 개별 부분들에 연결되는 하나 초과의 제어기(150)가 존재하며, 일차 제어 프로세서가 별개의 프로세서들 각각에 결합되어 전구체 전달 시스템(100)을 제어한다. 제어기(150)는, 다양한 챔버들 및 서브-프로세서들을 제어하기 위해 산업 현장에서 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서, 마이크로제어기, 마이크로프로세서 등 중 하나일 수 있다.
일부 실시예들의 제어기(150)는, 프로세서(152), 프로세서(152)에 결합되는 메모리(154), 프로세서(152)에 결합되는 입력/출력 디바이스들(156), 및 상이한 전자 및 물리적 구성요소들 사이의 통신을 위한 지원 회로들(158)을 포함한다. 메모리(154)는, 일시적인 메모리(예컨대, 랜덤 액세스 메모리) 및 비-일시적인 메모리(예컨대, 저장소) 중 하나 이상을 포함할 수 있다.
프로세서의 메모리(154) 또는 컴퓨터 판독가능 매체는, 랜덤 액세스 메모리(RAM), 판독 전용 메모리(ROM), 플로피 디스크, 하드 디스크, 또는 로컬 또는 원격의, 임의의 다른 형태의 디지털 저장소와 같은, 용이하게 이용가능한 메모리 중 하나 이상일 수 있다. 메모리(154)는, 전구체 전달 시스템(100)의 파라미터들 및 구성요소들을 제어하도록 프로세서(152)에 의해 동작가능한 명령어 세트를 보유할 수 있다. 통상적인 방식으로 프로세서를 지원하기 위해 지원 회로들(158)이 프로세서(152)에 결합된다. 회로들은, 예컨대, 캐시, 전력 공급부들, 클록 회로들, 입력/출력 회로, 서브시스템들 등을 포함할 수 있다.
프로세스들은 일반적으로, 프로세서에 의해 실행될 때, 프로세스 챔버로 하여금 본 개시내용의 프로세스들을 수행하게 하는 소프트웨어 루틴으로서 메모리에 저장될 수 있다. 소프트웨어 루틴은 또한, 프로세서에 의해 제어되는 하드웨어로부터 원격으로 위치되는 제2 프로세서(도시되지 않음)에 의해 저장 및/또는 실행될 수 있다. 본 개시내용의 방법 중 일부 또는 전부는 또한 하드웨어로 수행될 수 있다. 그러므로, 프로세스는 소프트웨어로 구현될 수 있고, 컴퓨터 시스템을 사용하여 하드웨어로, 예컨대 주문형 집적 회로 또는 다른 유형의 하드웨어 구현으로서, 또는 소프트웨어와 하드웨어의 조합으로서 실행될 수 있다. 소프트웨어 루틴은, 프로세서에 의해 실행될 때, 범용 컴퓨터를, 프로세스들이 수행되도록 전구체 전달 시스템의 동작을 제어하는 특수 목적 컴퓨터(제어기)로 변환한다.
일부 실시예들에서, 제어기(150)는, 방법을 수행하도록 개별 프로세스들 또는 서브-프로세스들을 실행하기 위한 하나 이상의 구성을 갖는다. 제어기(150)는, 중간 구성요소들에 연결되어 방법들의 기능들을 수행하게 그들을 동작시키도록 구성될 수 있다. 예컨대, 제어기(150)는, 가스 밸브들, 액추에이터들, 모터들, 가열기들 등 중 하나 이상에 연결되어 그들을 제어하도록 구성될 수 있다.
일부 실시예들의 제어기(150)는, 배출 밸브를 개방하기 위한 구성, 배출 밸브를 폐쇄하기 위한 구성, 유입 밸브를 개방하기 위한 구성, 유입 밸브를 폐쇄하기 위한 구성, 및 가열기(160)를 제어하기 위한 구성으로부터 선택되는 하나 이상의 구성을 갖는다.
일부 실시예들에서, 제어기(150)는, 가열기(160)에 연결되어 저장소(110)를 미리 결정된 온도로 유지한다. 일부 실시예들에서, 미리 결정된 온도는 전구체의 응축을 방지할 만큼 충분히 높다.
일부 실시예들에서, 전구체 전달 시스템(100)은, 유입 라인(120)과 유체 연통하는 전구체 소스(170)를 포함한다. 일부 실시예들에서, 전구체 소스(170)는 저장소(110)의 미리 결정된 온도 미만의 온도로 유지된다. 일부 실시예들에서, 전구체 소스(170)의 온도는 전구체 소스(170) 내에 전구체의 증기압을 제공할 만큼 충분히 높다. 일부 실시예들에서, 저장소(110)의 미리 결정된 온도는 저장소 내의 전구체의 응축을 방지할 만큼 충분히 높다.
도 2를 참조하고 일부 실시예들에 따르면, 시스템의 온도는 적어도 3개의 위치에서 제어된다. 일부 실시예들에서, 전구체 소스(170)(예컨대, 앰풀)의 최하부는 제1 온도(171)로 유지되고, 전구체 소스(170)의 최상부는 제1 온도보다 높은 제2 온도(172)로 유지되고, 저장소(110), 및 유입 라인(120) 및 배출 라인(130)의 부분들은 제1 온도 및 제2 온도보다 높은 제3 온도(173)로 유지된다. 임의의 특정 동작 이론에 의해 얽매임이 없이, 제1 온도(171)의 전구체 소스(170)의 최하부보다 더 높은 온도(제2 온도(172))의 전구체 소스의 최상부를 갖는 것은, 기상 전구체가 액체 또는 고체 전구체로 응축되거나 재침착되는 것을 방지하는 데 도움이 되는 것으로 여겨진다. 부가적으로, 제2 온도보다 높은 제3 온도를 유지하는 것은 유입 라인(120), 저장소(110), 또는 배출 라인(130) 내에서의 응축 또는 침착의 가능성을 더 감소시킬 것으로 여겨진다. 일부 실시예들에서, 제2 온도와 제1 온도 사이 및/또는 제3 온도와 제2 온도 사이의 온도 구배는, 전구체 소스의 최하부를 제외하고는 응축된 상의 전구체가 무시가능한 양으로 축적되거나 전혀 축적되지 않음을 보장하기에 충분하다. 일부 실시예들에서, 제2 온도(172)는 제1 온도(171)보다 적어도 약 1 ℃, 2 ℃, 3 ℃, 4 ℃, 5 ℃, 6 ℃, 7 ℃, 8 ℃, 9 ℃, 10 ℃, 11 ℃, 12 ℃, 13 ℃, 14 ℃, 15 ℃, 16 ℃, 17 ℃, 18 ℃, 19 ℃, 또는 20 ℃ 더 높다. 일부 실시예들에서, 제3 온도(173)는 제2 온도(172)보다 적어도 약 1 ℃, 2 ℃, 3 ℃, 4 ℃, 5 ℃, 6 ℃, 7 ℃, 8 ℃, 9 ℃, 10 ℃, 11 ℃, 12 ℃, 13 ℃, 14 ℃, 15 ℃, 16 ℃, 17 ℃, 18 ℃, 19 ℃, 또는 20 ℃ 더 높다. 일부 실시예들에서, 가열기들이 구축 및 설치되는 방식에 대한 제한들은, 편차들 내에서 충분한 온도 구배들이 존재하는 것을 보장하도록 온도 설정점 차이들을 증가시킨다. 일부 실시예들에서, 전구체 소스의 최상부에 인접한 가열기(주로 제2 온도를 제어함)의 온도 설정점은 전구체 소스의 최하부에 인접한 가열기(주로 제1 온도를 제어함)보다 약 5 ℃ 내지 약 15 ℃ 높은 범위 내에 있다. 가열기들의 설정점들은, 일부 실시예들에서, 화학물질이 전구체 소스 내에서 응축된 상으로 점유하고 있는 용적에 의존한다.
일부 실시예들에서, 전구체 소스(170)는 설비 가스 라인 또는 전구체 앰풀 중 하나 이상을 포함한다. 일부 실시예들에서, 설비 가스 라인은 캐리어 가스 없이 전구체를 제공한다. 일부 실시예들에서, 전구체 앰풀은, 전구체 앰풀 내에 기화된 전구체를 제공하기 위해 전구체를 가열함으로써 실온에서 고체 또는 액체인 전구체를 제공한다. 기화된 전구체는 캐리어 가스에 의해 저장소 내로 운반된다. 도 1을 참조하면, 전구체 소스(170)의 일부 실시예들은 소스 유입 밸브(178)를 갖는 소스 유입 라인(177)을 포함한다. 일부 실시예들의 소스 유입 라인(177)은 캐리어 가스를 전구체 소스(170) 내로 유동시키는 데 사용된다. 일부 실시예들의 소스 유입 밸브(178)는, 가스가 소스 유입 라인(177)을 통해 전구체 소스(170)에 들어가거나 그를 벗어나는 것을 방지하기 위한, 전구체 소스(170)의 격리를 허용한다.
일부 실시예들에서, 전구체 전달 시스템(100)은, 배출 라인(130)과 유체 연통하는 처리 챔버(180)를 포함한다. 일부 실시예들에서, 제어기(150)는, 저장소(110)로부터 처리 챔버(180)로 균일한 가스 유동을 제공하도록 배출 밸브(135)를 개방하게 구성된다.
도 3을 참조하면, 일부 실시예들에서, 전구체 전달 시스템(100)은 복수의 유입 라인들(120a, 120b, 120c, 120d)을 포함하며, 각각의 유입 라인(120a, 120b, 120c, 120d)은 유입 밸브(125a, 125b, 125c, 125d)를 갖는다. 도 3에 예시된 실시예는, 저장소(110)가 하나 또는 하나 초과의 상이한 전구체로 충전되는 것을 허용한다. 각각의 전구체 소스(170a, 170b, 170c, 170d)는 동일한 전구체 또는 상이한 전구체들을 갖는다. 예컨대, 각각의 전구체 소스(170a, 170b, 170c, 170d)는, 유입 라인(120)을 통해 동일한 저장소(110) 내로 유동하는 동일한 전구체를 가질 수 있다. 일부 실시예들에서, 저장소는 여러 전구체 소스들(170a, 170b, 170c, 170d)로부터의 전구체로 충전될 수 있다. 이론에 의해 얽매임이 없이, 다수의 전구체 소스들의 사용은 저장소 내의 더 높은 농도들의 전구체를 허용하는 것으로 여겨진다. 이는, 낮은 증기압을 갖는 전구체들에 대해 특히 주목할 만할 것으로 예상된다.
일부 실시예들의 전구체 소스들(170a, 170b, 170c, 170d)은, 도 3에 도시된 바와 같이, 유입 라인(120)에 연결되는 유입 매니폴드(129)를 통해 저장소(110)에 연결된다. 일부 실시예들에서, 전구체 소스들(170a, 170b, 170c, 170d) 각각은 별개의 유입 라인들(예시되지 않음)을 통해 저장소에 연결되어 그와 유체 연통한다. 유입 라인(120)은, 유입 매니폴드(129)를 저장소(110) 내부로부터 격리시키기 위해 유입 매니폴드 밸브(111)를 포함할 수 있다. 일부 실시예들의 유입 밸브들(125a, 125b, 125c, 125d)은 개별 전구체 소스를 유입 매니폴드(129)에 연결하도록 독립적으로 제어된다. 이러한 배열은, 예컨대, 증착 프로세스를 중단함이 없이 전구체 소스들 중 하나의 교체를 허용할 수 있다. 일부 실시예들의 제어기는, 매니폴드에 연결된 전구체 소스들의 개수의 변경들을 보상하기 위해 개별 전구체 소스들에 대한 유입 밸브들의 압력, 유량, 및/또는 개방/폐쇄 시간들을 조정하도록 구성된다.
예시된 실시예에서, 배출 밸브들은 전구체 소스와 매니폴드 사이에 위치하고 저장소(110)를 충전하도록 독립적으로(또는 함께) 제어된다. 통상의 기술자는, 유입 밸브가, 유입 밸브들(125a, 125b, 125c, 125d)과 유입 매니폴드 밸브(111)의 기능들 및 제어가 뒤바뀌도록 유입 매니폴드(129)와 저장소(110) 사이에 위치할 수 있다는 것을 인지할 것이다. 예컨대, 예시된 유입 밸브들은 전구체 소스를 유입 매니폴드(129)에 연결하는 역할을 할 수 있고, 유입 매니폴드 밸브는, 도 1의 유입 밸브(125)가 동작하는 바와 같이 저장소를 충전하기 위한 제어 밸브로서 동작한다.
일부 실시예들에서, 전구체 소스들(170a, 170b, 170c, 170d) 각각은 캐리어 가스 소스에 연결된다. 예시된 실시예에서, 각각의 전구체 소스는 캐리어 매니폴드(179)를 통해 동일한 캐리어 가스 소스에 그리고 캐리어 유입 밸브들(178a, 178b, 178c, 178d)을 통해 캐리어 유입 라인들(177a, 177b, 177c, 177d)에 연결된다. 이는, 단일 캐리어 가스 소스(예컨대, 하우스 가스 라인)가 전구체 소스들 각각을 통한 가스 유동에 대한 독립적인 제어를 허용하면서 모든 전구체 소스들에 동시에 캐리어 가스를 제공하는 것을 허용한다. 일부 실시예들에서, 전구체 소스들 각각은 별개의 캐리어 가스 소스들에 연결되고, 독립적으로 제어될 수 있다.
일부 실시예들에서, 전구체 전달 시스템(100)은, 배출 매니폴드(139)를 통해 배출 라인(130)에 연결되어 그와 유체 연통하는 복수의 배출 라인들(130a, 130b, 130c, 130d)을 포함한다. 일부 실시예들의 배출 라인들(130a, 130b, 130c, 130d) 각각은, 예시된 바와 같이, 배출 밸브(135a, 135b, 135c, 135d)를 갖는다. 일부 실시예들의 배출 라인들(130a, 130b, 130c, 130d) 각각은, 하나 이상의 처리 챔버(180a, 180b, 180c, 180d)에 연결된다. 달리 언급하면, 일부 실시예들에서, 저장소(110)는 다수의 처리 챔버들(180a, 180b, 180c, 180d)에 유체유동가능하게(fluidly) 연결될 수 있다. 일부 실시예들에서, 도 3에 도시된 바와 같이, 각각의 배출 라인(130a, 130b, 130c, 130d)은, 도 1과 관련하여 설명된 바와 같이, 오리피스(132a, 132b, 132c, 132d)를 포함한다. 이론에 의해 얽매임이 없이, 충전된 저장소(110)는 전구체를 다수의 처리 챔버들에 제공함으로써 더 큰 처리량을 허용할 수 있는 것으로 여겨진다.
일부 실시예들에서, 저장소(110)는, 처리 챔버(180)의 압력 이상인 미리 결정된 압력으로 가압된다. 일부 실시예들에서, 저장소(110)는, 처리 챔버(180)의 압력의 약 2 배, 약 3 배, 약 4 배, 약 5 배, 약 10 배, 약 15 배, 약 20 배, 약 25 배, 약 30 배, 약 40 배, 약 50 배, 약 100 배, 약 125 배, 약 150 배, 약 175 배, 약 200 배, 약 250 배, 약 300 배, 약 400 배, 약 500 배, 약 750 배, 또는 약 1000 배인 압력을 갖는다. 일부 실시예들에서, 저장소 대 처리 챔버 내의 프로세스 공동의 압력 비는, 저장소(110)와 처리 챔버(180) 내의 프로세스 공동 사이의 용적들의 비, 및 프로세스 유량에 의존한다. 일부 실시예들에서, 저장소의 압력은, 프로세스 챔버 압력의 약 50 배 내지 약 1000 배의 범위, 약 75 배 내지 약 500 배의 범위, 또는 약 100 배 내지 약 200 배의 범위 내로 유지된다. 프로세스 챔버 내의 압력의 100 배 내지 약 200 배이다.
저장소(110)의 용적은, 처리 챔버(180) 내의 프로세스 공동의 용적보다 작은, 그와 동일한, 또는 그보다 큰 임의의 적합한 용적일 수 있다. 일부 실시예들에서, 저장소(110)는 프로세스 공동보다 작은 용적을 갖는다. 일부 실시예들에서, 저장소(110)는 프로세스 공동의 용적과 거의 동일한 용적(±10 %)을 갖는다. 일부 실시예들에서, 저장소(110)의 용적은 처리 챔버(180)의 용적보다 크다. 예컨대, 작은 농도의 전구체가 높은 캐리어/퍼지 가스 유동으로 전달되는 프로세스에서, 저장소 용적은 프로세스 공동 용적보다 크다. 일부 실시예들에서, 저장소(110)는, 처리 챔버(180)의 용적의 약 1.2 배, 약 1.5 배, 약 1.75 배, 약 2 배, 약 3 배, 약 4 배, 약 5 배, 약 7 배, 약 10 배, 약 15 배, 또는 약 20 배인 용적을 갖는다. 일부 실시예들에서, 저장소 용적은, 각각의 펄스가 챔버 내의 프로세스 공동 용적의 작은 분율이기에 충분하도록 이루어진다.
이론에 의해 얽매임이 없이, 저장소(110)가 처리 챔버(180)보다 큰 압력을 가질 때, 배출 밸브가 개방될 때 전달되는 전구체의 펄스는 일관되게 높은 압력을 가질 것으로 여겨지는데, 그 이유는, 저장소(110)의 압력 강하가 크지 않기 때문이다. 이와 관련하여 사용되는 바와 같이, 크지 않은 압력 강하는, 배출 밸브가 개방되기 전의 미리 결정된 저장소 압력의 약 25 % 이하, 약 20 % 이하, 약 15 % 이하, 약 10 % 이하, 약 5 % 이하, 약 2 % 이하, 또는 약 1 % 이하이다.
본 개시내용의 일부 실시예들은, 전구체 또는 반응성 가스를 예컨대 처리 챔버에 전달하기 위한 방법들에 관한 것이다. 일부 실시예들에서, 본 개시내용의 방법들은 본원에 설명된 바와 같은 전구체 전달 시스템을 활용한다. 본 개시내용의 일부 실시예들에 따른 방법들은, 전구체로 저장소(110)를 충전하도록 제어기(150)를 사용하는 것을 포함한다. 제어기(150)는, 유입 라인(120) 상의 유입 밸브(125)를 개방하고 배출 라인(130) 상의 배출 밸브(135)를 폐쇄하도록 구성된다. 유입 라인(120) 및 배출 라인(130)은 저장소(110)와 유체 연통한다. 제어기(150)는, 배출 라인(130)을 통한 충전된 저장소(110)로부터의 전구체의 유동을 제공하는 데 사용된다. 제어기는, 배출 밸브(135)를 개방하도록 구성된다.
도 4a 내지 도 4d는 본 개시내용의 하나 이상의 실시예에 따른 전구체 전달 시스템(100)의 동작을 예시한다. 도 4a는 웨이퍼 처리 전의 전구체 전달 시스템(100)의 실시예를 예시한다. 유입 밸브(125)는 개방되고, 전구체 소스(170), 유입 라인(120), 및 저장소(110)의 밀봉된 시스템을 유지하기 위해 배출 밸브(135)는 폐쇄된다. 앰풀(전구체 소스(170)) 헤드스페이스는 저장소(110)에 대해 개방되며, 여기서, 조합된 용적은 포화된 전구체 증기 혼합물로 채워진다.
도 4b는 증착 프로세스 동안의 전구체 전달 시스템(100)의 실시예를 예시한다. 전구체 소스(170)를 격리시키고 저장소(110)를 전구체 소스(170)로부터 격리시키기 위해 유입 밸브(125) 및 밸브(178)가 폐쇄되며, 이에 따라, 전구체 소스(170) 또는 저장소(110) 내로의 어떠한 가스 유동도 존재하지 않는다. 저장소(110) 내의 증기 혼합물이 배출 라인(130), 오리피스(132), 및 배출 밸브(135)를 통해 처리 챔버(180)로 유동하는 것을 허용하기 위해 배출 밸브(135)가 프로세스 챔버에 대해 개방된다. 일부 실시예들에서, 아르곤(또는 다른 불활성 가스)이 오리피스(132) 및 배출 밸브(135) 하류의 배출 라인(130)을 통해 처리 챔버(180) 내로 공동-유동된다. 일부 실시예들에서, 저장소(110)로부터의 유량은 증착 전반에 걸쳐 비교적 높게 유지되는데, 그 이유는, 저장소(110)가 프로세스 챔버(180)보다 높은 압력으로 충전되기 때문이다.
도 4c는 증착 프로세스 후의 전구체 전달 시스템(100)의 실시예를 예시한다. 저장소(110)를 처리 챔버(180)로부터 격리시키기 위해 배출 밸브(135)가 폐쇄된다. 유입 라인(120)을 통한 전구체 소스(170)와 저장소(110) 사이의 유체 연통을 허용하기 위해 유입 밸브(125)가 개방된다. 아르곤(또는 다른 불활성/캐리어 가스)이 전구체 소스(170) 내로 유동하여 전구체 소스(170) 및 저장소(110) 둘 모두를 가압하는 것을 허용하기 위해 밸브(178)가 개방된다. 시스템에서의 증기 혼합물은 상이한 증착 단계들 사이의 시간 동안 포화되는 것이 허용된다.
도 4d는 긴 처리 챔버 유휴상태 기간들 동안의 전구체 전달 시스템(100)의 실시예를 예시한다. 전구체 소스(170)를 격리시키기 위해 유입 밸브(125) 및 밸브(178)가 폐쇄된다. 저장소(110)가 앰풀 우회 라인(193)을 통해 배출 펌핑(pumped out) 및 퍼지되어 배기되는 것을 허용하기 위해 배출 밸브(135)가 개방된다. 앰풀 우회 라인(193)은 도 4d에 도시되고, 도면들의 설명 및 이해의 용이성을 위해 다른 도면들에서는 생략된다. 통상의 기술자는 우회 라인 및 우회 밸브 구성들을 인지할 것이고, 사용될 수 있는 다른 구성들을 용이하게 이해할 것이다.
일부 실시예들에서, 전구체는 저장소(110)에 연속적으로 제공된다. 일부 실시예들에서, 제어기(150)는, 배출 밸브(135)가 개방인 동안 유입 밸브(125)를 폐쇄하도록 구성된다. 일부 실시예들에서, 방법은, 배출 밸브(135)를 개방하는 것 및 폐쇄하는 것을 반복하는 것을 더 포함한다. 일부 실시예들에서, 저장소(110)는 약 25 % 미만의 듀티 사이클을 제공하도록 충분히 충전된다. 일부 실시예들에서, 제어기(150)는, 25 % 초과로 저장소 압력을 감소시킴이 없이 저장소로부터의 균일한 가스 유동을 제공하기에 충분한 압력으로 저장소(110)를 충전하도록 구성된다.
본 명세서 전반에 걸쳐 "일 실시예", "특정 실시예들", "하나 이상의 실시예" 또는 "실시예"에 대한 언급은, 실시예와 관련하여 설명되는 특정 특징, 구조, 물질, 또는 특성이 본 개시내용의 적어도 하나의 실시예에 포함된다는 것을 의미한다. 따라서, 본 명세서 전반에 걸쳐 다양한 위치들에서의 "하나 이상의 실시예에서", "특정 실시예들에서", "일 실시예에서" 또는 "실시예에서"와 같은 문구들의 출현들이 반드시 본 개시내용의 동일한 실시예를 지칭하는 것은 아니다. 또한, 특정 특징들, 구조들, 물질들, 또는 특성들은 하나 이상의 실시예에서 임의의 적합한 방식으로 조합될 수 있다.
본원에서의 개시내용이 특정 실시예들을 참조하여 설명되었지만, 관련 기술분야의 통상의 기술자들은, 설명된 실시예들이 단지 본 개시내용의 원리들 및 응용들을 예시하는 것임을 이해할 것이다. 본 개시내용의 사상 및 범위를 벗어나지 않으면서 본 개시내용의 방법 및 장치에 대해 다양한 수정들 및 변형들이 이루어질 수 있다는 것이 관련 기술분야의 통상의 기술자들에게 명백할 것이다. 따라서, 본 개시내용은 첨부된 청구항들 및 그 등가물들의 범위 내에 있는 수정들 및 변형들을 포함할 수 있다.

Claims (15)

  1. 전구체 전달 시스템으로서,
    앰풀을 포함하고 유입 라인과 유체 연통하는 전구체 소스;
    상기 유입 라인 및 배출 라인을 갖는 저장소;
    상기 배출 라인 상의 배출 밸브; 및
    상기 배출 밸브에 연결되는 제어기를 포함하며, 상기 제어기는, 상기 저장소를 미리 결정된 압력으로 가압하기 위해 상기 배출 밸브를 폐쇄하고 상기 배출 라인을 통한 상기 저장소로부터의 균일한 가스 유동을 제공하기 위해 상기 배출 밸브를 개방하도록 구성되고,
    상기 전구체 소스의 최하부는 제1 온도로 유지되고, 상기 전구체 소스의 최상부는 상기 제1 온도보다 높은 제2 온도로 유지되며, 상기 저장소는 상기 제2 온도보다 높은 제3 온도로 유지되고, 상기 제2 온도 및 상기 제3 온도는 전구체의 응축 온도보다 높게 설정되는, 전구체 전달 시스템.
  2. 제1항에 있어서,
    상기 유입 라인 상의 유입 밸브를 더 포함하며, 상기 제어기는, 상기 유입 밸브에 연결되고, 상기 저장소를 미리 결정된 압력으로 충전하도록 상기 유입 밸브를 개방 및 폐쇄하게 구성되는, 전구체 전달 시스템.
  3. 제1항에 있어서,
    상기 제어기는, 상기 저장소를, 25 % 초과로 압력을 감소시킴이 없이 상기 저장소로부터의 균일한 가스 유동을 제공하기에 충분한 압력으로 가압하도록 구성되는, 전구체 전달 시스템.
  4. 제1항에 있어서,
    상기 전구체 소스는 설비 가스 라인을 더 포함하는, 전구체 전달 시스템.
  5. 전구체 전달의 방법으로서,
    저장소를 미리 결정된 압력으로 전구체로 충전하도록 제어기를 사용하는 단계 ― 상기 제어기는, 유입 라인 상의 유입 밸브를 개방하고 배출 라인 상의 배출 밸브를 폐쇄하도록 구성되고, 상기 유입 라인 및 상기 배출 라인은 상기 저장소와 유체 연통함 ―; 및
    상기 배출 라인을 통한 충전된 저장소로부터의 전구체의 유동을 제공하도록 상기 제어기를 사용하는 단계 ― 상기 제어기는 상기 배출 밸브를 개방하도록 구성되고, 상기 전구체는, 앰풀을 포함하고 상기 유입 라인과 유체 연통하는 전구체 소스에 의해 제공되고, 상기 전구체 소스의 최하부는 제1 온도로 유지되고, 상기 전구체 소스의 최상부는 상기 제1 온도보다 높은 제2 온도로 유지되며, 상기 저장소는 상기 제2 온도보다 높은 제3 온도로 유지되고, 상기 제2 온도 및 상기 제3 온도는 상기 전구체의 응축 온도보다 높게 설정됨 ― 를 포함하는, 전구체 전달의 방법.
  6. 제5항에 있어서,
    상기 전구체는 상기 저장소에 연속적으로 제공되는, 전구체 전달의 방법.
  7. 제5항에 있어서,
    상기 제어기는, 상기 배출 밸브가 개방되어 있는 동안 상기 유입 밸브를 폐쇄하도록 구성되는, 전구체 전달의 방법.
  8. 제5항에 있어서,
    상기 배출 밸브를 개방하는 것 및 폐쇄하는 것이 반복되는, 전구체 전달의 방법.
  9. 제8항에 있어서,
    상기 저장소는, 25 % 미만의 듀티 사이클을 제공하도록 충분히 충전되는, 전구체 전달의 방법.
  10. 전구체 전달 시스템으로서,
    유입 라인 및 배출 라인을 갖는 저장소;
    앰풀을 포함하고 상기 유입 라인과 유체 연통하는 전구체 소스;
    상기 배출 라인과 유체 연통하는 처리 챔버;
    상기 배출 라인 상의 배출 밸브; 및
    상기 배출 밸브에 연결되는 제어기를 포함하며, 상기 제어기는, 상기 저장소를 미리 결정된 압력으로 가압하기 위해 상기 배출 밸브를 폐쇄하고 상기 저장소로부터 상기 처리 챔버로의 균일한 가스 유동을 제공하기 위해 상기 배출 밸브를 개방하도록 구성되고,
    상기 전구체 소스의 최하부는 제1 온도로 유지되고, 상기 전구체 소스의 최상부는 상기 제1 온도보다 높은 제2 온도로 유지되며, 상기 저장소는 상기 제2 온도보다 높은 미리 결정된 온도로 유지되고, 상기 제2 온도 및 상기 미리 결정된 온도는 전구체의 응축 온도보다 높게 설정되는, 전구체 전달 시스템.
  11. 제10항에 있어서,
    상기 유입 라인 상의 유입 밸브를 더 포함하며, 상기 제어기는, 상기 유입 밸브에 연결되고, 상기 저장소를 미리 결정된 압력으로 충전하도록 상기 유입 밸브를 개방 및 폐쇄하게 구성되는, 전구체 전달 시스템.
  12. 제10항에 있어서,
    상기 제어기는, 상기 저장소를, 25 % 초과로 압력을 감소시킴이 없이 상기 저장소로부터의 균일한 가스 유동을 제공하기에 충분한 압력으로 충전하도록 구성되는, 전구체 전달 시스템.
  13. 제10항에 있어서,
    상기 제어기는, 상기 저장소를 상기 미리 결정된 온도로 유지하기 위해 가열기에 연결되는, 전구체 전달 시스템.
  14. 제13항에 있어서,
    상기 전구체 소스는, 상기 저장소의 상기 미리 결정된 온도 미만의 온도로 유지되는, 전구체 전달 시스템.
  15. 제10항에 있어서,
    상기 전구체 소스는 설비 가스 라인을 더 포함하는, 전구체 전달 시스템.
KR1020217008770A 2018-08-31 2019-08-30 전구체 전달 시스템 KR102642370B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201862725825P 2018-08-31 2018-08-31
US62/725,825 2018-08-31
US16/555,759 2019-08-29
US16/555,759 US11560624B2 (en) 2018-08-31 2019-08-29 Precursor delivery system
PCT/US2019/048992 WO2020047373A1 (en) 2018-08-31 2019-08-30 Precursor delivery system

Publications (2)

Publication Number Publication Date
KR20210036996A KR20210036996A (ko) 2021-04-05
KR102642370B1 true KR102642370B1 (ko) 2024-03-04

Family

ID=69642294

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217008770A KR102642370B1 (ko) 2018-08-31 2019-08-30 전구체 전달 시스템

Country Status (5)

Country Link
US (1) US11560624B2 (ko)
JP (1) JP7308927B2 (ko)
KR (1) KR102642370B1 (ko)
TW (1) TWI821363B (ko)
WO (1) WO2020047373A1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114150294A (zh) * 2020-09-08 2022-03-08 吕宝源 固态金属有机源的集中供给系统
CN112378603B (zh) * 2020-11-18 2023-01-10 北京自动化控制设备研究所 原子气室的漏率检测方法及系统

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017179397A (ja) 2016-03-28 2017-10-05 東京エレクトロン株式会社 基板処理装置、ガスの供給方法、基板処理方法及び成膜方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11117070A (ja) * 1997-10-14 1999-04-27 Nissan Motor Co Ltd 化学的気相成長装置
US6984591B1 (en) 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
US20050095859A1 (en) 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US7109113B2 (en) 2004-01-30 2006-09-19 Micron Technology, Inc. Solid source precursor delivery system
US20060060139A1 (en) * 2004-04-12 2006-03-23 Mks Instruments, Inc. Precursor gas delivery with carrier gas mixing
SG174771A1 (en) 2006-06-09 2011-10-28 Soitec Silicon On Insulator High volume delivery system for gallium trichloride
TWI425110B (zh) 2007-07-24 2014-02-01 Sigma Aldrich Co 以化學相沉積法製造含金屬薄膜之方法
KR101028044B1 (ko) * 2007-09-04 2011-04-08 주식회사 테라세미콘 소스가스 공급장치
JP5200551B2 (ja) 2008-01-18 2013-06-05 東京エレクトロン株式会社 気化原料供給装置、成膜装置及び気化原料供給方法
JP5690498B2 (ja) * 2009-03-27 2015-03-25 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 基体上に膜を堆積する方法および気化前駆体化合物を送達する装置
KR20110004081A (ko) 2009-07-07 2011-01-13 삼성모바일디스플레이주식회사 증착 장치용 캐니스터, 이를 이용한 증착 장치 및 증착 방법
US20110311726A1 (en) * 2010-06-18 2011-12-22 Cambridge Nanotech Inc. Method and apparatus for precursor delivery
US10094018B2 (en) 2014-10-16 2018-10-09 Lam Research Corporation Dynamic precursor dosing for atomic layer deposition
JP6230573B2 (ja) 2015-07-06 2017-11-15 株式会社日立国際電気 半導体装置の製造方法、プログラム、基板処理システム及び基板処理装置
JP6565645B2 (ja) * 2015-12-02 2019-08-28 東京エレクトロン株式会社 原料ガス供給装置、原料ガス供給方法及び記憶媒体

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017179397A (ja) 2016-03-28 2017-10-05 東京エレクトロン株式会社 基板処理装置、ガスの供給方法、基板処理方法及び成膜方法

Also Published As

Publication number Publication date
JP7308927B2 (ja) 2023-07-14
TW202026457A (zh) 2020-07-16
JP2021535279A (ja) 2021-12-16
KR20210036996A (ko) 2021-04-05
US11560624B2 (en) 2023-01-24
TWI821363B (zh) 2023-11-11
WO2020047373A1 (en) 2020-03-05
US20200071827A1 (en) 2020-03-05

Similar Documents

Publication Publication Date Title
RU2584841C2 (ru) Атомно-слоевое осаждение с плазменным источником
KR100979575B1 (ko) 원자층 침착 장치 및 이의 제조방법
US9238865B2 (en) Multiple vapor sources for vapor deposition
US10366898B2 (en) Techniques and systems for continuous-flow plasma enhanced atomic layer deposition (PEALD)
TWI793197B (zh) 原子層沉積之裝置及方法
CN107068585B (zh) 用于原子层沉积的动态前体投配
US20050223982A1 (en) Apparatus and method for depositing thin film on wafer using remote plasma
US20060032444A1 (en) Film forming apparatus and film forming method
KR102642370B1 (ko) 전구체 전달 시스템
KR20120126012A (ko) 가스 공급 장치, 열처리 장치, 가스 공급 방법 및 열처리 방법
WO2005113855A1 (en) Apparatuses and methods for atomic layer deposition of hafnium-containing high-k dielectric materials
KR20130129863A (ko) 컨포멀 막 성막 동안 스텝 커버리지를 조정하기 위한 시스템 및 방법
KR20150112804A (ko) 가스 공급 기구 및 가스 공급 방법, 및 그것을 사용한 성막 장치 및 성막 방법
JP2009016799A (ja) 基板処理装置
JP6020227B2 (ja) ガス供給系及び成膜装置
US20060019029A1 (en) Atomic layer deposition methods and apparatus
US20210262092A1 (en) Sequential pulse and purge for ald processes
US20210002765A1 (en) Thermal ald of metal oxide using issg
US20220403513A1 (en) Apparatus for providing a gas mixture to a reaction chamber and method of using same
KR20220057623A (ko) Ald 프로세스에서의 펄스 형상 제어
CN116356285A (zh) 半导体处理装置及方法
KR20090109058A (ko) 기판 처리 장치 및 반도체 장치의 제조 방법
JP2011060903A (ja) 基板処理装置

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant