KR102603851B1 - 이성질체 풍부 고급 실란의 제조 방법 - Google Patents

이성질체 풍부 고급 실란의 제조 방법 Download PDF

Info

Publication number
KR102603851B1
KR102603851B1 KR1020217013668A KR20217013668A KR102603851B1 KR 102603851 B1 KR102603851 B1 KR 102603851B1 KR 1020217013668 A KR1020217013668 A KR 1020217013668A KR 20217013668 A KR20217013668 A KR 20217013668A KR 102603851 B1 KR102603851 B1 KR 102603851B1
Authority
KR
South Korea
Prior art keywords
approximately
catalyst
mixture
reactor
reactant
Prior art date
Application number
KR1020217013668A
Other languages
English (en)
Other versions
KR20210057825A (ko
Inventor
그리고리 니키포로브
젠나디 이토브
Original Assignee
레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/158,071 external-priority patent/US20200115238A1/en
Application filed by 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 filed Critical 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드
Publication of KR20210057825A publication Critical patent/KR20210057825A/ko
Application granted granted Critical
Publication of KR102603851B1 publication Critical patent/KR102603851B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B33/00Silicon; Compounds thereof
    • C01B33/04Hydrides of silicon
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D3/00Distillation or related exchange processes in which liquids are contacted with gaseous media, e.g. stripping
    • B01D3/009Distillation or related exchange processes in which liquids are contacted with gaseous media, e.g. stripping in combination with chemical reactions
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D3/00Distillation or related exchange processes in which liquids are contacted with gaseous media, e.g. stripping
    • B01D3/14Fractional distillation or use of a fractionation or rectification column
    • B01D3/143Fractional distillation or use of a fractionation or rectification column by two or more of a fractionation, separation or rectification step
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J21/00Catalysts comprising the elements, oxides, or hydroxides of magnesium, boron, aluminium, carbon, silicon, titanium, zirconium, or hafnium
    • B01J21/06Silicon, titanium, zirconium or hafnium; Oxides or hydroxides thereof
    • B01J21/08Silica
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J31/00Catalysts comprising hydrides, coordination complexes or organic compounds
    • B01J31/02Catalysts comprising hydrides, coordination complexes or organic compounds containing organic compounds or metal hydrides
    • B01J31/0272Catalysts comprising hydrides, coordination complexes or organic compounds containing organic compounds or metal hydrides containing elements other than those covered by B01J31/0201 - B01J31/0255
    • B01J31/0275Catalysts comprising hydrides, coordination complexes or organic compounds containing organic compounds or metal hydrides containing elements other than those covered by B01J31/0201 - B01J31/0255 also containing elements or functional groups covered by B01J31/0201 - B01J31/0269
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J31/00Catalysts comprising hydrides, coordination complexes or organic compounds
    • B01J31/16Catalysts comprising hydrides, coordination complexes or organic compounds containing coordination complexes
    • B01J31/22Organic complexes
    • B01J31/2204Organic complexes the ligands containing oxygen or sulfur as complexing atoms
    • B01J31/2208Oxygen, e.g. acetylacetonates
    • B01J31/2213At least two complexing oxygen atoms present in an at least bidentate or bridging ligand
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B33/00Silicon; Compounds thereof
    • C01B33/04Hydrides of silicon
    • C01B33/046Purification
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J31/00Catalysts comprising hydrides, coordination complexes or organic compounds
    • B01J31/02Catalysts comprising hydrides, coordination complexes or organic compounds containing organic compounds or metal hydrides
    • B01J31/0201Oxygen-containing compounds
    • B01J31/0211Oxygen-containing compounds with a metal-oxygen link
    • B01J31/0212Alkoxylates
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J31/00Catalysts comprising hydrides, coordination complexes or organic compounds
    • B01J31/02Catalysts comprising hydrides, coordination complexes or organic compounds containing organic compounds or metal hydrides
    • B01J31/0272Catalysts comprising hydrides, coordination complexes or organic compounds containing organic compounds or metal hydrides containing elements other than those covered by B01J31/0201 - B01J31/0255
    • B01J31/0274Catalysts comprising hydrides, coordination complexes or organic compounds containing organic compounds or metal hydrides containing elements other than those covered by B01J31/0201 - B01J31/0255 containing silicon
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01PINDEXING SCHEME RELATING TO STRUCTURAL AND PHYSICAL ASPECTS OF SOLID INORGANIC COMPOUNDS
    • C01P2006/00Physical properties of inorganic compounds
    • C01P2006/80Compositional purity
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01PINDEXING SCHEME RELATING TO STRUCTURAL AND PHYSICAL ASPECTS OF SOLID INORGANIC COMPOUNDS
    • C01P2006/00Physical properties of inorganic compounds
    • C01P2006/88Isotope composition differing from the natural occurrence
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P20/00Technologies relating to chemical industry
    • Y02P20/10Process efficiency

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

n-테트라실란을 선택적으로 합성하는 방법이 개시된다. n-테트라실란은 실란(SiH4), 디실란(Si2H6), 트리실란(Si3H8), 또는 이들의 혼합물의 촉매 작용에 의해 제조된다. 더욱 구체적으로, 개시된 합성 방법은 n-테트라실란:i-테트라실란 이성질체 비를 조정하고 최적화한다. 이성질체 비는 온도 및 출발 화합물의 상대적인 양과 같은 공정 파라미터의 선택뿐만 아니라 적절한 촉매의 선택에 의해 최적화될 수 있다. 개시된 합성 방법은 n-테트라실란의 용이한 제조를 가능하게 한다.

Description

이성질체 풍부 고급 실란의 제조 방법
n-테트라실란을 선택적으로 합성하는 방법이 개시된다. n-테트라실란은 실란(SiH4), 디실란(Si2H6), 트리실란(Si3H8), 또는 이들의 혼합물의 촉매 작용에 의해 제조된다. 더욱 구체적으로, 개시된 합성 방법은 n-테트라실란:i-테트라실란 이성질체 비를 조정하고 최적화한다. 이성질체 비는 온도 및 출발 화합물의 상대적인 양과 같은 공정 파라미터의 선택뿐만 아니라 적절한 촉매의 선택에 의해 최적화될 수 있다. 개시된 합성 방법은 n-테트라실란의 용이한 제조를 가능하게 한다.
폴리실란은 다양한 산업에서 사용되어 왔다.
폴리실란을 사용한 규소-함유 필름의 기상 증착이 특히 Seiko Epson Corp.의 일본 특허 제3,185,817호; 문헌[Kanoh et al., Japanese Journal of Applied Physics, Part 1: Regular Papers, Short Notes & Review Papers 1993, 32(6A), 2613-2619]; Showa Denko KK의 일본 특허 제3,484,815호; 및 Showa Denko KK의 일본 특허 출원 공개 제2000/031066호에 개시되어 있다.
Si, SiGe, SiC, SiN, 및 SiO와 같은 에피택셜 Si-함유 필름은 특히 문헌[Hazbun et al., Journal of Crystal Growth 2016, 444, 21-27]; Yi-Chiau Huang 등의 미국 특허 출원 공개 제2017/018427호; Dube 등의 미국 특허 출원 공개 제2016/126093호; 및 문헌[Hart et al., Thin Solid Films 2016, 604, 23-27]에 개시된 바와 같은 폴리실란을 사용하여 성장되었다.
폴리실란은 또한 더 큰 중합체를 형성하는 데 사용되었다. 예컨대, Forschungzentrum J
Figure 112021052414384-pct00001
lich Gmbh의 미국 특허 출원 공개 제2016/0297997호를 참조한다.
저급 실란을 고급 실란으로 전환하는 것이 연구 및 상업적 목적 둘 모두에 대해 광범위하게 연구되었다. 촉매 반응이 연구되었다. 예컨대, Berris의 미국 특허 제5,047,569호; 문헌[Corey et al., Organometallics, 1991, 10, 924-930]; 문헌[Boudjouk et al., J. Chem. Soc. Chem. Comm. 1991 245-246]; Tilley 등의 미국 특허 제5,087,719호; 문헌[Woo et al., J. Am. Chem. Soc. 1992, 114, 7047-7055]; 문헌[Ohshita et al., Organometallics 1994 13, 5002-5012]; 문헌[Bourg et al., Organometallics, 1995, 14, 564-566]; 문헌[Bourg et al., Organometallics 1995, 14, 564-566]; Ikai 등의 미국 특허 제5,700,400호; 문헌[Woo et al., Mol. Cryst. Liq. Cryst. Sci. Technol., Sect. A, 2000, 349, 87]; 문헌[Rosenberg et al., J. Am. Chem. Soc. 2001, 123, 5120-5121]; 문헌[Fontaine et al., Organometallics 2002, 21, 401-408]; 문헌[Kim et al., Organometallics 2002, 21, 2796]; 문헌[Corey et al., Adv. In Org. Chem. 2004, 51, pp. 1-52]; 문헌[Fontaine et al., J. Am. Chem. Soc. 2004, 126, 8786-8794]; Karshtedt 등의 미국 특허 출원 공개 제2008/085373호; 문헌[Itazaki et al., Angew. Chem. Int. Ed. 2009, 48, 3313-3316]; Evonik Degussa GMBH의 PCT 공개 제WO2010/003729호; 문헌[Smith et al., Organometallics 2010, 29, 6527-6533]; SPAWNT PRIVATE S.A.R.L.의 PCT 공개 제WO2012/001180호; Kovio, Inc.의 PCT 공개 제WO2013/019208호; 문헌[Feigl et al., Chem. Eur. J. 2013, 19, 12526-12536]; 문헌[Tanabe et al., Organometallics 2013, 32, 1037-1043]; Brausch 등의 미국 특허 제8,709,369호; 문헌[Schmidt et al., Dalton Trans. 2014, 43, 10816-10827]; 및 Matsushita 등의 미국 특허 제9,567,228호를 참조한다.
이러한 모든 개시에도 불구하고 폴리실란의 상업적 사용은 여전히 달성하기 어렵다.
n-테트라실란을 선택적으로 합성하는 방법이 개시된다. 액체 SinH(2n+2) 반응물(여기서, n은 1 내지 3임)은 불균일 촉매의 존재 하에 변환되어 대략 5:1 내지 대략 15:1 범위의 비를 갖는 n-Si4H10: i-Si4H10 혼합물을 생성한다. 대안적으로, 대략 5:1 내지 대략 15:1 범위의 비를 갖는 n-Si4H10: i-Si4H10 혼합물은 액체 SinH(2n+2) 반응물(여기서, n은 1 내지 3임)을 촉매적으로 전환함으로써 생성될 수 있다. 다른 대안에서, 액체 SinH(2n+2) 반응물(여기서, n은 1 내지 3임)은 불균일 촉매와 반응되어 대략 5:1 내지 대략 15:1 범위의 비를 갖는 n-Si4H10:i-Si4H10 혼합물을 생성한다. 또 다른 대안에서, 액체 SinH(2n+2) 반응물(여기서, n은 1 내지 3임)은 불균일 촉매와 접촉되어 대략 5:1 내지 대략 15:1 범위의 비를 갖는 n-Si4H10: i-Si4H10 혼합물을 생성한다. 이들 개시된 방법 중 임의의 것은 다음 양태들 중 하나 이상을 포함할 수 있다:
본 방법은 H2를 이용하지 않는 양태;
SinH(2n+2) 반응물은 액체인 양태;
SinH(2n+2) 반응물은 액체와 기체의 혼합물인 양태;
SinH(2n+2) 반응물은 Si3H8인 양태;
SinH(2n+2) 반응물은 액체 Si3H8인 양태;
SinH(2n+2) 반응물은 Si2H6과 Si3H8의 혼합물인 양태;
SinH(2n+2) 반응물은 Si2H6과 Si3H8의 액체 혼합물인 양태;
SinH(2n+2) 반응물은 기체 Si2H6과 액체 Si3H8의 혼합물인 양태;
혼합물은 대략 0.1 % w/w 내지 대략 60% w/w의 Si3H8 및 대략 40% w/w 내지 99.9% w/w의 Si2H6을 포함하는 양태;
혼합물은 대략 0.1 % w/w 내지 대략 25% w/w의 Si3H8 및 대략 75% w/w 내지 99.9% w/w의 Si2H6을 포함하는 양태;
혼합물은 대략 0.1 % w/w 내지 대략 10% w/w의 Si3H8 및 대략 90% w/w 내지 99.9% w/w의 Si2H6을 포함하는 양태;
SinH(2n+2) 반응물의 대략 20% w/w 내지 대략 60% w/w를 전환하는 양태;
촉매와 혼합하기 전에 SinH(2n+2) 반응물을 가열하는 양태;
SinH(2n+2) 반응물과 촉매를 혼합하여 반응물-촉매 혼합물을 형성하는 양태;
대략 1시간 내지 대략 24시간 범위의 기간 동안 SinH(2n+2) 반응물과 촉매를 혼합하여 반응물-촉매 혼합물을 형성하는 양태;
반응물-촉매 혼합물을 대략 30℃ 내지 대략 55℃ 범위의 온도로 가열하는 양태;
반응물-촉매 혼합물을 대략 실온 내지 대략 53℃ 범위의 온도에서 혼합하는 양태;
반응물-촉매 혼합물을 대략 15℃ 내지 대략 50℃ 범위의 온도에서 혼합하는 양태;
반응물-촉매 혼합물을 대략 15℃ 내지 대략 30℃ 범위의 온도에서 혼합하는 양태;
반응물-촉매 혼합물을 여과하여, 생성된 SiaH(2a+2) 혼합물(여기서, n은 1 내지 6임)로부터 임의의 고형물을 분리하는 양태;
촉매를 수용하는 반응기를 통해 유동시키기 전에 SinH(2n+2) 반응물을 가열하는 양태;
촉매를 통해 유동시키기 전에 SinH(2n+2) 반응물을 가열하는 양태;
촉매를 수용하는 반응기를 통해 SinH(2n+2) 반응물을 유동시키는 양태;
글라스 울(glass wool) 상의 촉매를 수용하는 반응기를 통해 SinH(2n+2) 반응물을 유동시키는 양태;
촉매 펠릿을 수용하는 반응기를 통해 SinH(2n+2) 반응물을 유동시키는 양태;
촉매를 수용하는 반응기를 통해 SinH(2n+2) 반응물을 유동시켜 SiaH(2a+2) 혼합물(여기서, a는 1 내지 6임)을 생성하는 양태;
SinH(2n+2) 반응물은 반응기 내의 체류 시간이 대략 200초 내지 대략 600초의 범위인 양태;
반응기를 대략 15℃ 내지 대략 170℃ 범위의 온도로 가열하는 양태;
반응기를 대략 15℃ 내지 대략 150℃ 범위의 온도로 가열하는 양태;
반응기를 대략 15℃ 내지 대략 100℃ 범위의 온도로 가열하는 양태;
반응기를 대략 15℃ 내지 대략 50℃ 범위의 온도로 가열하는 양태;
반응기를 대략 20℃ 내지 대략 150℃ 범위의 온도로 가열하는 양태;
반응기를 대략 50℃ 내지 대략 100℃ 범위의 온도로 가열하는 양태;
반응기를 대략 40℃ 내지 대략 150℃ 범위의 온도로 가열하는 양태;
반응기를 대략 10 psig(69 kPa) 내지 대략 50 psig(345 kPa) 범위의 압력으로 유지하는 양태;
본 방법은 켄칭제(quenching agent)를 필요로 하지 않는 양태;
미반응 SinH(2n+2) 반응물을 재순환시키는 양태;
SinH(2n+2) 혼합물(여기서, n은 1 내지 6임)로부터 n-Si4H10: i-Si4H10 혼합물을 단리하는 양태;
n-Si4H10:i-Si4H10의 비는 대략 7:1 내지 대략 15:1의 범위인 양태;
n-Si4H10: i-Si4H10 혼합물을 분별 증류하여 대략 90% w/w 내지 대략 100% w/w의 n-Si4H10을 생성하는 양태;
n-Si4H10: i-Si4H10 혼합물을 분별 증류하여 대략 95% w/w 내지 대략 100% w/w의 n-Si4H10을 생성하는 양태;
n-Si4H10: i-Si4H10 혼합물을 분별 증류하여 대략 98% w/w 내지 대략 100% w/w의 n-Si4H10을 생성하는 양태;
불균일 촉매는 주기율표의 I, II, 및 III 족으로 이루어진 군으로부터 선택되는 원소를 포함하는 양태;
불균일 촉매는 주기율표의 I 족으로부터 선택되는 원소를 포함하는 양태;
불균일 촉매는 Na를 포함하는 양태;
불균일 촉매는 K를 포함하는 양태;
불균일 촉매는 주기율표의 III 족으로부터 선택되는 원소를 포함하는 양태;
불균일 촉매는 Al을 포함하는 양태;
불균일 촉매는 주기율표의 I 족으로부터의 원소 및 III 족으로부터의 원소 둘 모두를 포함하는 양태;
불균일 촉매는 Na 및 Al을 포함하는 양태;
불균일 촉매는 Li 및 Al을 포함하는 양태;
불균일 촉매는 I, II 또는 III 족 원소 또는 이의 산화물, 알킬, 수소화물, 실라나이드, 또는 실릴 아미드로부터 선택되는 양태;
불균일 촉매는 산화물 촉매인 양태;
불균일 촉매는 I 족 금속 산화물 촉매인 양태;
불균일 촉매는 Na2O인 양태;
불균일 촉매는 K2O인 양태;
불균일 촉매는 K, K2O, 또는 이들의 조합인 양태;
불균일 촉매는 Na, Na2O, 또는 이들의 조합인 양태;
불균일 촉매는 수소화물 촉매인 양태;
수소화물 촉매는 NaH, KH, RbH, CsH, MgH2, CaH2, SrH2, BaH2, AlH3n, AlH3(L), GaH3n, GaH3(L), 및 이들의 조합으로 이루어진 군으로부터 선택되며, 여기서, n은 1, 2, 또는 3이고 L은 NR3이며, 각각의 R은 독립적으로 CmH2m+1이고 m은 1 내지 10인 양태;
수소화물 촉매는 NaH인 양태;
수소화물 촉매는 KH인 양태;
수소화물 촉매는 RbH인 양태;
수소화물 촉매는 CsH인 양태;
수소화물 촉매는 MgH2인 양태;
수소화물 촉매는 CaH2인 양태;
수소화물 촉매는 SrH2인 양태;
수소화물 촉매는 BaH2인 양태;
수소화물 촉매는 AlH3n(여기서, n은 1, 2, 또는 3임)인 양태;
수소화물 촉매는 AlH3인 양태;
수소화물 촉매는 AlH32인 양태;
수소화물 촉매는 AlH33인 양태;
수소화물 촉매는 AlH3(L)(여기서, L은 NR3이며, 각각의 R은 독립적으로 CmH2m+1이고 m은 1 내지 10임)인 양태;
수소화물 촉매는 AlH3(L)(여기서, L은 치환된 에틸렌디아민임)인 양태;
수소화물 촉매는 AlH3(L)(여기서, L은 N,N,N',N'-테트라메틸-에틸렌디아민임)인 양태;
수소화물 촉매는 AlH3(L)(여기서, L은 N,N,N',N'- 테트라메틸-프로판디아민임)인 양태;
수소화물 촉매는 GaH3n(여기서, n은 1, 2, 또는 3임)인 양태;
수소화물 촉매는 GaH3인 양태;
수소화물 촉매는 GaH32인 양태;
수소화물 촉매는 GaH33인 양태;
수소화물 촉매는 GaH3(L)(여기서, L은 NR3이며, 각각의 R은 독립적으로 CmH2m+1이고 m은 1 내지 10임)인 양태;
수소화물 촉매는 GaH3(L)(여기서, L은 치환된 에틸렌디아민임)인 양태;
수소화물 촉매는 GaH3(L)(여기서, L은 N,N,N',N'-테트라메틸-에틸렌디아민임)인 양태;
수소화물 촉매는 GaH3(L)(여기서, L은 N,N,N',N'- 테트라메틸-프로판디아민임)인 양태;
수소화물 촉매는 주기율표의 I, II, 또는 III 족으로부터의 2개의 원소를 포함하는 양태;
수소화물 촉매는 LiAlH4, LiAlHnR4-n, NaAlHnR4-n, KAlHnR4-n, RbAlHnR4-n, CsAlHnR4-n, 및 이들의 조합으로 이루어진 군으로부터 선택되고, 여기서 n은 1, 2, 또는 3이고 각각의 R은 독립적으로 CmH2m+1(여기서, m은 1 내지 10임) 또는 산소 또는 질소 원자를 갖는 지방족 기인 양태;
수소화물 촉매는 LiAlH4인 양태;
수소화물 촉매는 LiAlHnR4-n이고, 여기서, n은 1, 2, 또는 3이고 각각의 R은 독립적으로 CmH2m+1(여기서, m은 1 내지 10임) 또는 산소 또는 질소 원자를 갖는 지방족 기인 양태;
수소화물 촉매는 NaAlHnR4-n으로 이루어진 군으로부터 선택되며, 여기서, n은 1, 2, 또는 3이고 각각의 R은 독립적으로 CmH2m+1(여기서, m은 1 내지 10임) 또는 산소 또는 질소 원자를 갖는 지방족 기인 양태;
수소화물 촉매는 KAlHnR4-n으로 이루어진 군으로부터 선택되며, 여기서, n은 1, 2, 또는 3이고 각각의 R은 독립적으로 CmH2m+1(여기서, m은 1 내지 10임) 또는 산소 또는 질소 원자를 갖는 지방족 기인 양태;
수소화물 촉매는 RbAlHnR4-n으로 이루어진 군으로부터 선택되며, 여기서, n은 1, 2, 또는 3이고 각각의 R은 독립적으로 CmH2m+1(여기서, m은 1 내지 10임) 또는 산소 또는 질소 원자를 갖는 지방족 기인 양태;
수소화물 촉매는 CsAlHnR4-n으로 이루어진 군으로부터 선택되며, 여기서, n은 1, 2, 또는 3이고 각각의 R은 독립적으로 CmH2m+1(여기서, m은 1 내지 10임) 또는 산소 또는 질소 원자를 갖는 지방족 기인 양태;
산소 또는 질소 원자를 갖는 지방족 기는 -CH2OMe, -CH2CH2OMe, -OCH2CH2CH2OMe, -CH2CH2NMe2, 방향족 기, 및 이들의 조합으로 이루어진 군으로부터 선택되는 양태;
불균일 촉매는 소듐 비스(2-메톡시에톡시)알루미늄 수소화물 [Na(-O(Me)-C2H4-O-)2AlH2]인 양태;
방향족 기는 페닐 또는 치환된 페닐인 양태;
불균일 촉매는 실릴아미드 촉매인 양태;
실릴아미드 촉매는 화학식 M[N(SiR3)2]x를 가지며, 여기서, M이 Li, Na, 또는 K일 때 x는 1이고; M이 Mg, Ca, Sr, 또는 Ba일 때 x는 2이고; M이 Al 또는 Ga일 때 x는 3이고; 각각의 R은 독립적으로 CmH2m+1(여기서, m은 1 내지 10임) 또는 방향족 기인 양태;
실릴아미드 촉매는 화학식 Li[N(SiR3)2]를 가지며, 여기서, 각각의 R은 독립적으로 CmH2m+1(여기서, m은 1 내지 10임) 또는 방향족 기인 양태;
실릴아미드 촉매는 화학식 Na[N(SiR3)2]를 가지며, 여기서, 각각의 R은 독립적으로 CmH2m+1(여기서, m은 1 내지 10임) 또는 방향족 기인 양태;
실릴아미드 촉매는 화학식 Na[N(SiR3)2]를 가지며, 여기서, 각각의 R은 독립적으로 CmH2m+1(여기서, m은 1 내지 4임)인 양태;
실릴아미드 촉매는 소듐 비스(트리메틸실릴)아미드인 양태;
실릴아미드 촉매는 화학식 K[N(SiR3)2]를 가지며, 여기서, 각각의 R은 독립적으로 CmH2m+1(여기서, m은 1 내지 10임) 또는 방향족 기인 양태;
실릴아미드 촉매는 화학식 K[N(SiR3)2]를 가지며, 여기서, 각각의 R은 독립적으로 CmH2m+1(여기서, m은 1 내지 4임)인 양태;
실릴아미드 촉매는 칼륨 비스(트리메틸실릴)아미드인 양태;
실릴아미드 촉매는 화학식 Mg[N(SiR3)2]2를 가지며, 여기서, 각각의 R은 독립적으로 CmH2m+1(여기서, m은 1 내지 10임) 또는 방향족 기인 양태;
실릴아미드 촉매는 화학식 Ca[N(SiR3)2]2를 가지며, 여기서, 각각의 R은 독립적으로 CmH2m+1(여기서, m은 1 내지 10임) 또는 방향족 기인 양태;
실릴아미드 촉매는 화학식 Sr[N(SiR3)2]2를 가지며, 여기서, 각각의 R은 독립적으로 CmH2m+1(여기서, m은 1 내지 10임) 또는 방향족 기인 양태;
실릴아미드 촉매는 화학식 Ba[N(SiR3)2]2를 가지며, 여기서, 각각의 R은 독립적으로 CmH2m+1(여기서, m은 1 내지 10임) 또는 방향족 기인 양태;
실릴아미드 촉매는 화학식 Al[N(SiR3)2]3을 가지며, 여기서, 각각의 R은 독립적으로 CmH2m+1(여기서, m은 1 내지 10임) 또는 방향족 기인 양태;
실릴아미드 촉매는 화학식 Ga[N(SiR3)2]3을 가지며, 여기서, 각각의 R은 독립적으로 CmH2m+1(여기서, m은 1 내지 10임) 또는 방향족 기인 양태;
불균일 촉매는 실라나이드 촉매인 양태;
실라나이드 촉매는 화학식 Na[Al(SiH3)(Si2H5)(OCH2CH2OMe)2]를 갖는 양태;
실라나이드 촉매는 화학식 M(SinH2n-1)x 또는 M(SiR3)x를 가지며, 여기서, n은 1 내지 4이고; M이 Li, Na, K, Rb, 또는 Cs일 때 x는 1이고; M이 Mg, Ca, Sr, 또는 Ba일 때 x는 2이고; M이 Al 또는 Ga일 때 x는 3이고; 각각의 R은 독립적으로 CmH2m+1(여기서, m은 1 내지 10임) 또는 방향족 기인 양태;
실라나이드 촉매는 화학식 M(SinH2n-1)x를 가짐, 여기서, n은 1 내지 4이고; M이 Li, Na, K, Rb, 또는 Cs일 때 x는 1이고; M이 Mg, Ca, Sr, 또는 Ba일 때 x는 2이고; M이 Al 또는 Ga일 때 x는 3인 양태;
실라나이드 촉매는 화학식 LiSiH3을 갖는 양태;
실라나이드 촉매는 화학식 NaSiH3을 갖는 양태;
실라나이드 촉매는 화학식 KSiH3을 갖는 양태;
실라나이드 촉매는 화학식 RbSiH3을 갖는 양태;
실라나이드 촉매는 화학식 CsSiH3을 갖는 양태;
금속 실라나이드 촉매는 화학식 LiSiPh3을 갖는 양태;
금속 실라나이드 촉매는 화학식 NaSiPh3을 갖는 양태;
금속 실라나이드 촉매는 화학식 KSiPh3을 갖는 양태;
금속 실라나이드 촉매는 화학식 RbSiPh3을 갖는 양태;
금속 실라나이드 촉매는 화학식 CsSiPh3을 갖는 양태;
실라나이드 촉매는 화학식 LiSi2H5를 갖는 양태;
실라나이드 촉매는 화학식 NaSi2H5를 갖는 양태;
실라나이드 촉매는 화학식 KSi2H5를 갖는 양태;
실라나이드 촉매는 화학식 RbSi2H5를 갖는 양태;
실라나이드 촉매는 화학식 CsSi2H5를 갖는 양태;
실라나이드 촉매는 화학식 Mg(SiH3)2를 갖는 양태;
실라나이드 촉매는 화학식 Ca(SiH3)2를 갖는 양태;
실라나이드 촉매는 화학식 Sr(SiH3)2를 갖는 양태;
실라나이드 촉매는 화학식 Ba(SiH3)2를 갖는 양태;
실라나이드 촉매는 화학식 Al(SiH3)3을 갖는 양태;
실라나이드 촉매는 화학식 Ga(SiH3)3을 갖는 양태;
실라나이드 촉매는 화학식 M(SiR3)x를 가지며, 여기서, M이 Li, Na, K, Rb, 또는 Cs일 때 x는 1이고; M이 Mg, Ca, Sr, 또는 Ba일 때 x는 2이고; M이 Al 또는 Ga일 때 x는 3이고; 각각의 R은 독립적으로 CmH2m+1(여기서, m은 1 내지 10임) 또는 방향족 기인 양태;
실라나이드 촉매는 화학식 Li(SiR3)을 가지며, 여기서, 각각의 R은 독립적으로 CmH2m+1(여기서, m은 1 내지 10임) 또는 방향족 기인 양태;
실라나이드 촉매는 화학식 Na(SiR3)을 가지며, 여기서, 각각의 R은 독립적으로 CmH2m+1(여기서, m은 1 내지 10임) 또는 방향족 기인 양태;
실라나이드 촉매는 화학식 K(SiR3)을 가지며, 여기서, 각각의 R은 독립적으로 CmH2m+1(여기서, m은 1 내지 10임) 또는 방향족 기인 양태;
실라나이드 촉매는 화학식 Rb(SiR3)을 가지며, 여기서, 각각의 R은 독립적으로 CmH2m+1(여기서, m은 1 내지 10임) 또는 방향족 기인 양태;
실라나이드 촉매는 화학식 Cs(SiR3)을 가지며, 여기서, 각각의 R은 독립적으로 CmH2m+1(여기서, m은 1 내지 10임) 또는 방향족 기인 양태;
실라나이드 촉매는 화학식 Mg(SiR3)2를 가지며, 여기서, 각각의 R은 독립적으로 CmH2m+1(여기서, m은 1 내지 10임) 또는 방향족 기인 양태;
실라나이드 촉매는 화학식 Ca(SiR3)2를 가지며, 여기서, 각각의 R은 독립적으로 CmH2m+1(여기서, m은 1 내지 10임) 또는 방향족 기인 양태;
실라나이드 촉매는 화학식 Sr(SiR3)2를 가지며, 여기서, 각각의 R은 독립적으로 CmH2m+1(여기서, m은 1 내지 10임) 또는 방향족 기인 양태;
실라나이드 촉매는 화학식 Ba(SiR3)2를 가지며, 여기서, 각각의 R은 독립적으로 CmH2m+1(여기서, m은 1 내지 10임) 또는 방향족 기인 양태;
실라나이드 촉매는 화학식 Al(SiR3)3을 가지며, 여기서, 각각의 R은 독립적으로 CmH2m+1(여기서, m은 1 내지 10임) 또는 방향족 기인 양태;
실라나이드 촉매는 화학식 Ga(SiR3)3을 가지며, 여기서, 각각의 R은 독립적으로 CmH2m+1(여기서, m은 1 내지 10임) 또는 방향족 기인 양태;
불균일 촉매는 지지체 상에 있는 양태;
촉매는 지지체에 물리적으로 결합되는 양태;
촉매는 지지체에 화학적으로 결합되는 양태;
촉매는 지지체에 물리적으로 및 화학적으로 결합되는 양태;
지지체는 알루미나(Al2O3), 실리카(SiO2), 또는 이들의 조합인 양태;
지지체는 알루미나(Al2O3)인 양태;
지지체는 실리카(SiO2)인 양태;
불균일 촉매는 펠릿 형태인 양태;
불균일 촉매는 불균일 촉매 및 지지체 조합의 대략 0.1% w/w 내지 대략 70% w/w를 구성하는 양태;
불균일 촉매는 불균일 촉매 및 지지체 조합의 대략 1% w/w 내지 대략 50% w/w를 구성하는 양태; 및/또는
불균일 촉매는 불균일 촉매 및 지지체 조합의 대략 1% w/w 내지 대략 5% w/w를 구성하는 양태.
상기에 개시된 방법 중 임의의 것에 의해 생성되는 Si-함유 필름 형성 조성물이 또한 개시된다. 개시된 조성물은 다음 양태들 중 하나 이상을 추가로 포함할 수 있다:
Si-함유 필름 형성 조성물은 대략 0 ppmw 내지 대략 100 ppmw의 할라이드 오염물을 포함하는 양태;
Si-함유 필름 형성 조성물은 대략 0 ppmw 내지 대략 25 ppmw의 할라이드 오염물을 포함하는 양태;
Si-함유 필름 형성 조성물은 대략 0 ppmw 내지 대략 5 ppmw의 할라이드 오염물을 포함하는 양태;
Si-함유 필름 형성 조성물은 대략 90% w/w 내지 대략 100% w/w의 n-Si4H10을 포함하는 양태;
Si-함유 필름 형성 조성물은 대략 95% w/w 내지 대략 100% w/w의 n-Si4H10을 포함하는 양태;
Si-함유 필름 형성 조성물은 대략 97% w/w 내지 대략 100% w/w의 n-Si4H10을 포함하는 양태;
Si-함유 필름 형성 조성물은 대략 0% w/w 내지 대략 10% w/w의 i-Si4H10을 포함하는 양태;
Si-함유 필름 형성 조성물은 대략 0% w/w 내지 대략 5% w/w의 i-Si4H10을 포함하는 양태; 및/또는
Si-함유 필름 형성 조성물은 대략 0% w/w 내지 대략 3% w/w의 i-Si4H10을 포함하는 양태.
기상 증착 공정 동안 테트라실란의 증기압을 유지하는 방법이 또한 개시된다. 기상 증착 공정은 상기에 개시된 Si-함유 필름 형성 조성물 중 임의의 것을 사용한다. Si-함유 필름 형성 조성물은 기화 온도로 유지된다. 개시된 방법은 다음 양태들 중 하나 이상을 추가로 포함할 수 있다:
Si-함유 필름 형성 조성물은 대략 90% w/w 내지 대략 100% w/w의 n-Si4H10을 포함하는 양태;
Si-함유 필름 형성 조성물은 대략 95% w/w 내지 대략 100% w/w의 n-Si4H10을 포함하는 양태;
Si-함유 필름 형성 조성물은 대략 97% w/w 내지 대략 100% w/w의 n-Si4H10을 포함하는 양태;
Si-함유 필름 형성 조성물은 대략 0% w/w 내지 대략 10% w/w의 i-Si4H10을 포함하는 양태; 또는
Si-함유 필름 형성 조성물은 대략 0% w/w 내지 대략 5% w/w의 i-Si4H10을 포함하는 양태;
Si-함유 필름 형성 조성물은 대략 0% w/w 내지 대략 3% w/w의 i-Si4H10을 포함하는 양태;
Si-함유 필름 형성 조성물은 기화 온도에서 초기 증기압을 갖는 양태;
기화 온도는 대략 0℃ 내지 대략 50℃의 범위인 양태;
기화 온도는 대략 20℃ 내지 대략 25℃의 범위인 양태;
Si-함유 필름 형성 조성물의 대략 95% w/w가 소모될 때까지 기화 온도에서 Si-함유 필름 형성 조성물의 초기 증기압의 대략 80%를 유지하는 양태; 및/또는
Si-함유 필름 형성 조성물의 대략 95% w/w가 소모될 때까지 기화 온도에서 Si-함유 필름 형성 조성물의 초기 증기압의 대략 90%를 유지하는 양태;
Si-함유 필름 형성 조성물의 대략 95% w/w가 소모될 때까지 기화 온도에서 Si-함유 필름 형성 조성물의 초기 증기압의 대략 95%를 유지하는 양태.
중합 동안 분지형 폴리실란의 형성을 감소시키는 방법이 또한 개시된다. 중합 공정은 상기에 개시된 Si-함유 필름 형성 조성물 중 임의의 것을 사용한다. 개시된 방법은 다음 양태들 중 하나 이상을 추가로 포함할 수 있다:
Si-함유 필름 형성 조성물은 대략 90% w/w 내지 대략 100% w/w의 n-Si4H10을 포함하는 양태;
Si-함유 필름 형성 조성물은 대략 95% w/w 내지 대략 100% w/w의 n-Si4H10을 포함하는 양태;
Si-함유 필름 형성 조성물은 대략 97% w/w 내지 대략 100% w/w의 n-Si4H10을 포함하는 양태;
Si-함유 필름 형성 조성물은 대략 0% w/w 내지 대략 10% w/w의 i-Si4H10을 포함하는 양태;
Si-함유 필름 형성 조성물은 대략 0% w/w 내지 대략 5% w/w의 i-Si4H10을 포함하는 양태; 및/또는
Si-함유 필름 형성 조성물은 대략 0% w/w 내지 대략 3% w/w의 i-Si4H10을 포함하는 양태.
표기법 및 명명법
소정 약어, 기호, 및 용어가 다음의 설명 및 청구범위 전체에 걸쳐 사용되며 다음을 포함한다:
본원에서 사용되는 바와 같이, 단수형은 하나 이상을 의미한다.
본원에서 사용되는 바와 같이, 용어 "대략" 또는 "약"은 언급된 값의 ±10%를 의미한다.
본원에서 사용되는 바와 같이, 용어 "포함하는"은 포괄적 또는 개방형 용어이며, 추가적인 인용되지 않은 재료 또는 방법 단계를 배제하지 않고; 용어 "~로 본질적으로 이루어진"은 청구범위를 명시된 재료 또는 단계, 및 청구된 발명의 기본적이고 신규한 특징에 실질적으로 영향을 주지 않는 추가적인 재료 또는 단계로 제한하고; 용어 "~로 이루어진"은 청구범위에 명시되지 않은 임의의 추가적인 재료 또는 방법 단계를 배제한다.
본원에서 사용되는 바와 같이, 용어 “고급 실란”은 SiaH2a+2(여기서 a는 4 내지 100임)를 의미하고 용어 “저급 실란”은 SinH2n+2(여기서, n은 1 내지 3임)를 의미한다. 고급 실란은 선형 또는 분지형일 수 있다.
본원에서 사용되는 바와 같이, "촉매"는 반응에서 전체 표준 깁스 에너지 변화를 변경하지 않고서 반응 속도를 증가시키는 물질을 의미한다. 본원에서 사용되는 바와 같이, 용어 “촉매”는 임의의 영구적인 화학적 변화를 겪지 않는 물질뿐만 아니라 임의의 영구적인 화학적 변화를 겪는 물질을 포함한다(후자는 때때로 “전촉매”로 지칭됨).
본원에서 사용되는 바와 같이, 용어 “불균일 촉매”는 반응물과는 상이한 상으로 존재하는 촉매(예컨대, 액체 반응물에 대비하여 고체 촉매; 또는 액체 반응물과 혼합될 수 없는 액체 촉매)를 의미한다. 불균일 촉매는, 본질적으로 불활성이거나 촉매보다 덜 활성인 지지체 상에 있을 수 있거나 그와 블렌딩될 수 있다.
본원에서 사용되는 바와 같이, 용어 “켄칭제”는 반응을 비활성화시키는 물질을 의미한다.
본원에서 사용되는 바와 같이, 용어 “체류 시간”은 저급 실란 반응물이 반응기를 통과하는 유동에서 소비하는 시간의 양을 의미한다.
본원에서 사용되는 바와 같이, 약어 "RT"는 대략 18℃ 내지 대략 25℃ 범위의 온도인 실온을 의미한다.
본원에서 사용되는 바와 같이, 용어 "히드로카르빌 기"는 탄소 및 수소를 함유하는 작용기를 지칭하고, 용어 "알킬 기"는 탄소 및 수소 원자만을 배타적으로 함유하는 포화 작용기를 지칭한다. 히드로카르빌 기는 포화되거나 포화되지 않을 수 있다. 두 용어 모두 선형, 분지형, 또는 환형 기를 지칭한다. 선형 알킬 기의 예는 제한 없이 메틸 기, 에틸 기, 프로필 기, 부틸 기 등을 포함한다. 분지형 알킬 기의 예는 제한 없이 t-부틸을 포함한다. 환형 알킬 기의 예는 제한 없이 시클로프로필 기, 시클로펜틸 기, 시클로헥실 기 등을 포함한다.
본원에서 사용되는 바와 같이, 약어 “Me”는 메틸 기를 지칭하고; 약어 “Et”는 에틸 기를 지칭하고; 약어 “Pr”은 프로필 기를 지칭하고; 약어 “nPr”은 “노르말” 또는 선형 프로필 기를 지칭하고; 약어 “iPr”은 이소프로필 기를 지칭하고; 약어 “Bu”는 부틸 기를 지칭하고; 약어 “nBu”는 “노르말” 또는 선형 부틸 기를 지칭하고; 약어 “tBu”는 1,1-디메틸에틸로도 공지된 tert-부틸 기를 지칭하고; 약어 “sBu”는 1-메틸프로필로도 공지된 sec-부틸 기를 지칭하고; 약어 “iBu”는 2-메틸프로필로도 공지된 이소-부틸 기를 지칭하고; 용어 “할라이드”는 할로겐 음이온 F-, Cl-, Br-, 및 I-를 지칭하고; 약어 “TMS”는 트리메틸실릴 또는 -SiMe3을 지칭한다.
본원에서 사용되는 바와 같이, 용어 “방향족 기”는 동일한 원자 집합을 갖는 다른 기하학적 또는 연결적 배열보다 더 큰 안정성을 나타내는 공명 결합 고리를 갖는 환형, 평면형 분자를 지칭한다. 예시적인 방향족 기는 치환 또는 비치환된 페닐 기(즉, C6R5, 여기서, R은 독립적으로 H 또는 히드로카르빌 기임)를 포함한다.
본원에서 사용되는 바와 같이, 용어 "독립적으로"는 R 기를 설명하는 문맥에서 사용될 때 대상 R 기가 동일하거나 상이한 하첨자 또는 상첨자를 갖는 다른 R 기에 대해 독립적으로 선택될 뿐만 아니라 동일한 R 기의 임의의 추가 화학종에 대해서도 독립적으로 선택된다는 것을 의미하는 것으로 이해되어야 한다. 예를 들어, 화학식 MR1 x (NR2R3)(4-x)(여기서, x는 2 또는 3임)에서, 2개 또는 3개의 R1 기는 서로 동일할 수 있거나 R2와 또는 R3과 동일할 수 있지만, 반드시 그러할 필요는 없다. 게다가, 특별히 달리 언급되지 않는 한, 상이한 화학식에서 사용될 때 R 기들의 값은 서로 독립적인 것으로 이해되어야 한다.
원소 주기율표로부터의 원소의 표준 약어가 본원에서 사용된다. 원소는 이러한 약어에 의해 지칭될 수 있음이 이해되어야 한다(예를 들어, Si는 규소를 지칭하고, C는 탄소를 지칭하고, H는 수소를 지칭하는 등임).
본원에서 사용되는 바와 같이, 주기율표는 화학 원소의 표 배열을 지칭하며; 주기율표의 I 족은 H, Li, Na, K, Rb, Cs, 및 Fr를 지칭한다. 주기율표의 II 족은 Be, Mg, Ca, Sr, Ba, 및 Ra를 지칭한다. 주기율표의 III 족은 B, Al, Ga, In, Tl, 및 Nh를 지칭한다.
본원에 언급된 임의의 그리고 모든 범위는 용어 "포괄적으로"의 사용 여부와 상관없이 그의 종점을 포함한다(즉, x는 1 내지 4인 것, 또는 x는 1 내지 4의 범위인 것은 x는 1인 것, x는 4인 것 및 x는 그 사이의 임의의 수인 것을 포함한다).
본 발명의 속성 및 목적을 더욱 잘 이해하기 위하여, 첨부 도면과 함께 다음의 상세한 설명이 참조되어야 하며, 이러한 도면에서, 참조 번호는 전체에 걸쳐 균일하게 사용된다:
도 1은 개시된 합성 방법이 수행될 수 있는 배치(batch) 장치의 개략도이고;
도 2는 개시된 합성 방법이 수행될 수 있는 통류식(flow-through) 장치의 개략도이고;
도 3도 2의 통류식 장치의 일 실시 형태의 개략도이고;
도 4도 3의 반응기의 일 실시 형태의 개략도이고;
도 5는 실리카 상의 VitrideTM 촉매를 통한 액체 Si3H8의 1회 통과 후 드라이아이스 트랩으로부터 휘발성 액체 생성물의 기체 크로마토그램이고(실시예 4);
도 6은 KN(SiMe3)2 촉매를 통한 액체 Si3H8의 1회 통과 후 드라이아이스 트랩으로부터 증류된 휘발성 액체 생성물의 기체 크로마토그램이고(실시예 8);
도 7은 주위 온도에서 시간 경과에 따른 n-Si4H10:i-Si4H10 비의 그래프이고;
도 8은 실온(삼각형) 또는 35℃(사각형)에서 시간 경과 후 1.2 L 스테인리스 강 버블러에서의 n-Si4H10:i-Si4H10 비의 그래프이다.
n-테트라실란을 선택적으로 합성하는 방법이 개시된다. 테트라실란의 이성질체들은 비점에 있어서 차이를 나타낸다. Gelest로부터의 온라인 카탈로그에 따르면 80 내지 90% n-Si4H10의 비점은 107℃이다. 대조적으로, i-Si4H10의 비점은 101.7℃이다. 문헌[ Feh
Figure 112021052414384-pct00187
r et al., Inorg. Nucl. Chem. Lett., 1973, 9, 931].
n-테트라실란 이소-테트라실란
증기 비점이 상이한 것에 더하여, 적어도 상기에 도시된 상이한 입체형태로 인해, 이성질체들은 또한 증발 거동 및 열안정성이 상이할 수 있다. 이러한 차이는 시간 경과에 따라 한 이성질체가 풍부해지는 경우 임의의 증기 공정에서 드리프트를 생성할 수 있다. 이러한 효과는 다른 유형의 이성질체에서도 입증되었다(예컨대, 문헌[Mehwash Zia and Muhammad Zia-ul-Haq, Journal of Contemporary Research in Chemistry (2016) 1(1): 34-41] 참조).
결과로서, 한 이성질체로 본질적으로 이루어지거나, 한 이성질체가 풍부하거나, 또는 고정된 이성질체 비를 갖는 테트라실란 전구체를 공급하는 것이 기상 증착 공정에서 재현가능한 사이클당 필름 성장 속도를 갖는 데 중요하다.
유사하게, 상이한 이성질체를 사용한 중합은 상이한 중합 생성물을 생성할 수 있다. 다시 말해, 이소-테트라실란은 n-테트라실란에 의해 생성되는 것보다 더 많은 분지를 갖는 중합체를 생성할 수 있다.
출원인은 테트라실란 이성질체 비를 조정하고 최적화하는 방법을 발견하였다. 실란(SiH4), 디실란(Si2H6), 트리실란(Si3H8), 또는 이들의 혼합물의 불균일 촉매 작용에 의해 순수한 이성질체 또는 이성질체 풍부 혼합물이 제조된다. 저급 실란 반응물(즉, SinH2n+2, 여기서, n은 1 내지 3임)은 상업적 이용능성으로 인해 매력적인 출발 재료를 제공한다. 다양한 공정 파라미터가 원하는 이성질체 비를 생성하도록 조정될 수 있다. 예시적인 공정 파라미터는 출발 화합물의 상대적인 양 및 촉매 선택을 포함한다. 배치 공정의 온도 및 반응 시간 또는 통류식 공정에서의 체류 시간이 또한 이성질체 수율에 영향을 줄 수 있다. 생성되는 고급 실란 생성물은 이성질체 함량 특이적이며 순도가 높다. 당업자는 이러한 반응물 및 생성물을 사용하여 작업할 때 안전 프로토콜이 필요함을 알 것이다.
테트라실란은 SinH(2n+2) 반응물(여기서, n은 1 내지 3임)을, 불균일 촉매와 반응시킴으로써 합성된다. SinH(2n+2) 반응물은 SiH4, Si2H6, Si3H8, 또는 이들의 조합일 수 있다. 이러한 반응물은 구매가능하다. 이러한 반응물은 개시된 공정에서 기체 또는 액체 형태로, 또는 혼합물의 경우, 조합으로서 사용될 수 있다. 예를 들어, 반응물은 기체 Si2H6 및 액체 Si3H8일 수 있다.
하기의 실시예에서, SinH(2n+2) 반응물은 기체 또는 액체 Si3H8, 또는 액체 Si2H6과 액체 Si3H8의 혼합물이다. 실시예는 기체 Si3H8의 사용과 비교하여 액체 Si3H8의 사용이 더 우수한 n-Si4H10/i-Si4H10 선택도를 생성함을 입증한다. 실시예 4는 Si2H6/Si3H8 반응 혼합물이 중질 폴리실란(Si ≥ 6)의 수를 감소시킴을 입증한다. 결과로서, 원하는 폴리실란의 합성은 적절한 SiaH(2a+2) 반응물을 선택함으로써 최적화될 수 있다. 중질 폴리실란의 수를 감소시킬 일부 선택적인 반응물 조합은 대략 0.1 % w/w 내지 대략 60% w/w의 Si3H8과 대략 40% w/w 내지 99.9% w/w의 Si2H6; 대략 0.1 % w/w 내지 대략 25% w/w의 Si3H8과 대략 75% w/w 내지 99.9% w/w의 Si2H6; 또는 대략 0.1 % w/w 내지 대략 10% w/w의 Si3H8과 대략 90% w/w 내지 99.9% w/w의 Si2H6을 포함한다.
불균일 촉매는 주기율표의 I, II 또는 III 족 원소 또는 이의 산화물, 알킬, 수소화물, 실라나이드, 또는 실릴 아미드로부터, 바람직하게는 I 및/또는 III 족으로부터 선택되며, 더욱 바람직하게는 K 및/또는 Al이 대략 5:1 내지 대략 15:1 범위의 비를 갖는 n-Si4H10:i-Si4H10 혼합물을 생성하는 데 적합하다. 배치 공정에서 여과를 사용하여 반응물 및 생성물로부터 불균일 촉매가 분리될 수 있기 때문에 , 개시된 촉매 반응은 켄칭제의 사용을 필요로 하지 않는다. 대안적으로, 통류식 반응기는 SiaH(2a+2) 반응물의 촉매적 전환을 허용하기에 적합한 체류 시간을 갖도록 설계될 수 있다.
불균일 촉매는 I, II, 또는 III 족 원소일 수 있다. 예시적인 촉매는 K 또는 Na를 포함한다. 이러한 촉매는 구매가능하거나 또는 당업계에 공지된 방법에 의해 합성될 수 있다. 예를 들어, 30 내지 40% Na/실리카는 SiGNa Chemistry, Inc에 의해 상표명 ActiveGelTM로 판매된다.
불균일 촉매는 금속 산화물 촉매일 수 있다. 예시적인 산화물 촉매는 Na2O 또는 K2O를 포함한다. 이러한 촉매는 구매가능하거나 또는 당업계에 공지된 방법에 의해 합성될 수 있다.
불균일 촉매는 금속 알킬 촉매 MR(여기서, R은 C1-C4 탄화수소임)일 수 있다. 예시적인 금속 알킬 촉매는 BuLi를 포함한다. 이러한 촉매는 구매가능하거나 또는 당업계에 공지된 방법에 의해 합성될 수 있다.
불균일 촉매는 금속 수소화물 촉매일 수 있다. 예시적인 금속 수소화물은 NaH, KH, RbH, CsH, MgH2, CaH2, SrH2, BaH2, AlH3, AlH32, AlH33, AlH3(L), GaH3, GaH32, GaH33, GaH3(L), 및 이들의 조합을 포함하며, 여기서, n은 1, 2, 또는 3이고 L은 NR3이며, 각각의 R은 독립적으로 CmH2m+1이고 m은 1 내지 10이다. 예를 들어, L은 치환된 에틸렌디아민일 수 있다. 예시적인 에틸렌디아민-함유 금속 수소화물 촉매는 AlH3(N,N,N',N'-테트라메틸-에틸렌디아민), AlH3(N,N,N',N'-테트라메틸-프로판디아민), GaH3(N,N,N',N'-테트라메틸-에틸렌디아민), 및/또는 GaH3(N,N,N',N'-테트라메틸-프로판디아민)을 포함한다. 이러한 촉매는 구매가능하거나 또는 당업계에 공지된 방법에 의해 합성될 수 있다.
금속 수소화물 촉매는 혼합 금속 수소화물 촉매일 수 있다. 예시적인 혼합 금속 수소화물 촉매는 LiAlH4, LiAlHnR4-n, NaAlHnR4-n, KAlHnR4-n, RbAlHnR4-n, CsAlHnR4-n, 및 이들의 조합을 포함하며, 여기서, n은 1, 2, 또는 3이고 각각의 R은 독립적으로 CmH2m+1(여기서, m은 1 내지 10임), 산소 또는 질소 원자를 갖는 지방족 기, 또는 방향족 기이다. 예시적인, 산소 또는 질소 원자를 갖는 지방족 기는 -CH2OMe, -CH2CH2OMe, -OCH2CH2CH2OMe, -CH2CH2NMe2, 방향족 기, 및 이들의 조합을 포함한다. 예시적인 방향족 기는 페닐 및 치환된 페닐을 포함한다. 이러한 촉매는 구매가능하거나 또는 당업계에 공지된 방법에 의해 합성될 수 있다. 특히 바람직한 혼합 금속 할라이드 촉매는 소듐 비스(2-메톡시에톡시)알루미늄 수소화물 [Na(-O(Me)-C2H4-O-)2AlH2]이다.
불균일 촉매는 금속 실릴아미드 촉매일 수 있다. 금속 실릴아미드 촉매는 화학식 M[N(SiR3)2]x를 가질 수 있으며, 여기서, M이 Li, Na, 또는 K일 때 x는 1이고; M이 Mg, Ca, Sr, 또는 Ba일 때 x는 2이고; M이 Al 또는 Ga일 때 x는 3이고; 각각의 R은 독립적으로 CmH2m+1(여기서, m은 1 내지 10임) 또는 방향족 기이며, 바람직하게는 m은 1 내지 4이다. R은 Me, Et, nPr, iPr, nBu, iBu, tBu, Ph, MePh, 또는 다른 치환된 페닐일 수 있다. 이러한 촉매는 구매가능하거나 또는 당업계에 공지된 방법에 의해 합성될 수 있다. 특히 바람직한 금속 실릴아미드 촉매는 소듐 비스(트리메틸실릴)아미드 [NaN(SiMe3)2] 및 칼륨 비스(트리메틸실릴)아미드 [KN(SiMe3)2]를 포함한다. 하기의 실시예에 나타나 있는 바와 같이, KN(SiMe3)2를 사용한 액체 Si3H8의 촉매 작용은 실온 및 41℃ 둘 모두에서 높은 n-Si4H10:i-Si4H10 선택도를 생성한다. 출원인은 NaN(SiMe3)2가 유사한 결과를 생성할 것이라고 생각한다.
불균일 촉매는 금속 실라나이드 촉매일 수 있다. 금속 실라나이드 촉매는 화학식 Na[Al(SiH3)(Si2H5)(OCH2CH2OMe)2], M(SinH2n-1)x, 또는 M(SiR3)x를 가질 수 있으며, 여기서, n은 1 내지 4이고 M이 Li, Na, K, Rb, 또는 Cs일 때 x는 1이고; M이 Mg, Ca, Sr, 또는 Ba일 때 x는 2이고; M이 Al 또는 Ga일 때 x는 3이고; 각각의 R은 독립적으로 CmH2m+1(여기서, m은 1 내지 10임) 또는 방향족 기이다. R은 Me, Et, nPr, iPr, nBu, iBu, tBu, Ph, MePh, 또는 다른 치환된 페닐일 수 있다. 현재, 이들 전구체는 구매가능하지 않으며 발화성 고체이기 때문에 합성하기 어렵다. 그러나, GC 및 FT-IR 데이터에 기초하여, 금속 실릴아미드 촉매, 금속 알킬 촉매, 또는 Vertellus Holdings LLC에 의해 상표명 VitrideTM으로 또는 Sigma-Aldrich Biotechnology LP에 의해 Red-Al®로 판매되는 Na[AlH2(OCH2CH2OMe)2]가 전촉매로서 작용하고 금속 실라나이드 촉매로 전환될 수 있다고 출원인은 생각한다. 더욱 구체적으로, 출원인은 다음 반응이 일어날 수 있다고 생각한다:
Si3H8 + KN(SiMe3)2 → KSi2H5 + H3SiN(SiMe3)2;
Si3H8 + LiBu → LiSi2H5 + H3SiBu; 또는
2 Si3H8 + Na[AlH2(OCH2CH2OMe)2] = Si2H6 + SiH4 + Na[Al(SiH3)(Si2H5)(OCH2CH2OMe)2]
결과로서, 고체 KSi2H5, LiSi2H5, 또는 a[Al(SiH3)(Si2H5)(OCH2CH2OMe)2] 촉매는 SinH2n+2 반응물을, 대략 5:1 내지 대략 15:1 범위의 비를 갖는 n-Si4H10:i-Si4H10 혼합물을 함유하는 SiaH(2a+2) 혼합물(여기서, a는 1 내지 6임)로 촉매적으로 변환한다.
불균일 촉매는 둘 이상의 촉매의 혼합물일 수 있다. 예시적인 촉매 혼합물은 K와 K2O 또는 Na와 Na2O를 포함한다. 이러한 촉매는 구매가능하거나 또는 당업계에 공지된 방법에 의해 합성될 수 있다. 예를 들어, 12 내지 15% Na2O/0 내지 3% Na/85 내지 90% Al2O3이 SiGNa Chemistry, Inc.에 의해 상표명 ActivelsomTM으로 판매된다.
상기에 개시된 불균일 촉매 중 임의의 것은 지지체 상에 위치될 수 있다. 예시적인 지지체는 알루미나(Al2O3), 실리카(SiO2), 또는 이들의 조합을 포함한다. 당업자는 촉매가 지지체에 물리적으로 및/또는 화학적으로 결합될 수 있음을 알 것이다. 예를 들어, 촉매는 실리카 또는 알루미나 지지체 상의 -OH 기와 화학적으로 반응할 수 있다. 대안적으로, 촉매 및 지지체는 화학 반응이 일어나지 않고서 단순히 물리적으로 함께 혼합될 수 있다. 다른 대안에서, 촉매와 지지체를 물리적으로 혼합하는 것은 물리적 및 화학적 결합 둘 모두를 초래할 수 있다. 불균일 촉매는 총 불균일 촉매 및 지지체 조합의 대략 0.1% w/w 내지 대략 70% w/w를 구성할 수 있다. 대안적으로, 불균일 촉매는 총 불균일 촉매 및 지지체 조합의 대략 1% w/w 내지 대략 50% w/w를 구성할 수 있다.
다른 대안에서, 불균일 촉매는 펠릿 형태로 상업적으로 공급될 수 있다.
하기의 실시예에 나타나 있는 바와 같이, 청구된 불균일 촉매는 종래 기술의 IV 족(Ti, Zr, Hf), VIII 족(Ru), IX 족(Co, Rh, Ir), 및 X 족(Ni, Pd, Pt)의 전이 금속 촉매 및 란타나이드(Nd)보다 중합 공정을 더 많이 제어할 수 있다. 추가적으로, 종래 기술의 균일 촉매와는 달리, 청구된 불균일 촉매는 또한 반응 혼합물로부터 용이하게 분리될 수 있다. 결과로서, 반응을 상업적 부피로 확장하기 더 용이하며 반응 생성물을 반도체 허용가능한 수준(즉, 매우 순수함)으로 정제하기 더 용이하다.
저급 실란 반응물(즉, SinH2n+2, 여기서, n은 1 내지 3임)이 불균일 촉매와 접촉할 때 저급 실란 반응물의 촉매 작용이 일어난다. 반응은 배치 반응기 또는 통류식 반응기에서 일어날 수 있다. 저급 실란 반응물 및 촉매가 배치 반응기에서 혼합되어 혼합물을 형성할 수 있다. 반응물 및 촉매에 따라, 혼합물은 대략 1시간 내지 대략 24시간 범위의 기간 동안 혼합될 수 있다.
배치 반응은 대략 실온 내지 대략 53℃ 범위의 온도에서 수행될 수 있다. 대안적으로, 반응은 대략 15℃ 내지 대략 50℃ 범위의 온도에서 수행될 수 있다. 다른 대안에서, 반응은 대략 15℃ 내지 대략 30℃ 범위의 온도에서 수행될 수 있다. 당업자는 선택되는 촉매뿐만 아니라 원하는 SinH(2n+2) 생성물에 따라 반응 온도가 달라질 것임을 알 것이다. 실시예 1의 표 1에 나타나 있는 바와 같이, 더 높은 온도는 중질 폴리실란(Si ≥6)을 생성하는 경향이 있다. SinH(2n+2) 생성물은 촉매 및/또는 임의의 고체 SinH(2n+2) 생성물과 같은 고형물을 제거하도록 여과될 수 있다.
유동 반응기에서, SiaH(2a+2) 반응물은 촉매 펠릿, 또는 글라스 울 상에 지지된 촉매를 수용하는 반응기를 통해 유동할 수 있다. SiaH(2a+2) 반응물은 반응기 내의 체류 시간이 대략 200초 내지 대략 600초의 범위일 수 있다. 반응기 내의 압력은 대략 10 psig(69 kPa) 내지 대략 50 psig(345 kPa)의 범위일 수 있다.
유동 반응은 대략 15℃ 내지 대략 170℃ 범위의 온도에서 수행될 수 있다. 대안적으로, 반응은 대략 15℃ 내지 대략 150℃ 범위의 온도에서 수행될 수 있다. 다른 대안에서, 반응은 대략 15℃ 내지 대략 100℃ 범위의 온도에서 수행될 수 있다. 다른 대안에서, 반응은 대략 15℃ 내지 대략 50℃ 범위의 온도에서 수행될 수 있다. 다른 대안에서, 반응은 대략 20℃ 내지 대략 150℃ 범위의 온도에서 수행될 수 있다. 다른 대안에서, 반응은 대략 50℃ 내지 대략 100℃ 범위의 온도에서 수행될 수 있다. 당업자는 선택된 촉매뿐만 아니라 원하는 SinH(2n+2) 생성물에 따라 반응 온도가 달라질 것임을 알 것이다. 실시예 1의 표 1에 나타나 있는 바와 같이, 더 높은 온도는 중질 폴리실란(Si ≥6)을 생성하는 경향이 있다.
촉매는 저급 실란 반응물을 SiaH(2a+2) 혼합물(여기서, a는 1 내지 6임)로 변환한다. n-Si4H10:i-Si4H10 혼합물이 SiaH(2a+2) 혼합물로부터 단리된다. n-Si4H10:i-Si4H10 혼합물은 대략 5:1 내지 대략 15:1 범위의 비를 갖는다. n-Si4H10:i-Si4H10 혼합물은 분별 증류되어, 대략 95% w/w 내지 대략 100% w/w의 n-Si4H10, 바람직하게는 대략 98% w/w 내지 대략 100% w/w의 n-Si4H10을 포함하는 Si-함유 필름 형성 조성물을 형성한다.
당업자는 저급 실란 반응물이 치환된 것인지의 여부에 따라 반응 속도 및 생성물 수율이 달라질 것임을 알 것이다. 청구된 비치환된 저급 실란(즉, SinH(2n+2), 여기서, n은 1 내지 3임)에 의해 생성되는 반응 생성물은 하나 이상의 유기 기를 함유하는 치환된 실란(즉, SinRxH(2n+2-x), 여기서, R은 유기 기이고 X는 1 이상임)에 의해 생성되는 것과는 상이할 것이다. Nippon Oil Co, Ltd.의 미국 특허 제5,700,400호는 Ru 및 Rh 촉매의 사용을 개시하지만, Ru/C 및 Rh/C는 각각 비치환된 액체 또는 기체 트리실란의 변환에 활성이 아님을 입증하는 비교예 1 및 2를 참조한다.
촉매 반응은 H2, N2, Ar 또는 He와 같은 비반응성 가스의 존재 또는 부재 하에 수행될 수 있다. 비반응성 가스는 불활성 분위기를 유지하는 데 사용될 수 있다. 비반응성 가스는 또한 반응 혼합물을 희석하는 데 사용될 수 있다. 비반응성 가스는 또한 반응 혼합물의 유동을 원하는 범위 내로, 예를 들어 대략 0.1 내지 대략 1,000 mL/min, 대안적으로 대략 1 내지 대략 10 mL/min으로 유지하는 데 도움을 주기 위해 사용될 수 있다. 물론, 이러한 비반응성 가체의 첨가는 반응 생성물로부터 그를 제거하는 것을 추가로 필요로 한다. 그러므로, 다른 대안에서 그리고 하기의 실시예에 입증된 바와 같이, 촉매 반응은 반응물의 증기압 하에서 수행될 수 있다.
도 1은 저급 실란 반응물을, 대략 5:1 내지 대략 15:1 범위의 비를 갖는 n-Si4H10:i-Si4H10 혼합물로 촉매적으로 전환하기 위한 예시적인 배치 공정 시스템의 다이어그램이다. 도 1에서, 트리실란(10) 및 선택적으로 디실란(11)이 저급 실란 반응물로서 사용된다. 촉매 작용은 불활성 분위기, 예컨대 N2, 불활성 가스(즉, He, Ne, Ar, Kr, Xe), 또는 이들의 조합 하에서 수행될 수 있다. 진공 및/또는 불활성 가스 사이클을 적용함으로써 시스템의 다양한 부분(예컨대, 반응기(20), 증류 유닛(40), 증류 유닛(50) 등)으로부터 모든 공기를 제거하여야 한다. 불활성 가스는 또한 트리실란(10) 및 선택적인 디실란(11)을 가압하는 역할을 하여, 반응물을 반응기(20)로 전달하는 것을 보조할 수 있다. 액체 질소, 냉장 에탄올, 아세톤/드라이아이스 혼합물, 또는 열전달제, 예컨대 모노에틸렌 글리콜(MEG) 또는 Dow Corning Corp.에 의해 상표명 SYLTHERMTM으로 판매되는 열전달 유체가 시스템의 다양한 부분(예컨대, 증류 장비(40), 증류 장비(50))을 냉각하는 데 사용될 수 있다.
Si3H8 반응물(10) 및 선택적인 Si2H6 반응물(11)은 각각 라인(12) 및 라인(13)을 통해 반응기(20)에 첨가된다. 반응기(20)는 촉매(도시되지 않음)를 수용한다. 반응기(20)는 또한 교반 메커니즘(도시되지 않음), 예컨대 패들 혼합기 또는 균질화기를 포함한다. 반응기(20)에는 또한 다수의 “주입 포트”, 압력 게이지, 다이어프램 밸브(도시되지 않음)가 장착될 수 있다.
테트라실란 생성물(45)의 의도치 않은 반응 및/또는 오염을 방지하기 위해, 반응기(20) 및 트리실란(10) 및 선택적인 디실란(11) 반응물 및 임의의 생성물 및 부산물과 접촉하는 모든 구성요소(“접촉 구성요소”)는 깨끗하고 공기 및 수분이 없어야 한다. 반응기(20) 및 다른 접촉 구성요소에는 실란과 반응하거나 오염시킬 수 있는 어떠한 불순물도 없어야 한다. 반응기(20) 및 다른 접촉 구성요소는 또한 트리실란(10) 및 선택적인 디실란(11) 반응물 및 생성물 및 부산물과 상용성이어야 한다.
예시적인 반응기(20)에는 낮은 표면 거칠기 및 거울 마감을 갖는 스테인리스 강 캐니스터가 포함된다. 낮은 표면 거칠기 및 거울 마감은 기계 연마에 의해 및/또는 전해 연마에 의해 얻어질 수 있다. (a) 묽은 산(HF, HNO3) 또는 염기(KOH, NaOH)를 사용하는 세정 단계; 이어서 (b) 미량의 산 또는 염기의 완전한 제거를 보장하기 위해 고순도 탈이온수로 헹구는 단계; 이어서 (c) 반응기(20)를 건조하는 단계를 포함하지만 이에 한정되지 않는 처리에 의해 높은 순도가 얻어질 수 있다. 탈이온수(DIW) 헹굼(단계 b)의 완료는 헹굼수의 전도도가 100 μS/cm에, 바람직하게는 25 μS/cm 미만에 도달할 때 나타날 수 있다.
건조 단계는 He, N2, Ar(바람직하게는 N2 또는 Ar)와 같은 불활성 가스로 퍼징하는 단계; 반응기(20) 또는 다른 접촉 구성요소 내의 압력을 감소시켜 표면으로부터의 가스 방출을 가속화하는 단계; 반응기(20) 또는 다른 접촉 구성요소를 가열하는 단계, 또는 이들의 임의의 조합을 포함할 수 있다. 건조 단계는 퍼지(그 동안 불활성 가스의 소정 유동이 용기를 통해 유동됨) 및 진공 단계의 교번하는 순서를 포함할 수 있다. 대안적으로, 건조 단계는 반응기(20) 또는 다른 접촉 구성요소에서 낮은 압력을 유지하면서 퍼지 가스를 일정하게 유동시킴으로써 수행될 수 있다. 반응기(20) 또는 다른 접촉 구성요소로부터 나오는 가스 내의 미량 H2O 수준을 측정함으로써 건조 효율 및 종점을 평가할 수 있다. 10 ppb 미만의 H2O를 갖는 입구 가스를 사용하면, 출구 가스는 수분 함량이 대략 0 ppm 내지 대략 10 ppm의 범위이고, 바람직하게는 대략 0 ppm 내지 대략 1 ppm의 범위이고, 더욱 바람직하게는 대략 0 ppb 내지 대략 200 ppb의 범위이어야 한다. 퍼지 단계 및 진공 단계 동안, 반응기(20) 또는 다른 접촉 구성요소를 가열하는 것은 건조 시간을 가속화하는 것으로 알려져 있다. 반응기(20)는 건조 동안 전형적으로 대략 40℃ 내지 대략 150℃ 범위의 온도로 유지된다.
일단 세정되고 건조되면, 반응기(20)는 총 누출 속도가 1x10-6 std cm3/s 미만, 바람직하게는 1x10-8 std cm3/s 미만이어야 한다.
촉매 작용을 위한 시스템을 제조하는 데 사용되거나 촉매 작용 공정 동안 사용되는 임의의 가스는 반도체 등급이어야 한다(즉 미량의 수분 및 산소와 같은 오염물이 없어야 하고(1 ppm 미만, 바람직하게는 10 ppb 미만), 입자가 없어야 한다(0.5 μm에서 리터당 5개 미만의 입자)).
반응기(20), 트리실란(10) 및 선택적인 디실란(11)의 공급물 용기, 테트라실란 생성물 용기, 및 임의의 다른 접촉 구성요소는 또한 반응 전에 실란, 디실란, 또는 트리실란과 같은 실릴화제에 대한 노출에 의해 부동태화되어야 한다. 부동태화는 저급 또는 고급 실란과 부동태화된 재료 사이의 반응을 최소화하는 데 도움을 준다.
도 1에 도시된 바와 같이, Si3H8 반응물(10) 및 선택적인 Si2H6 반응물(11)은 공기 및 수분이 없는 반응기(20) 내로의 도입 전에 라인(14)에서 혼합될 수 있다. 대안적으로, Si3H8 반응물(10) 및 선택적인 Si2H6 반응물(11)은 라인(12) 및 라인(13)을 통해 반응기(20) 내로 직접 도입될 수 있다(도시되지 않음). Si3H8 반응물(10) 및 선택적인 Si2H6 반응물(11)은 다이어프램 펌프, 연동 펌프, 또는 시린지 펌프와 같은 액체 정량 펌프(도시되지 않음)를 통해 반응기(20)에 첨가될 수 있다.
Si3H8 반응물(10) 및 선택적인 Si2H6 반응물(11)의 첨가의 완료 시에, 반응기(20)는 대략 25℃ 내지 대략 150℃ 또는 대안적으로 대략 15℃ 내지 대략 100℃ 범위의 온도로 가열될 수 있다. 반응기(20)는 재킷(도시되지 않음)에 의해 원하는 온도로 유지될 수 있다. 재킷은 입구 및 출구(도시되지 않음)를 가질 수 있다. 입구 및 출구는 가열 또는 냉각 유체의 재순환을 제공하기 위해 열교환기/냉각기(도시되지 않음) 및/또는 펌프(도시되지 않음)에 연결될 수 있다. 대안적으로, 반응기(20)의 온도는 가열 테이프(도시되지 않음) 또는 가열 맨틀(도시되지 않음)을 사용하여 유지될 수 있으며, 가열 요소는 온도 제어 유닛(도시되지 않음)에 연결된다. 반응기(20)의 내용물의 온도를 모니터링하기 위해 온도 센서(도시되지 않음)가 사용될 수 있다.
저급 실란 반응물 및 촉매는 대략 0.1시간 내지 대략 72시간, 대안적으로 대략 1시간 내지 대략 30시간 범위의 기간 동안 교반될 수 있다. 혼합은 대략 대기압에서 수행될 수 있다. 반응의 진행은, 예를 들어, 기체 크로마토그래피를 사용하여 모니터링될 수 있다. 우세한 반응 생성물은 SiH4, Si4H10, Si5H12 등이다.
반응의 완료 시에, 반응기(20)는 대략 실온으로 냉각된다. 반응기(20)가 재킷형인 경우, 반응기(20) 및 그의 내용물을 냉각시키는 것을 돕기 위해 임의의 가열 유체가 냉각 유체로 대체될 수 있다. 액체 질소, 냉장 에탄올, 아세톤/드라이아이스 혼합물, 또는 열전달제가 반응기(20)를 냉각하는 데 사용될 수 있다. 대안적으로, 가열 테이프 또는 가열 맨틀과 같은 임의의 가열 메커니즘을 끌 수 있고 자연적인 냉각이 일어날 수 있다. 임의의 중질 액체 비휘발성 실란(23) 및 고체 반응 분산물을 촉매로부터 여과하고 라인(22)을 통해 반응기(20)로부터 제거한다. 휘발성 실란(21)은 압력차에 의해 반응기(20)로부터 스트리핑된다.
하나 이상의 트랩(30)에 휘발성 실란(21)을 수집하여 SiaH(2a+2) 혼합물(31)(여기서, a는 1 내지 6임)을 수득할 수 있다. 예시적인 트랩(30)에는 드라이아이스/이소프로판올, 드라이아이스/아세톤, 냉장 에탄올, 및/또는 액체 질소 트랩이 포함된다. 하나 이상의 용기에 SiaH(2a+2) 혼합물(31)을 수집하고 다음 공정 단계의 수행 전에 새로운 위치로 옮길 수 있다. 대안적으로, 혼합물(31)을 즉시 증류 유닛(40)로 보내서 임의의 반응물 및 반응 부산물로부터 반응 생성물을 추가로 단리할 수 있다. 증류 유닛(40)은 SiH4 반응 부산물(43), 휘발성 SinH2n+2(여기서, n은 5 이상임) 반응 부산물(44), 및 임의의 미반응 Si3H8 반응물(41) 및 선택적인 미반응 Si2H6 반응물(42)로부터 Si4H10 생성물(45)을 분리한다. 미반응 Si3H8 반응물(41) 및 선택적인 미반응 Si2H6 반응물(42)은 향후 공정에서의 사용을 위해 재순환될 수 있다.
다시 한 번, Si4H10 생성물(45)은 다음 공정 단계의 수행 전에 새로운 위치로 수송될 수 있다. 대안적으로, Si4H10 생성물(45)을 분별 증류 유닛(50)으로 보내서 i-테트라실란(52)으로부터 n-테트라실란(51)을 분리할 수 있다. 분별 증류는 고정 컬럼 또는 스피닝 밴드(spinning band) 컬럼을 사용하여 수행될 수 있다. 스피닝 밴드 증류 컬럼의 길이는 고정 컬럼의 길이보다 훨씬 더 짧으며, 더 적은 공간을 차지하기 때문에 밀집 시설에 사용하기에 바람직할 수 있다. 대략 90%의 n-테트라실란을 생성하기에 적합한 고정 컬럼은 대략 90 내지 대략 120개의 이론단을 필요로 할 것이며 대략 6 내지 7 미터의 높이일 것이다.
도 2는 저급 실란 반응물을, 대략 5:1 내지 대략 15:1 범위의 비를 갖는 n-Si4H10:i-Si4H10 혼합물로 촉매적으로 전환하기 위한 유동 공정의 다이어그램이다. 도 1로부터의 동일한 도면 부호가 도 2에서 동일한 구성요소에 대해 사용되었다. 도 1에서와 같이, 도 2의 접촉 구성요소의 전부가 깨끗하고 공기 및 수분이 없어야 한다. 도 1에서와 같이, 도 2의 촉매 작용은 불활성 분위기, 예컨대 N2, 불활성 가스(즉, He, Ne, Ar, Kr, Xe), 또는 이들의 조합 하에서 수행될 수 있다.
트리실란(10) 및 선택적으로 디실란(11)은 각각 라인(12) 및 라인(13)을 통해 유동 반응기(25)에 첨가된다. 도 1에서와 같이, Si3H8 반응물(10) 및 선택적인 Si2H6 반응물(11)은 유동 반응기(25) 내로의 도입 전에 라인(14)에서 혼합될 수 있다. 대안적으로, Si3H8 반응물(10) 및 선택적인 Si2H6 반응물(11)은 라인(12) 및 라인(13)을 통해 유동 반응기(25) 내로 직접 도입될 수 있다(도시되지 않음). Si3H8 반응물(10) 및 선택적인 Si2H6 반응물(11)은 다이어프램 펌프, 연동 펌프, 또는 시린지 펌프와 같은 액체 정량 펌프(도시되지 않음)를 통해 유동 반응기(25)에 첨가될 수 있다. 바람직하게는, 혼합은 불활성 분위기 하에 대략 대기압에서 수행된다.
하기 도 4의 논의에서 더 상세하게 제공되는 바와 같이, 촉매 (도시되지 않음)가 유동 반응기(25) 내에 위치된다. 유동 반응기(25)는 대략 25℃ 내지 대략 250℃, 대안적으로 대략 40℃ 내지 대략 250℃ 또는 다른 대안에서, 대략 50℃ 내지 대략 100℃ 범위의 온도로 유지된다. 선택된 온도는 선택된 촉매뿐만 아니라 목표 반응 생성물에 좌우될 것이다. 유동 반응기(25)는 대략 0.1 atm 내지 대략 10 atm 범위의 압력으로 유지된다. 트리실란(10) 및 선택적으로 디실란(11) 반응물의 유동은 유동 반응기(25)에서 대략 0.01 내지 대략 100분의 체류 시간, 대안적으로 대략 2분 내지 대략 20분의 체류 시간, 대안적으로 대략 1초 내지 대략 1,000초의 체류 시간, 또는 다른 대안에서, 대략 100초 내지 대략 600초의 체류 시간을 제공하도록 선택된다.
SiaH(2a+2) 혼합물(26)(여기서, a는 1 내지 6임)은 유동 반응기(25)를 통과한 후에 저장소(35)에서 수집된다. 저장소(35)는 드라이아이스/이소프로판올, 드라이아이스/아세톤, 냉장 에탄올, 및/또는 액체 질소 트랩을 포함하지만 이에 한정되지 않는 임의의 종류의 트랩일 수 있다.
상기 도 1에서와 같이, 하나 이상의 용기에 SiaH(2a+2) 혼합물(31)을 수집하고 다음 공정 단계의 수행 전에 새로운 위치로 옮길 수 있다. 대안적으로, 혼합물(31)을 즉시 증류 유닛(40)로 보내서 임의의 반응물 및 반응 부산물로부터 반응 생성물을 추가로 단리할 수 있다. 증류 유닛(40)은 SiH4 반응 부산물(43), 휘발성 SinH2n+2(여기서, n은 5 이상임) 반응 부산물(44), 및 임의의 미반응 Si3H8 반응물(41) 및 선택적인 Si2H6 반응물(42)로부터 Si4H10 생성물(45)을 분리한다. 미반응 Si3H8 반응물(41) 및 선택적인 미반응 Si2H6 반응물(42)은 재순환될 수 있다. 이러한 연속 합성 공정 동안 품질을 유지하기 위해 미반응 Si3H8 반응물(41) 및 선택적인 미반응 Si2H6 반응물(42)의 실시간 분석 및 정제, 예컨대, 필터 및/또는 원위치(in-situ) GC 분석이 제공될 수 있다.
다시 한 번, Si4H10 생성물(45)은 다음 공정 단계의 수행 전에 새로운 위치로 수송될 수 있다. 대안적으로, Si4H10 생성물(45)을 분별 증류 유닛(50)으로 보내서 i-테트라실란(52)으로부터 n-테트라실란(51)을 분리할 수 있다. 분별 증류는 고정 컬럼 또는 스피닝 밴드 컬럼으로 형성될 수 있다. 스피닝 밴드 증류 컬럼의 길이는 고정 컬럼의 길이보다 훨씬 더 짧으며, 더 적은 공간을 차지하기 때문에 밀집 시설에 사용하기에 바람직할 수 있다. 대략 90%의 n-테트라실란을 생성하기에 적합한 고정 컬럼은 대략 90 내지 대략 120개의 이론단을 필요로 할 것이며 대략 6 내지 7 미터의 높이일 것이다.
도 3도 2의 유동 반응기(20)의 다이어그램이다. 도면을 더 용이하게 판독할 수 있게 하기 위해 이 도면에는 밸브가 포함되어 있지 않음에 유의하십시오.
라인(102)을 통해 SinH(2n+2) 반응물을 유동 반응기(120)로 공급하기 위해 SinH(2n+2) 반응물(100)은 질소로 가압된다. 라인(102)은 또한 진공(110)에 연결된다. 유동 조절기(101)가 SinH(2n+2) 반응물이 유동을 제어한다. 유동 조절기(101)는 눈금형 니들 밸브, 전기 유량계 등일 수 있다. 게이지(103a)는 압력을 측정하고 그에 따라 조정하도록 유동 조절기(101)와 연통할 수 있다.
유동 반응기(120)는 2개의 열전쌍(121, 122)을 포함한다. 본원의 교시로부터 벗어남이 없이 더 많거나 적은 개수가 사용될 수 있다. 본원의 교시에서 사용하기 위해 적합한 예시적인 열전쌍에는 타입 K 또는 타입 J 열전쌍이 포함된다.
SiaH(2a+2) 반응 혼합물은 라인(123)을 통해 유동 반응기(120)를 빠져나온다. 압력 조절기(104)는 반응기(120) 내의 압력을 설정하고, SiaH(2a+2) 반응 혼합물을 유동 반응기(120)로부터 드라이아이스/이소프로판올 트랩(130)으로 이동시키는 압력차를 제공한다. 게이지(103b)는 반응기(120) 내의 압력을 표시한다. 드라이아이스/이소프로판올 트랩(130)은 대략 -78℃ 초과에서 응축되는 임의의 SiaH(2a+2) 반응 생성물을 포획한다.
드라이아이스/이소프로판올 트랩에서 포획되지 않은 임의의 휘발성 SiaH(2a+2) 반응 혼합물은 라인(131)을 통해 액체 질소 트랩(140)으로 응축된다. 액체 질소 트랩(140)은 대략 -78℃ 내지 대략 -196℃에서 응축되는 임의의 SiaH(2a+2) 반응 생성물을 포획한다. 라인(131)은 또한 진공 라인(110)에 연결된다. 압력 게이지(103c)는 라인(131) 내의 압력을 표시한다. SiH4 부산물은 라인(150)을 통해 배기 스크러버(exhaust scrubber)(도시되지 않음)로 보내진다. N2(105)는 배기 스크러버로 가는 도중에 SiH4 부산물을 희석하는 데 사용된다. 체크 밸브(106)는 이러한 발화성 부산물의 역류를 방지한다.
도 4도 3의 유동 반응기(120)의 다이어그램이다. 도 4에서, 밸브(201)는 스테인리스 강 튜브 유동 반응기(220)가 문제해결(troubleshooting) 또는 예방적 유지 보수를 위해 접근될 수 있도록 한다. 스테인리스 강 튜브 유동 반응기(220)는 2개의 열전쌍(221, 222)을 포함한다. 도 3에서와 같이, 본원의 교시로부터 벗어남이 없이 더 많거나 적은 개수가 사용될 수 있다. 글라스 울(202)이 스테인리스 강 튜브 유동 반응기(220)의 처음 및 끝에 위치될 수 있다. 촉매(도시되지 않음)는 반응기의 처음 및 끝에 위치된 글라스 울(202) 사이에 패킹될 수 있거나, 또는 유동 반응기(220)의 처음 및 끝에 있는 글라스 울(202) 사이에 패킹된 글라스 울(도시되지 않음) 상에 위치될 수 있다. 결과로서, SinH(2n+2) 반응물은 유동 반응기(220)의 처음에 있는 글라스 울을 통과할 때 촉매 작용 전에 가열될 수 있다. 당업자는 유리 비드 및 펠릿 촉매의 층이 글라스 울/촉매 혼합물 대신에 사용될 수 있음을 알 것이다.
필요하다면, 가열 테이프(203)가 스테인리스 강 튜브 유동 반응기(220)에 열을 제공한다. 단열재(204)는 스테인리스 강 튜브 유동 반응기(220)의 온도를 유지하는 데 도움을 준다. 당업자는 본원의 교시로부터 벗어남이 없이 대안적인 가열 수단이 또한 사용될 수 있음을 알 것이다. 예를 들어, 스테인리스 강 튜브 유동 반응기(220)는 대안적으로 오븐(도시되지 않음) 내에 배치될 수 있다. 그러한 실시 형태에서는, 단열재(204)가 필요하지 않을 것이다.
당업자는 개시된 방법을 수행하는 데 사용되는 시스템의 장비 구성요소에 대한 공급처를 알 것이다. 원하는 온도 범위, 압력 범위, 현지 규정 등에 기초하여 구성요소들의 어느 정도의 맞춤화가 필요할 수 있다. 예시적인 장비 공급 업체에는 스테인리스 강으로 제조된 Parr Instrument Company 장비 및 구성요소가 포함된다.
하기의 실시예에 나타나 있는 바와 같이, Si3H8 단독 또는 Si3H8과 Si2H6의 혼합물의 촉매적 변환은 테트라실란, 펜타실란 및 고급 실란의 형성을 야기한다. 수득되는 테트라실란은 또한 약 6 내지 15:1, 바람직하게는 약 8 내지 15:1의 비의 n-Si4H10과 i-Si4H10의 혼합물로서 존재한다.
n-Si4H10:i-Si4H10 혼합물(도 1도 2에서 50)의 분별 증류는 대략 90% w/w 내지 대략 100% w/w의 n-Si4H10, 바람직하게는 대략 95% w/w 내지 대략 100% w/w의 n-Si4H10, 더욱 바람직하게는 대략 97% w/w 내지 대략 100% w/w의 n-Si4H10을 포함하는 Si-함유 필름 형성 조성물을 생성한다. Si-함유 필름 형성 조성물은 대략 0% w/w 내지 대략 10% w/w의 i-Si4H10, 바람직하게는 대략 0% w/w 내지 대략 5% w/w의 i-Si4H10; 더욱 바람직하게는 대략 0% w/w 내지 대략 3% w/w의 i-Si4H10을 추가로 포함한다. 예를 들어, 1 cm 직경 및 100 cm 길이 스피닝 밴드 증류 컬럼을 사용하여 대략 192 그램의 3:1 n-Si4H10:i-Si4H10 혼합물을 분별 증류한 후에, 출원인은 대략 90% w/w 내지 대략 95% w/w의 n-테트라실란을 생성할 수 있었다. 당업자는 더 높은 n-Si4H10:i-Si4H10 비를 갖는 혼합물 및/또는 더 큰 증류 컬럼으로부터 더 높은 순도의 n-테트라실란을 수득할 수 있음을 알 것이다.
Si-함유 필름 형성 조성물은 순도가 대략 97% 몰/몰 내지 대략 100% 몰/몰, 바람직하게는 대략 99% 몰/몰 내지 대략 100% 몰/몰, 더욱 바람직하게는 대략 99.5% 몰/몰 내지 대략 100% 몰/몰, 및 더욱 더 바람직하게는 대략 99.97% 몰/몰 내지 대략 100% 몰/몰의 범위이다.
Si-함유 필름 형성 조성물은 바람직하게는 검출 한도 내지 100 ppbw의 각각의 잠재적인 금속 오염물(예컨대, 적어도 Ag, Al, Au, Ca, Cr, Cu, Fe, Mg, Mo, Ni, K, Na, Sb, Ti, Zn 등)을 포함한다.
Si-함유 필름 형성 조성물 내의 X(여기서, X는 Cl, Br, 또는 I임)의 농도는 대략 0 ppmw 내지 대략 100 ppmw, 더욱 바람직하게는 대략 0 ppmw 내지 대략 10 ppmw의 범위일 수 있다.
하기 실시예에 나타나 있는 바와 같이, 정제된 생성물은 기체 크로마토그래피 질량 분석법(GCMS)에 의해 분석될 수 있다. 생성물의 구조는 1H 및/또는 29Si NMR에 의해 확인될 수 있다.
상기에 상세히 논의되고 하기의 실시예에 예시된 바와 같이, Si-함유 필름 형성 조성물은 그의 순도를 유지하기 위해서 그와 반응하지 않는 깨끗한 건조 저장 용기에 저장되어야 한다.
개시된 합성 방법의 이점은 다음과 같다:
열분해 공정과 비교하여 공정 온도가 낮고 테트라실란 및 고급 실란의 수율이 높아서, 비용 및 생성물 단리 문제를 감소시키는 데 도움이 되고;
불균일 촉매의 적용은 반응 생성물이 촉매로 오염되는 문제를 본질적으로 없애며, 잔류 촉매로부터 반응 생성물을 정제하는 단계를 없애고;
불균일 촉매를 적용하면 반응 시간을 더 잘 제어할 수 있는 반면, 보고된 균일 촉매는 켄칭될 때까지, 대부분 제어되지 않은 방식으로 트리실란을 연속 중합하고;
이 공정은 무용매이고;
정제는 오직 증류에 의한 것이고;
폐기물 생성이 최소화되고 환경적으로 무해하고;
다수의 출발 재료가 저렴하며 용이하게 입수가능하다.
상기한 모든 것은 확장가능한 산업 공정을 개발하는 관점에서 유리하다. 결과로서, 균일 촉매를 사용하는 반응을 켄칭하는 데 필요할 수 있는 독성 유기 아민과 같은 안정제의 사용 없이 반응 생성물이 반도체 산업에 적합한 순도 수준을 유지한다.
개시된 Si-함유 필름 형성 조성물을 기상 증착 방법을 위해 사용하는 방법이 또한 개시된다. 개시된 방법은 전자 또는 광전자 장치 또는 회로의 제작을 위한 원소 규소 필름과 같은 규소-함유 필름의 증착을 위한 Si-함유 필름 형성 조성물의 용도를 제공한다. 개시된 방법은 반도체, 광전지, LCD-TFT, 또는 플랫 패널형 장치의 제조에 유용할 수 있다. 이 방법은 개시된 Si-함유 필름 형성 조성물의 증기를, 기판이 내부에 배치된 반응기 내로 도입하는 단계, 및 증착 공정을 통해 개시된 Si-함유 필름 형성 조성물의 적어도 일부를 기판 상에 증착하여 Si-함유 층을 형성하는 단계를 포함한다.
개시된 방법은 또한, 기상 증착 공정을 사용하여 기판 상에 바이메탈-함유 층을 형성하는 것, 그리고 더욱 구체적으로는, SiMOx 또는 SiMNx 필름(여기서, x는 0 내지 4일 수 있으며, M은 Ta, Nb, V, Hf, Zr, Ti, Al, B, C, P, As, Ge, 란타나이드(예컨대 Er), 또는 이들의 조합임)의 증착을 제공한다.
기판 상에 규소-함유 층을 형성하는 개시된 방법은 반도체, 광전지, LCD-TFT, 또는 플랫 패널형 장치의 제조에 유용할 수 있다. 개시된 Si-함유 필름 형성 조성물은 당업계에 공지된 임의의 기상 증착 방법을 사용하여 Si-함유 필름을 증착할 수 있다. 적합한 기상 증착 방법의 예에는 화학 기상 증착(CVD) 또는 원자층 증착(ALD)이 포함된다. 예시적인 CVD 방법에는 열 CVD, 플라즈마 강화 CVD(PECVD), 펄스화 CVD(PCVD), 저압 CVD(LPCVD), 대기압 미만(sub-atmospheric) CVD(SACVD), 대기압 CVD(APCVD), 유동성 CVD(f-CVD), 금속 유기 화학 기상 증착(MOCVD), 열선 CVD(HWCVD, cat-CVD로도 알려져 있으며, 열선이 증착 공정을 위한 에너지원으로서 역할을 함), 라디칼 혼입(radicals incorporated) CVD, 및 이들의 조합이 포함된다. 예시적인 ALD 방법에는 열 ALD, 플라즈마 강화 ALD(PEALD), 공간 분할형 ALD, 열선 ALD(HWALD), 라디칼 혼입 ALD, 및 이들의 조합이 포함된다. 초임계 유체 증착이 또한 사용될 수 있다. 이들 중에서, 열적 CVD 증착은 높은 증착 속도, 탁월한 필름 균일성, 및 컨포멀(conformal) 필름 품질이 필요한 공정을 위해 바람직하다. 열 ALD 증착은 가혹한 조건(예컨대 트렌치, 구멍, 또는 비아) 하에서 높은 균일성을 갖는 필름을 형성하는 공정을 위해 바람직하다. 한 가지 대안에서, PECVD 증착은, 특히 신속한 성장, 컨포멀리티(conformality), 공정-배향 및 일방향 필름이 필요한 경우에 바람직하다. 다른 대안에서, PEALD 증착 공정은, 특히 까다로운 표면(예컨대 트렌치, 구멍, 또는 비아) 상에 증착된 필름의 우수한 컨포멀리티가 필요한 경우에 바람직하다.
Si-함유 필름 형성 조성물의 증기가 기판을 수용하는 반응 챔버 내에 도입된다. 반응 챔버 내의 온도와 압력 및 기판의 온도는 기판 상에 Si-함유 필름 형성 조성물의 적어도 일부를 기상 증착하기에 적합한 조건으로 유지된다. 다시 말해, 기화된 조성물을 챔버 내에 도입한 후에, 챔버 내의 조건은 기화된 전구체의 적어도 일부가 기판 상에 증착되어 규소-함유 필름을 형성하도록 한다. Si-함유 층의 형성에 도움을 주기 위해 공반응물이 또한 사용될 수 있다.
반응 챔버는 제한 없이 평행-판형 반응기, 저온-벽형 반응기, 고온-벽형 반응기, 단일-웨이퍼 반응기, 다중-웨이퍼 반응기, 또는 다른 그러한 유형의 증착 시스템과 같은, 증착 방법이 수행되는 장치의 임의의 인클로저 또는 챔버일 수 있다. 이들 예시적인 반응 챔버는 모두 ALD 반응 챔버의 역할을 할 수 있다. 반응 챔버는 약 0.5 mTorr 내지 약 760 Torr 범위의 압력으로 유지될 수 있다. 또한, 반응 챔버 내의 온도는 약 20℃ 내지 약 700℃의 범위일 수 있다. 당업자는 원하는 결과를 달성하기 위해 단순한 실험을 통해 온도가 최적화될 수 있음을 알 것이다.
반응기의 온도는 기판 홀더의 온도를 제어하고/하거나 반응기 벽의 온도를 제어함으로써 제어될 수 있다. 기판을 가열하는 데 사용되는 장치는 당업계에 공지되어 있다. 반응기 벽은 충분한 성장 속도로 원하는 물리적 상태 및 조성의 원하는 필름을 얻기에 충분한 온도로 가열될 수 있다. 반응기 벽이 가열될 수 있는 비제한적인 예시적인 온도 범위는 대략 20℃ 내지 대략 700℃를 포함한다. 플라즈마 증착 공정이 이용되는 경우, 증착 온도는 대략 20℃ 내지 대략 550℃의 범위일 수 있다. 대안적으로, 열적 공정이 수행되는 경우, 증착 온도는 대략 300℃ 내지 대략 700℃의 범위일 수 있다.
대안적으로, 기판은 충분한 성장 속도로 원하는 물리적 상태 및 조성의 원하는 규소-함유 필름을 얻기에 충분한 온도로 가열될 수 있다. 기판이 가열될 수 있는 비제한적인 예시적인 온도 범위는 150℃ 내지 700℃를 포함한다. 바람직하게는, 기판의 온도는 500℃ 이하로 유지된다.
규소-함유 필름이 증착될 기판의 유형은 의도된 최종 용도에 따라 달라질 것이다. 기판은 일반적으로 공정이 수행되는 재료로서 정의된다. 기판은 반도체, 광전지, 플랫 패널, 또는 LCD-TFT 장치 제조에 사용되는 임의의 적합한 기판을 포함하지만 이에 한정되지 않는다. 적합한 기판의 예에는 규소 웨이퍼, 실리카 웨이퍼, 유리 웨이퍼, 또는 GaAs 웨이퍼와 같은 웨이퍼가 포함된다. 웨이퍼는 이전의 제조 단계로부터 웨이퍼 상에 증착된 상이한 재료들의 하나 이상의 층을 가질 수 있다. 예를 들어, 웨이퍼는 규소 층(결정질, 비정질, 다공성 등), 산화규소 층, 질화규소 층, 산질화규소 층, 탄소 도핑된 산화규소(SiCOH) 층, 또는 이들의 조합을 포함할 수 있다. 추가적으로, 웨이퍼는 구리 층, 텅스텐 층 또는 금속 층(예를 들어, 백금, 팔라듐, 니켈, 로듐, 또는 금)을 포함할 수 있다. 웨이퍼는 망간, 산화망간, 탄탈럼, 질화탄탈럼 등과 같은 배리어 층을 포함할 수 있다. 층은 평면이거나 패턴화될 수 있다. 일부 실시 형태에서, 기판은 패턴화된 포토레지스트 필름으로 코팅될 수 있다. 일부 실시 형태에서, 기판은 MIM, DRAM, 또는 FeRam 기술에서 유전체 재료로서 사용되는 산화물의 층(예를 들어, ZrO2계 재료, HfO2계 재료, TiO2계 재료, 희토류 산화물계 재료, 3원 산화물계 재료 등), 또는 구리와 저-k 층 사이의 전자이동 배리어 및 접착 층으로서 사용되는 질화물계 필름(예를 들어, TaN)을 포함할 수 있다. 개시된 공정은 규소-함유 층을 웨이퍼 상에 직접 증착할 수 있거나, 웨이퍼 상부의 층들 중 하나 또는 하나 초과의 층 상에 직접 증착할 수 있다(패턴화된 층이 기판을 형성하는 경우). 또한, 당업자는 본원에서 사용되는 용어 "필름" 또는 "층"이 표면 상에 놓여 있거나 표면에 걸쳐 퍼져있는 소정 두께의 일부 재료를 지칭하며 그러한 표면은 트렌치 또는 라인일 수 있음을 알 것이다. 명세서 및 청구범위 전반에 걸쳐, 웨이퍼 및 그 위의 임의의 연관된 층이 기판으로서 지칭된다. 이용되는 실제 기판은 이용되는 특정 전구체 실시 형태에 또한 좌우될 수 있다. 그러나, 많은 경우에, 이용되는 바람직한 기판은 수소화탄소, TiN, SRO, Ru, 및 Si형 기판, 예컨대 폴리실리콘 또는 결정질 규소 기판으로부터 선택될 것이다.
기판은 종횡비가 높은 비아 또는 트렌치를 포함하도록 패턴화될 수 있다. 예를 들어, 컨포멀 Si-함유 필름, 예컨대, SiN 또는 SiO2는 임의의 ALD 기술을 사용하여 대략 20:1 내지 대략 100:1 범위의 종횡비를 갖는 관통 규소 비아(through silicon via; TSV) 상에 증착될 수 있다.
Si-함유 필름 형성 조성물은 순수한(neat) 상태로 공급될 수 있다. 대안적으로, Si-함유 필름 형성 조성물은 기상 증착에 사용하기에 적합한 용매를 추가로 포함할 수 있다. 용매는 특히 C1-C16 포화 또는 불포화 탄화수소로부터 선택될 수 있다.
기상 증착의 경우, Si-함유 필름 형성 조성물은 튜빙(tubing) 및/또는 유량계와 같은 통상적인 수단에 의해 증기 형태로 반응기 내로 도입된다. 증기 형태는 직접 액체 주입, 캐리어 가스의 부재 하의 직접 증기 드로우와 같은 통상적인 기화 단계를 통해 Si-함유 필름 형성 조성물을 기화시킴으로써, 액체를 통해 캐리어 가스를 버블링함으로써, 또는 액체를 통해 버블링하지 않고서 캐리어 가스로 증기를 스위핑함으로써 생성될 수 있다. Si-함유 필름 형성 조성물은 액체 상태로 기화기에 공급될 수 있으며(직접 액체 주입), 기화기에서 기화되고 캐리어 가스와 혼합된 후에 반응기 내로 도입된다. 대안적으로, Si-함유 필름 형성 조성물은 조성물이 담긴 용기 내에 캐리어 가스를 통과시킴으로써 또는 조성물 내로 캐리어 가스를 버블링함으로써 기화될 수 있다. 캐리어 가스는 Ar, He, 또는 N2, 및 이들의 혼합물을 포함할 수 있지만 이에 한정되지 않는다. 이어서 캐리어 가스 및 조성물은 증기로서 반응기 내로 도입된다.
필요한 경우, Si-함유 필름 형성 조성물은 Si-함유 필름 형성 조성물이 충분한 증기압을 갖도록 하는 온도로 가열될 수 있다. 전달 장치는 예를 들어, 0 내지 150℃ 범위의 온도에서 유지될 수 있다. 당업자는 기화된 Si-함유 필름 형성 조성물의 양을 제어하기 위해 전달 장치의 온도가 공지된 방식으로 조정될 수 있음을 안다.
개시된 조성물에 더하여, 반응 가스가 또한 반응기 내로 도입될 수 있다. 반응 가스는 산화제, 예컨대 O2; O3; H2O; H2O2; N2O; 산소 함유 라디칼, 예컨대 O· 또는 OH·; NO; NO2; 카르복실산, 예컨대 포름산, 아세트산, 프로피온산; NO, NO2, 또는 카르복실산의 라디칼 종; 파라-포름알데히드; 및 이들의 혼합물일 수 있다. 바람직하게, 산화제는 O2, O3, H2O, H2O2, 이들의 산소 함유 라디칼(예컨대 O· 또는 OH·), 및 이들의 혼합물로 이루어진 군으로부터 선택된다. 바람직하게, ALD 공정이 수행될 때, 공반응물은 플라즈마 처리된 산소, 오존 또는 이들의 조합이다. 산화 가스가 사용되는 경우, 생성되는 규소 함유 필름은 또한 산소를 함유할 것이다.
대안적으로, 반응 가스는 H2, NH3, (SiH3)3N, 히드리도실란(예컨대 SiH4, Si2H6, Si3H8, Si4H10, Si5H10, Si6H12), 클로로실란 및 클로로폴리실란(예컨대 SiHCl3, SiH2Cl2, SiH3Cl, Si2Cl6, Si2HCl5, Si3Cl8), 알킬실란(예컨대 Me2SiH2, Et2SiH2, MeSiH3, EtSiH3), 히드라진(예컨대 N2H4, MeHNNH2, MeHNNHMe), 유기 아민(예컨대 NMeH2, NEtH2, NMe2H, NEt2H, NMe3, NEt3, (SiMe3)2NH), 디아민, 예컨대 에틸렌 디아민, 디메틸에틸렌 디아민, 테트라메틸에틸렌 디아민, 피라졸린, 피리딘, B-함유 분자(예컨대 B2H6, 트리메틸붕소, 트리에틸붕소, 보라진, 치환된 보라진, 디알킬아미노보란), 알킬 금속(예컨대 트리메틸알루미늄, 트리에틸알루미늄, 디메틸아연, 디에틸아연), 이들의 라디칼 종, 또는 이들의 혼합물일 수 있다. H2 또는 무기 Si 함유 가스가 사용되는 경우, 생성되는 규소 함유 필름은 순수 Si일 수 있다.
대안적으로, 반응 가스는 포화 또는 불포화된, 선형, 분지형 또는 환형 탄화수소, 예컨대 에틸렌, 아세틸렌, 프로필렌, 이소프렌, 시클로헥산, 시클로헥센, 시클로헥사디엔, 펜텐, 펜틴, 시클로펜탄, 부타디엔, 시클로부탄, 테르피넨, 옥탄, 옥텐, 또는 이들의 조합일 수 있지만 이에 한정되지 않는다.
반응 가스를 그의 라디칼 형태로 분해하기 위해, 반응 가스는 플라즈마에 의해 처리될 수 있다. 플라즈마로 처리될 때 N2가 또한 환원제로서 이용될 수 있다. 예를 들어, 플라즈마는 약 50 W 내지 약 500 W, 바람직하게, 약 100 W 내지 약 200 W 범위의 출력으로 발생될 수 있다. 플라즈마는 반응기 그 자체 내에서 발생되거나 존재할 수 있다. 대안적으로, 플라즈마는 일반적으로 반응기에서 벗어난 위치, 예를 들어, 원격으로 위치된 플라즈마 시스템에 있을 수 있다. 당업자는 그러한 플라즈마 처리에 적합한 방법 및 장치를 알 것이다.
원하는 규소-함유 필름은 예를 들어 그리고 제한 없이 B, P, As, Zr, Hf, Ti, Nb, V, Ta, Al, Si, 또는 Ge과 같은 다른 원소를 또한 함유한다.
Si-함유 필름 형성 조성물 및 하나 이상의 공반응물은 반응 챔버 내에 동시에(화학 기상 증착), 순차적으로(원자층 증착), 또는 다른 조합으로 도입될 수 있다. 예를 들어, Si-함유 필름 형성 조성물의 증기는 하나의 펄스로 도입될 수 있으며, 2개의 추가 금속 공급원이 별도의 펄스로 함께 도입될 수 있다(변경된 원자층 증착). 대안적으로, 반응 챔버는 Si-함유 필름 형성 조성물의 도입 전에 이미 공반응물을 함유할 수 있다. 공반응물은 반응 챔버 내에 국한되거나 반응 챔버로부터 떨어져 있는 플라즈마 시스템을 통과하여 라디칼로 분해될 수 있다. 대안적으로, Si-함유 필름 형성 조성물은 반응 챔버에 연속적으로 도입될 수 있는 반면, 다른 전구체 또는 반응물은 펄스에 의해 도입된다(펄스화-화학 기상 증착). 다른 대안에서, Si-함유 필름 형성 조성물 및 하나 이상의 공반응물은 샤워 헤드로부터 동시에 분무될 수 있으며, 그 아래에서 수 개의 웨이퍼를 수용하는 서셉터(susceptor)가 회전한다(공간형 ALD).
한 가지 비제한적인 예시적인 원자층 증착 공정에서는, Si-함유 필름 형성 조성물의 증기상이 반응 챔버 내로 도입되고, 반응 챔버에서 적합한 기판과 접촉된다. 이어서, 과량의 조성물은 반응 챔버를 퍼징하고/하거나 배기시킴으로써 반응 챔버로부터 제거될 수 있다. 산소 공급원은 반응 챔버 내로 도입되고, 반응 챔버에서, 흡수된 Si-함유 필름 형성 조성물과 자가-제한적인 방식으로 반응한다. 반응 챔버를 퍼징하고/하거나 배기시킴으로써 임의의 과량의 산소 공급원이 반응 챔버로부터 제거된다. 원하는 필름이 산화규소 필름인 경우에, 이러한 2-단계 공정은 원하는 필름 두께를 제공할 수 있거나, 필요한 두께를 갖는 필름이 수득될 때까지 반복될 수 있다.
대안적으로, 원하는 필름이 규소 금속/메탈로이드 산화물 필름(즉, SiMOx, 여기서, x는 0 내지 4일 수 있으며, M은 B, Zr, Hf, Ti, Nb, V, Ta, Al, Si, Ga, Ge, 또는 이들의 조합임)인 경우에, 상기 2-단계 공정에 후속하여 반응 챔버 내로 금속- 또는 메탈로이드-함유 전구체의 증기가 도입될 수 있다. 금속- 또는 메탈로이드-함유 전구체는 증착되는 규소 금속/메탈로이드 산화물 필름의 속성에 기초하여 선택될 것이다. 반응 챔버 내로 도입 후에, 금속- 또는 메탈로이드-함유 전구체는 기판과 접촉된다. 임의의 과량의 금속- 또는 메탈로이드-함유 전구체는 반응 챔버를 퍼징하고/하거나 배기시킴으로써 반응 챔버로부터 제거된다. 다시 한 번, 금속- 또는 메탈로이드-함유 전구체와 반응시키기 위해, 산소 공급원이 반응 챔버 내로 도입될 수 있다. 과량의 산소 공급원은 반응 챔버를 퍼징하고/하거나 배기시킴으로써 반응 챔버로부터 제거된다. 원하는 필름 두께가 달성되면, 공정을 종료할 수 있다. 그러나, 더 두꺼운 필름이 요구되는 경우, 전체 4-단계 공정을 반복할 수 있다. Si-함유 필름 형성 조성물, 금속- 또는 메탈로이드-함유 전구체, 및 산소 공급원의 제공을 교번함으로써, 원하는 조성 및 두께의 필름이 증착될 수 있다.
추가적으로, 펄스의 수를 변화시킴으로써, 원하는 화학량론적 M:Si 비를 갖는 필름이 얻어질 수 있다. 예를 들어, SiMO2 필름은 Si-함유 필름 형성 조성물의 1 펄스 및 금속- 또는 메탈로이드-함유 전구체의 1 펄스를 가짐으로써 수득될 수 있으며, 각 펄스 후에 산소 공급원의 펄스가 이어진다. 그러나, 당업자는 원하는 필름을 수득하기 위해 필요한 펄스의 수가 생성되는 필름의 화학양론적 비와 동일하지 않을 수 있음을 알 것이다.
상기에서 논의된 공정으로부터 생성된 규소-함유 필름은 SiO2; SiC; SiN; SiON; SiOC; SiONC; SiBN; SiBCN; SiCN; SiMO, SiMN(여기서, M은 물론 M의 산화 상태에 따라, Zr, Hf, Ti, Nb, V, Ta, Al, Ge로부터 선택됨)를 포함할 수 있다. 당업자는 적절한 Si-함유 필름 형성 조성물 및 공반응물의 공정한 선택에 의해, 원하는 필름 조성물이 수득될 수 있음을 알 것이다.
원하는 필름 두께를 얻은 때에, 필름은 열적 어닐링, 노-어닐링(furnace-annealing), 급속 열적 어닐링(rapid thermal annealing), UV 또는 e-빔 경화, 및/또는 플라즈마 가스 노출과 같은 추가 공정을 거칠 수 있다. 당업자는 이들 추가 가공 단계를 수행하는 데 이용되는 시스템 및 방법을 안다. 예를 들어, 규소-함유 필름은 불활성 분위기, H-함유 분위기, N-함유 분위기, 또는 이들의 조합 하에서 대략 0.1초 내지 대략 7200초 범위의 시간 동안 대략 200℃ 내지 대략 1000℃ 범위의 온도에 노출될 수 있다. 가장 바람직하게, 온도는 3600초 미만 동안 600℃이다. 더욱 더 바람직하게, 온도는 400℃ 미만이다. 어닐링 단계는 증착 공정이 수행되는 동일한 반응 챔버에서 수행될 수 있다. 대안적으로, 기판은 반응 챔버로부터 제거될 수 있고, 별도의 장치에서 어닐링/플래시 어닐링 공정이 수행된다. 임의의 상기 후처리 방법, 그러나 특히 UV-경화는 필름의 연결성(connectivity) 및 가교결합을 향상시키기 위해 그리고 필름이 SiN 함유 필름인 경우 필름의 H 함량을 감소시키기 위해 효과적인 것으로 밝혀졌다. 전형적으로, 가장 높은 밀도를 갖는 필름을 수득하기 위해 400℃ 미만(바람직하게는 약 100℃ 내지 300℃)으로의 열적 어닐링과 UV 경화의 조합이 사용된다.
본 발명의 속성 및 목적을 더욱 잘 이해하기 위하여, 첨부 도면과 함께 다음의 상세한 설명이 참조되어야 한다.
실시예
본 발명의 실시 형태를 추가로 예시하기 위해 다음의 비제한적인 실시예가 제공된다. 그러나, 실시예는 모든 것을 포괄하도록 의도된 것이 아니며, 본원에 기술된 본 발명의 범위를 제한하도록 의도된 것이 아니다.
반응 생성물은 생성물 스트림의 일부 또는 생성물의 분취물을 사용하여 기체 크로마토그래피(GC)와 같은 임의의 적합한 수단에 의해 분석될 수 있다. 다음 실시예에서는, 열전도도 검출기(Thermal Conductivity Detector; TCD)가 장착된 Agilent 7890A 및 Agilent 6890 기체 크로마토그래프에서 GC 분석을 수행하였다. 주입 포트는 불활성 (N2 또는 Ar) 분위기 하에 있었다.
예시적인 방법: 컬럼: Rtx-1 (크로스 본드 디메틸 폴리실록산) 105m x 0.53mm x 5μm. 검출기 T = 250 ℃; 기준 유동: 20mL/min; 메이크업 유동: 5mL/min; 캐리어 가스: 5 mL/min (헬륨); 오븐: 35 ℃, 8min, 램프(ramp) 20 ℃/min, 200 ℃, 13 min; 주입기: 200 ℃; 비분할 모드; 샘플 크기: 1.0 μL.
실시예 1: 청구된 촉매의 요약
출원인은 놀랍게도 표 1 및 2에 요약된 바와 같은, 주기율표의 I, II, 및/또는 III 족의 원소를 포함하는 불균일 촉매의 선택적 촉매 활성을 밝혀내었다:
[표 1] 액체 Si3H8을 전환하기 위한 청구된 촉매의 활성
적용된 조건에서, n-Si 4 H 10 에 대한 선택도: KH > KN(SiMe3)2
Figure 112021052414384-pct00199
KSiPh3 > LiAlH4 > VitrideTM / Silica > ActivelsomTM > ActiveGelTM > MgH2 > BuLi
액체 Si 3 H 8 의 변환에서의 활성: VitrideTM / Silica > KSiPh3 > KN(SiMe3)2
Figure 112021052414384-pct00200
ActivelsomTM > ActiveGelTM > n-BuLi > MgH2 > KH > LiAlH4.
[표 2] 촉매를 갖는 튜브를 통한 기체 Si3H8의 1회 통과시 반응 생성물의 %로서의 청구된 촉매의 활성
n-Si4H10 / i-Si4H10 이성질체 비는 액체상 반응물의 경우가 훨씬 더 높다.
추가 합성 세부사항은 다음의 실시예에 제공되어 있다.
비교예 1: 액체 Si3H8을 사용한 종래 기술 촉매에 대한 결과 요약
종래 기술 균일 촉매 Cp2ZrCl2/BuLi, Cp2ZrCl2/LiNMe2, RuCl4(p-시멘)2, 및 Ni(COD)2 (COD = 시클로옥타디에닐)를 사용한 액체 Si3H8의 촉매 작용을 수행하였다[문헌[Joyce Y. Corey, “Dehydrocoupling of Hydrosilanes to Polysilanes and Silicon Oligomers: A 30 Year Overview”, Advances in Organometallic Chemistry, Volume 51, 2004 Elsevier Inc.]으로부터의 촉매]. 종래 기술 불균일 촉매 Ru (5%)/C 및 Rh (5%)/C를 사용한 액체 Si3H8의 촉매 작용을 또한 수행하였다[문헌[“Method for Producing a Semiconductor Material”, Keizo Ikai; Masaki Minami; Mitsuo Matsuno, Nippon Oil Co., Ltd., US5700400 A, Aug 14, 1995]으로부터의 촉매]. 실리카 상의 FeCl3 및 MMAO(MMAO = 개질된 메틸알루미녹산, 화학식 [(CH3)0.95(n-C8H17)0.05AlO]n)와 조합된 것을 또한 시험하였다.
[표 3] 액체 트리실란에 대한 촉매의 비교 시험.
CpTiCl2 및 CpZrCl2 균일 촉매는 제어불가능한 방식으로 트리실란을 비휘발성 고체로 중합하였다. 결과로서, 이들 촉매는 이성질체 풍부 테트라실란 또는 액체 고급 실란의 제어가능한 합성에 유용하지 않다.
RuCl4(p-시멘)2, Ni(COD)2, 및 FeCl3 균일 촉매와 Ru (5%)/C 및 Rh (5%)/C 불균일 촉매는 비치환된 액체 트리실란을 고급 실란으로 변환하는 데 있어 활성이 아니다.
비교예 2: 기체 Si3H8을 사용한 종래 기술 촉매에 대한 결과 요약
Si3H8 증기(1.8 내지 2.3 g)를 명시된 온도에서 유동 반응기에 0.1 g/min 유량으로 통과시켰다. 반응기는 0.15 g Ru/C와 0.25 g의 글라스 울 또는 0.17 g Rh/C와 0.37 g의 글라스 울을 수용하는 ¼ 인치 직경 x 5 인치 길이 스테인리스 강 튜브였다. 실험 전에 Ru/C, Rh/C 및 글라스 울을 26시간 동안 동적 진공 하에 240℃에서 유지하여 수분을 제거하였다. 수집된 생성물을 GC에 의해 분석하였으며, 하기 표 4 및 5의 결과를 참조한다.
[표 4] Ru(5%)/C를 통과한 트리실란의 결과.
[표 5] Rh(5%)/C를 통과한 트리실란의 결과
Ru (5%)/C 및 Rh (5%)/C 불균일 촉매는 비치환된 기체 트리실란을 고급 실란으로 변환하는 데 활성이 아니다.
실시예 2: 액체 Si3H8 및 실리카 상의 Na[AlH2(OCH2CH2OMe)2](35%)
300℃에서 진공 중에서 사전 건조된 실리카를, Na[AlH2(OCH2CH2OMe)2]의 65% w/w 톨루엔 용액(Vertellus Holdings LLC에 의해 상표명 VitrideTM으로 또는 Sigma-Aldrich Biotechnology LP에 의해 Red-Al®로 판매됨)과 혼합하여, 실리카 상의 35% w/w Na[AlH2(OCH2CH2OMe)2] 촉매를 제조하였다. 혼합물을 실온에서 12시간 교반하였다. 동적 진공 하에서 모든 휘발성 물질을 제거하였다. 잔류 고체를 촉매로서 이용하였다.
글로브 박스 내의 바이알에서 액체 Si3H8(3.1 g, 33.6 mmol) 및 촉매(0.18 g, Na[AlH2(OCH2CH2OMe)2] 0.063 g, 0.32 mmol)를 실온에서 3시간 동안 교반하였다. 3시간 후에 교반을 중단하였다. 임의의 고체가 침강되게 두었고 GC 분석을 위해 투명한 상청액의 분취물을 수집하였다. 투명한 용액의 GC 분석은 44.8% Si3H8; 2.0% 이소-Si4H10 및 19.3% n-Si4H10, 총 10.8% Si5H12 및 14.1%의 총 6개 이상의 규소 원자를 갖는 실란을 함유하는 Si2 내지 Si8 실란의 혼합물을 밝혀내었다.
실리카 상의 VitrideTM은 포트 반응에서 n-Si4H10 [n-Si4H10:i-Si4H10 = 9.7:1]에 대한 탁월한 선택도로 액체 트리실란을 실란 혼합물로 변환하였다. 산업 응용 분야를 위한 공정의 이용가능성을 예시하기 위해, 기체 및 액체 트리실란을 사용하여 유동 반응을 수행하였다.
실시예 3: 기체 Si3H8 및 Na[AlH2(OCH2CH2OMe)2]
Si3H8 증기(1.22 내지 2.25 g, 순도 99.8% w/w)를 명시된 온도에서 가열된 튜브(4.7” L x 0.25” ID)에 0.1 g/min 유량으로 통과시켰다. 반응기는 실시예 2에서 제조된 실리카 상의 Na[AlH2(OCH2CH2OMe)2](35% w/w) 촉매 50 중량% 및 글라스 울 50 중량%를 수용하였다. 액체 질소 트랩에 수집된 생성물을 GC에 의해 분석하였다. 결과는 표 6에 제시되어 있다.
[표 6]
실시예 2에서와 같이, 실리카 상의 VitrideTM 촉매는 기체 트리실란에 대해 상당한 활성을 나타내었다.
비교하면, 6개 이상의 규소 원자를 갖는 중질 실란의 상대적인 양은 GC에 따르면 액체 반응에서보다 기체 반응에서 더 낮다. 또한, 기체 유동 공정에서는 고체 중합체 실란이 형성되지 않았다.
그러나 n-테트라실란에 대한 기체 공정의 선택도는 낮다.
중질 실란의 상대적인 양 및 선택도가 조정될 수 있음을 예시하기 위해, 실리카 상의 VitrideTM을 갖는 유동 반응기에 액체 트리실란을 통과시켰으며, 다음 실시예를 참조한다.
실시예 4. 액체 Si3H8 및 Na[AlH2(OCH2CH2OMe)2], 유동 공정
액체 Si3H8의 3개의 개별 샘플을 동일 반응기(20.9 cm L x 1 cm ID)에 52.0±0.7℃ 및 압력 31.1±0.6 psig에서 유량 1.1±0.1 g/min으로 통과시켰다. 반응기는 글라스 울 3.0 g 상에 실리카 상의 46.8%w/w VitrideTM 7.9 g(활성 성분 3.7 g)을 수용하였다. 유출물을 드라이아이스 트랩 후에, 액체 질소 트랩에서 수집하였다. 트랩의 내용물을 GC에 의해 분석하였다. 드라이아이스 트랩의 내용물을 증류하여, 4.4 g의 증류되지 않은 액체를 얻었다. 증류되지 않은 액체는 6개 이상의 규소 원자를 갖는 실란들의 혼합물이었다[GC]. 증류액은 1 내지 8개의 규소 원자를 갖는 휘발성 실란들의 혼합물이다. 도 5는 실리카 상의 VitrideTM 촉매를 통한 액체 Si3H8의 1회 통과 후 드라이아이스 트랩으로부터 휘발성 액체 생성물의 기체 크로마토그램이다. 결과는 하기 표 7 및 표 8에 요약되어 있다.
[표 7] 액체 트리실란 및 실리카 상의 VitrideTM을 사용한 유동 반응의 결과
[표 8] 질량 균형, 수율 (g/mol)
실시예 5. 액체 Si2H6/Si3H8 혼합물 및 Na[AlH2(OCH2CH2OMe)2], 유동 공정
액체 Si2H6(42.5% w/w) 및 Si3H8(57.5% w/w)(174.0 g)을 반응기(20.9 cm L x 1 cm ID)에 51.9±3.5 ℃ 및 압력 30.6±0.4 psig에서 체류 시간 442±77초에 상응하는 유량 1.4±0.3 g/min으로 통과시켰다. 반응기는 글라스 울 2.6 g 상에 실리카 상의 46.8%w/w VitrideTM 7.0 g(활성 성분 3.3 g)을 수용하였다. 유출물을 드라이아이스 트랩 후에, 액체 질소 트랩에서 수집하였다. 트랩의 내용물을 GC에 의해 분석하였다. 드라이아이스 트랩의 내용물을 증류하여, 2.0 g의 증류되지 않은 액체를 얻었다. 증류되지 않은 액체는 6개 이상의 규소 원자를 갖는 실란들의 혼합물이었다[GC]. 증류액은 1 내지 8개의 규소 원자를 갖는 휘발성 실란들의 혼합물이다. 결과는 하기 표 9 및 표 10에 요약되어 있다.
[표 9] 디실란-트리실란 혼합물 및 실리카 상의 VitrideTM을 사용한 유동 반응의 결과
[표 10] Si3H8 및 Si2H6-Si3H8 혼합물의 통과에 대한 생성물의 비교
표로부터 알 수 있는 바와 같이, Si2H6-Si3H8의 혼합물에 대해 중질 실란(Si ≥ 6)의 상대적인 양이 더 적다.
실시예 6: Si3H8 및 KN(SiMe3)2
액체 Si3H8(28.8 g, 순도 99.8% w/w) 및 고체 KN(SiMe3)2(0.3 g, 1.5 mmol)를 글로브 박스 내에서 열전쌍이 장착된 반응기 내에 충전하였다. 반응기 후에 2개의 트랩을 설치하였다. 응축기 후에 첫 번째 트랩은 반응 동안 실온에서 비어 있는 채로 유지된다. 두 번째 트랩은 액체 질소로 냉각되어 반응 동안 SiH4 및 Si2H6을 포획한다. 반응기를 매니폴드에 연결하고 N2 분위기를 He 분위기로 대체하였다. 응축기를 드라이아이스로 충전하였다. 반응 혼합물을 1 atm의 헬륨 하에서 41 내지 50℃로 가열하고 3시간 동안 교반하였다. 3시간 후에, 가열을 중단하고 반응 혼합물을 실온으로 냉각하였다. 응축기로부터 드라이아이스를 제거하였다. 첫 번째 트랩은 드라이아이스로 냉각하였고 두 번째 트랩은 액체 N2로 냉각된 채로 유지하였다. 반응 생성물을 드라이아이스 트랩[20.2 g의 액체, GC: 74.2% Si3H8; 1.3% 이소-Si4H10 및 15.3% n-Si4H10] 및 액체 질소 트랩[4.7 g, GC: 45.9% SiH4, 49.1% Si2H6, 5.0% Si3H8]에서 동적 진공 하에 스트리핑하였다. 포트 내에 남아 있는 증류되지 않은 반응 생성물[3.2 g]을 여과하고 또한 GC에 의해 분석하였다[Si5-Si12 실란의 혼합물].
KN(SiMe3)2는 포트 반응에서 n-Si4H10 [n-Si4H10:i-Si4H10 = 11.8:1]에 대한 탁월한 선택도로 액체 트리실란을 실란 혼합물로 변환하였다. 산업 응용 분야를 위한 공정의 이용가능성을 예시하기 위해, 기체 및 액체 트리실란을 사용하여 유동 반응을 수행하였다.
실시예 7: 기체 Si3H8 및 KN(SiMe3)2
실시예 3에서와 유사한 설정에서 실험을 수행하였다. 실험의 결과가 표 11에 제공되어 있다. 촉매의 성능을 확인하기 위해 상이한 온도에서 유동 반응을 수행하였다.
[표 11] KN(SiMe3)2를 통과한 기체 트리실란의 결과.
온도의 증가에 따라 촉매 활성이 증가한다. 동시에, 온도의 증가에 따라 n-Si4H10에 대한 선택도가 감소한다.
온도의 증가에 따라 촉매를 갖는 튜브에 남아 있는 비휘발성 실란의 상대적인 양이 증가하며, 63℃ 및 42℃에서 적다.
중질 실란의 상대적인 양 및 선택도가 유동 공정에서 조정될 수 있음을 예시하기 위해, 약 80℃에서 KN(SiMe3)2를 갖는 유동 반응기에 액체 트리실란을 통과시켰으며, 다음 실시예를 참조한다.
실시예 8: 액체 Si3H8 및 고체 KN(SiMe3)2 촉매를 사용한 유동 공정
Si3H8 액체(178.2 g)를 반응기에 73.2±1.8 ℃ 및 압력 27.2±0.5 psig에서 체류 시간 467±106초에 상응하는 유량 1.2±0.3 g/min으로 통과시켰다. 반응기는 3.6 g의 글라스 울 상에 3.6 g의 KN(SiMe3)2를 수용하는 1 cm 내경, 20.8 cm 길이 스테인리스 강 튜브이다. 액체 트리실란을 유동 반응기에 통과시킨 후에, 생성물을 드라이아이스 트랩에 수집한 후에(175.5 g), 액체 질소 트랩에 수집하였다(2.7 g). 트랩의 기체상 및 액체상을 GC에 의해 분석하였다. 드라이아이스 트랩의 내용물을 증류시켰다. 1.7 g의 비휘발성 액체를 드라이아이스 트랩으로부터 수득하였다. 비휘발성 액체는 6개 이상의 규소 원자를 갖는 실란들의 혼합물이었다[GC]. 173.8 g의 휘발성 실란을 드라이아이스 트랩으로부터 수득하였다. 휘발성 실란은 실란s SinH2n+2(n은 1 내지 8임)의 혼합물이었다. 비휘발성 액체는 6개 이상의 규소 원자를 갖는 실란들의 혼합물이었다[GC]. 도 6은 KN(SiMe3)2 촉매를 통한 액체 Si3H8의 1회 통과 후 드라이아이스 트랩으로부터 증류된 휘발성 액체 생성물의 기체 크로마토그램이다. 결과는 하기 표 12 및 표 13에 요약되어 있다.
[표 12] 액체 트리실란 및 KN(SiMe3)2를 적용한 유동 반응의 결과.
[표 13] 질량 균형, 수율 (g/mol)
KN(SiMe3)2는 액체 트리실란과의 유동 반응에서 상당히 활성이며 적은 양의 비휘발성 실란을 생성한다.
본 실시예는 확장 가능성을 보여준다.
실시예 9: 기체 Si3H8 및 실리카 상의 Na(35%)
실시예 3에서와 유사한 설정에서 실험을 수행하였다. 실험의 결과가 표 14에 제공되어 있다.
[표 14] 실리카 상의 Na(35%)를 통과한 트리실란의 결과.
이러한 결과는 실리카 상의 VitrideTM 또는 KN(SiMe3)2를 사용하여 얻어지는 결과에서 만큼 n-Si4H10에 대해 선택적이지는 않으나, 비교예 1 및 2의 종래 기술 촉매로부터 얻어지는 결과보다는 여전히 더 우수하다.
실시예 10: 기체 Si3H8 및 알루미나 상의 Na2O
실시예 3에서와 유사한 설정에서 실험을 수행하였다. 실험의 결과가 표 15에 제공되어 있다.
[표 15]
이러한 결과는 실리카 상의 VitrideTM 또는 KN(SiMe3)2를 사용하여 얻어지는 결과에서 만큼 n-Si4H10에 대해 선택적이지는 않으나, 비교예 1 및 2의 종래 기술 촉매로부터 얻어지는 대부분의 결과보다는 여전히 더 우수하다.
실시예 11: 기체 Si3H8 및 KH
실시예 3에서와 유사한 설정에서 실험을 수행하였다. 실험의 결과가 표 6에 제공되어 있다.
[표 16]
실시예 12: 테트라실란 저장 수명 연구
고정된 비의 2가지 테트라실란 이성질체가 실온 및 35℃에서 안정하게 유지되는지 확인하기 위해 저장 수명 연구를 수행하였다. 열분해 공정으로부터 수득된 테트라실란을 실온에서 다수의 용기 내에 저장하였다. 다른 용기를 35℃에서 저장하였다. 시간 경과에 따라 GC에 의해 액체 함량을 측정하였다.
6L 알루미늄 실린더를 52℃ 및 4.4x10-6 Torr에서 진공 베이킹하였다. 50 mL 스테인리스 강 용기를 대략 200℃ 및 50 mTorr에서 진공 베이킹하였다. 1.2 L 스테인리스 강 버블러를 대략 150℃ 및 85 mTorr에서 진공 베이킹하였다. 연구된 용기의 목록 및 용기 내의 테트라실란의 양이 표 17에 제시되어 있다.
[표 17]
도 7은 주위 온도에서 시간 경과에 따른 n-Si4H10:i-Si4H10 비의 그래프이다.
도 8은 실온(삼각형) 또는 35℃(사각형)에서 시간 경과 후 1.2 L 스테인리스 강 버블러에서의 n-Si4H10:i-Si4H10 비의 그래프이다.
이성질체의 비는 400일 이내에 모든 실험에서 유사하며, 편차는 2% 미만이고, n-Si4H10:i-Si4H10 비의 최대 편차는 55 cc 비코팅 용기에 대해 1.9%이며, 이는 기상 증착에 대한 시간 경과에 따른 조성물의 안정성을 나타낸다.
안정성 시험을 위한 조성물은 70 내지 79% w/w의 n-Si4H10 및 21 내지 29%의 i-Si4H10을 함유하였다. 90 내지 95% w/w의 n-Si4H10을 갖는 조성물은 보고된 조성물과의 차이가 10 내지 20%의 n-Si4H10이기 때문에 동일한 방식으로 거동할 것으로 예상된다.
비교예 3: 구매가능한 n-테트라실란의 할라이드 농도
확립된 상업적 n-테트라실란 판매처의 카탈로그로부터의 구매가능한 n-테트라실란을 GC에 의해 분석하였다. 구매가능한 제품은 34.3% w/w의 i-Si4H10 및 64.1% w/w의 n-Si4H10을 함유하는 한편, Si4H10의 총량은 98.4% w/w이고, 이러한 제품은 Si2H6 0.1% w/w, Si3H8 0.5% w/w, Si5H12 0.6% w/w, Si6H14 0.3% w/w, Si7H16 0.1% w/w를 함유한다. 비 n-Si4H10:i-Si4H10은 1.9:1이다. 저급 및 고급 실란과 함께 그러한 조성물 i-Si4H10 / n-Si4H10은 i-Si4H10 및 n-Si4H10, 저급 및 고급 실란의 상이한 비점으로 인해 시간 경과에 따라 증기압 드리프트를 생성할 수 있다. 이는 재현불가능한 사이클당 성장 속도를 초래할 수 있으며, 이는 기상 증착 공정에서 허용되지 않는 것이다.
본 발명의 실시 형태가 제시되고 기술되었지만, 본 발명의 사상 또는 교시를 벗어남이 없이 당업자에 의해 이들의 수정이 이루어질 수 있다. 본원에 기술된 실시 형태는 단지 예시적인 것이며 제한적이지 않다. 조성물 및 방법의 많은 변형 및 수정이 가능하며 본 발명의 범주 내에 있다. 따라서, 보호 범위는 본원에 기술된 실시 형태에 제한되는 것이 아니라, 다음의 청구범위에 의해서만 제한되며, 그 범위는 청구범위의 주제에 대한 모든 균등물을 포함한다.

Claims (21)

  1. n-테트라실란을 선택적으로 합성하는 방법으로서,
    SinH(2n+2) 반응물(여기서, n은 1 내지 3임)을, 주기율표의 I, II 또는 III 족 원소 또는 이의 산화물, 알킬, 수소화물, 실라나이드, 또는 실릴 아미드로부터 선택되는 불균일 촉매와 반응시켜, n-Si4H10:i-Si4H10 비가 5:1 내지 15:1의 범위인 실란 혼합물을 생성하는 단계를 포함하는, 방법.
  2. 제1항에 있어서, 상기 SinH(2n+2) 반응물은 Si3H8인, 방법.
  3. 제2항에 있어서, 상기 SinH(2n+2) 반응물은 액체 Si3H8인, 방법.
  4. 제1항에 있어서, 상기 SinH(2n+2) 반응물은 Si2H6과 Si3H8의 혼합물인, 방법.
  5. 제1항에 있어서, 상기 불균일 촉매는 LiAlH4, LiAlHnR4-n, NaAlHnR4-n, KAlHnR4-n, RbAlHnR4-n, CsAlHnR4-n, 및 이들의 조합으로 이루어진 군으로부터 선택되고, 여기서, n은 1, 2, 또는 3이고 각각의 R은 독립적으로 CmH2m+1(여기서, m은 1 내지 10임) 또는 산소 또는 질소 원자를 갖는 지방족 기인, 방법.
  6. 제5항에 있어서, 상기 불균일 촉매는 소듐 비스(2-메톡시에톡시)알루미늄 수소화물인, 방법.
  7. 제6항에 있어서, 상기 n-Si4H10:i-Si4H10 비는 8:1 내지 15:1의 범위인, 방법.
  8. 제1항에 있어서, 상기 불균일 촉매는 I 족 금속 및 I 족 금속 산화물인, 방법.
  9. 제1항에 있어서, 상기 불균일 촉매는 금속 실릴아미드 촉매인, 방법.
  10. 제9항에 있어서, 상기 금속 실릴아미드 촉매는 소듐 비스(트리메틸실릴)아미드인, 방법.
  11. 제9항에 있어서, 상기 금속 실릴아미드 촉매는 칼륨 비스(트리메틸실릴)아미드인, 방법.
  12. 제11항에 있어서, 상기 n-Si4H10:i-Si4H10 비는 8:1 내지 15:1의 범위인, 방법.
  13. 제1항에 있어서, 상기 불균일 촉매는 금속 실라나이드 촉매인, 방법.
  14. 제13항에 있어서, 상기 금속 실라나이드 촉매는 KSiPh3인, 방법.
  15. 제1항에 있어서, SiaH(2a+2) 혼합물(여기서, a는 1 내지 6임)로부터 n-Si4H10:i-Si4H10 혼합물을 단리하는 단계를 추가로 포함하는, 방법.
  16. 제1항에 있어서, n-Si4H10:i-Si4H10 실란 혼합물을 분별 증류하여 95% w/w 내지 100% w/w의 n-Si4H10을 포함하는 Si-함유 필름 형성 조성물을 생성하는 단계를 추가로 포함하는, 방법.
  17. n-테트라실란을 선택적으로 합성하는 방법으로서,
    나트륨, 산화나트륨, 소듐 비스(2-메톡시에톡시)알루미늄 수소화물, 칼륨 비스(트리메틸실릴)아미드(KN(SiMe3)2), 수소화알루미늄리튬(LiAlH4), 칼륨 트리페닐 규소(KSiPh3), 수소화칼륨(KH), 및 이들의 혼합물로 이루어진 군으로부터 선택되는 촉매를 사용하여 액체 Si3H8을 촉매함으로써 5:1 내지 12:1 범위의 비를 갖는 n-Si4H10:i-Si4H10 실란 혼합물을 생성하는 단계를 포함하는, 방법.
  18. 제16항에 있어서, SiaH(2a+2) 혼합물(여기서, a는 1 내지 6임)로부터 n-Si4H10:i-Si4H10 혼합물을 단리하는 단계를 추가로 포함하는, 방법.
  19. 제17항에 있어서, n-Si4H10:i-Si4H10 실란 혼합물을 분별 증류하여 95% w/w 내지 100% w/w의 n-Si4H10을 포함하는 Si-함유 필름 형성 조성물을 생성하는 단계를 추가로 포함하는, 방법.
  20. 삭제
  21. 삭제
KR1020217013668A 2018-10-11 2019-10-11 이성질체 풍부 고급 실란의 제조 방법 KR102603851B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US16/158,071 2018-10-11
US16/158,071 US20200115238A1 (en) 2018-10-11 2018-10-11 Process for producing isomer enriched higher silanes
US16/409,326 2019-05-10
US16/409,326 US11401166B2 (en) 2018-10-11 2019-05-10 Process for producing isomer enriched higher silanes
PCT/US2019/055792 WO2020077182A1 (en) 2018-10-11 2019-10-11 Process for producing isomer enriched higher silanes

Publications (2)

Publication Number Publication Date
KR20210057825A KR20210057825A (ko) 2021-05-21
KR102603851B1 true KR102603851B1 (ko) 2023-11-17

Family

ID=70159880

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217013668A KR102603851B1 (ko) 2018-10-11 2019-10-11 이성질체 풍부 고급 실란의 제조 방법

Country Status (6)

Country Link
US (1) US11401166B2 (ko)
EP (1) EP3863970A4 (ko)
JP (1) JP7117461B2 (ko)
KR (1) KR102603851B1 (ko)
CN (1) CN112839902B (ko)
WO (1) WO2020077182A1 (ko)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10752507B2 (en) * 2018-10-11 2020-08-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Process for producing liquid polysilanes and isomer enriched higher silanes
DE102020211833A1 (de) * 2020-09-22 2022-03-24 Evonik Operations Gmbh Verfahren zur Herstellung oligomerer Hydridosilane aus SiH4
CN112158847A (zh) * 2020-11-14 2021-01-01 深圳市博纯半导体材料有限公司 乙硅烷的生产提纯工艺

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120263639A1 (en) 2009-10-02 2012-10-18 Evonik Degussa Gmbh Process for preparing higher hydridosilanes

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4610859A (en) 1983-12-29 1986-09-09 Mitsui Toatsu Chemicals, Inc. Process for producing silicon hydrides
US5047569A (en) 1990-07-03 1991-09-10 Ethyl Corporation Method of producing polysilane compounds
US5087719A (en) 1990-09-27 1992-02-11 The United States Of America As Represented By The Secretary Of The Air Force Dehydrogenative polymerization of silanes to polysilanes by catalysts of transition-metal silyl derivatives
JP3185817B2 (ja) 1992-06-16 2001-07-11 東洋紡績株式会社 感熱記録用空洞含有ポリエステル系フィルム
JPH06191821A (ja) 1992-12-22 1994-07-12 Showa Denko Kk シリコン膜形成用の高次シラン含有溶液
US5700400A (en) 1993-06-15 1997-12-23 Nippon Oil Co., Ltd. Method for producing a semiconducting material
JP3484815B2 (ja) 1994-05-09 2004-01-06 昭和電工株式会社 薄膜トランジスタの製造方法
US6027705A (en) 1998-01-08 2000-02-22 Showa Denko K.K. Method for producing a higher silane
JP2000031066A (ja) 1998-07-10 2000-01-28 Sharp Corp シリコン膜の形成方法及び太陽電池の製造方法
EP2069368A4 (en) 2006-10-06 2011-06-22 Kovio Inc SILICON POLYMERS, METHODS FOR POLYMERIZING SILICON COMPOUNDS, AND METHODS FOR FORMING THIN FILMS OF SILICON POLYMERS
JP2008305974A (ja) 2007-06-07 2008-12-18 Elpida Memory Inc 酸化膜形成用塗布組成物およびそれを用いた半導体装置の製造方法
KR100946374B1 (ko) 2008-04-29 2010-03-08 삼성전기주식회사 인쇄회로기판 및 그 제조방법
EP2135844A1 (de) 2008-06-17 2009-12-23 Evonik Degussa GmbH Verfahren zur Herstellung höherer Hydridosilane
JPWO2010005107A1 (ja) 2008-07-11 2012-01-05 独立行政法人科学技術振興機構 ポリシランの製造方法
DE102008043422B3 (de) * 2008-11-03 2010-01-07 Evonik Degussa Gmbh Verfahren zur Aufreinigung niedermolekularer Hydridosilane
JP2010206161A (ja) 2009-02-04 2010-09-16 Sony Corp 成膜方法および半導体装置の製造方法
DE102009027169A1 (de) 2009-06-24 2010-12-30 Wacker Chemie Ag Verfahren zur Herstellung von Polysilanen
DE102010025948A1 (de) 2010-07-02 2012-01-05 Spawnt Private S.À.R.L. Polysilane mittlerer Kettenlänge und Verfahren zu deren Herstellung
DE102010062984A1 (de) 2010-12-14 2012-06-14 Evonik Degussa Gmbh Verfahren zur Herstellung höherer Halogen- und Hydridosilane
US8900654B2 (en) 2011-07-29 2014-12-02 Thin Film Electronics, Inc. Methods of polymerizing silanes and cyclosilanes using N-heterocyclic carbenes, metal complexes having N-heterocyclic carbene ligands, and lanthanide compounds
KR101231370B1 (ko) 2012-06-13 2013-02-07 오씨아이머티리얼즈 주식회사 모노실란의 열분해에 의한 디실란의 제조방법 및 제조장치
DE102013207443A1 (de) * 2013-04-24 2014-10-30 Evonik Degussa Gmbh Verfahren und Vorrichtung zur Herstellung von Polysilanen
DE102013010101A1 (de) * 2013-06-18 2014-12-18 Evonik Industries Ag Formulierungen umfassend Hydridosilane und Hydridosilan-Oligomere, Verfahren zu ihrer Herstellung und ihrer Verwendung
JP3185817U (ja) 2013-06-24 2013-09-05 和泉化成株式会社 額縁
TWI634073B (zh) 2013-09-05 2018-09-01 道康寧公司 2,2,4,4-四矽基五矽烷及其組成物、方法及用途
SG11201603098WA (en) 2013-10-21 2016-05-30 Mitsui Chemicals Inc Catalyst for producing higher silane and method for producing higher silane
DE102013020518A1 (de) 2013-12-11 2015-06-11 Forschungszentrum Jülich GmbH Fachbereich Patente Verfahren und Vorrichtung zur Polymerisation einer Zusammensetzung enthaltend Hydridosilane und anschließenden Verwendung der Polymerisate zur Herstellung von siliziumhaltigen Schichten
SG11201703228XA (en) 2014-10-30 2017-05-30 Applied Materials Inc Method to grow thin epitaxial films at low temperature
US20170018427A1 (en) 2015-07-15 2017-01-19 Applied Materials, Inc. Method of selective epitaxy
KR101733051B1 (ko) * 2015-07-27 2017-05-08 에스케이머티리얼즈 주식회사 고차실란의 선택도 조절방법 및 이를 이용한 고차실란의 생성방법
KR102164914B1 (ko) 2016-06-10 2020-10-13 쇼와 덴코 가부시키가이샤 올리고실란의 제조 방법
WO2018079484A1 (ja) 2016-10-27 2018-05-03 昭和電工株式会社 オリゴシランの製造方法及びオリゴシランの製造装置

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120263639A1 (en) 2009-10-02 2012-10-18 Evonik Degussa Gmbh Process for preparing higher hydridosilanes

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
J. Chosun Natural Sci. 2010, Vol. 3, pp. 61-71*

Also Published As

Publication number Publication date
US20200115243A1 (en) 2020-04-16
US11401166B2 (en) 2022-08-02
WO2020077182A1 (en) 2020-04-16
JP7117461B2 (ja) 2022-08-12
EP3863970A4 (en) 2022-07-06
CN112839902A (zh) 2021-05-25
EP3863970A1 (en) 2021-08-18
KR20210057825A (ko) 2021-05-21
CN112839902B (zh) 2023-12-19
JP2022501523A (ja) 2022-01-06

Similar Documents

Publication Publication Date Title
US10501484B2 (en) Amine substituted trisilylamine and tridisilylamine compounds and synthesis methods thereof
EP3277699B1 (en) Catalyst dehydrogenative coupling of carbosilanes with amidines
KR102603851B1 (ko) 이성질체 풍부 고급 실란의 제조 방법
US20200115238A1 (en) Process for producing isomer enriched higher silanes
US11377359B2 (en) Process for producing liquid polysilanes and isomer enriched higher silanes
KR102603850B1 (ko) 이성질체 풍부 고급 실란의 제조 방법
US11097953B2 (en) Process for producing liquid polysilanes and isomer enriched higher silanes
US20200115241A1 (en) Process for producing isomer enriched higher silanes

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant