DE60127973T2 - Herstellungsprozess eines halbleiterbauelements mit einem zwischenfilm aus siliziumnitrid mit niedriger dielektrizitätskonstante - Google Patents

Herstellungsprozess eines halbleiterbauelements mit einem zwischenfilm aus siliziumnitrid mit niedriger dielektrizitätskonstante Download PDF

Info

Publication number
DE60127973T2
DE60127973T2 DE60127973T DE60127973T DE60127973T2 DE 60127973 T2 DE60127973 T2 DE 60127973T2 DE 60127973 T DE60127973 T DE 60127973T DE 60127973 T DE60127973 T DE 60127973T DE 60127973 T2 DE60127973 T2 DE 60127973T2
Authority
DE
Germany
Prior art keywords
film
organic
sinch
opening
compound
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE60127973T
Other languages
English (en)
Other versions
DE60127973D1 (de
Inventor
Gishi Nirasaki-Shi CHUNG
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Application granted granted Critical
Publication of DE60127973D1 publication Critical patent/DE60127973D1/de
Publication of DE60127973T2 publication Critical patent/DE60127973T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3125Layers comprising organo-silicon compounds layers comprising silazane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers

Description

  • TECHNISCHES GEBIET
  • Die vorliegende Erfindung betrifft im Allgemeinen Halbleitervorrichtungen und genauer eine Halbleitervorrichtung, die einen Isolationsfilm mit niedriger Dielektrizitätskonstante aufweist, und einen Herstellungsprozeß dafür.
  • Mit dem Fortschritt auf dem Gebiet der Vorrichtungsminiaturisierung beinhalten die jüngsten führenden integrierten Halbleiterschaltungen eine riesige Anzahl von Halbleitervorrichtungselementen. In derartigen großen integrierten Halbleiterschaltungen genügt es nicht, eine einzelne Verbindungsschicht zu verwenden, um die Halbleitervorrichtungselemente darin zu verbinden, weshalb im Allgemeinen die Vorgangsweise besteht, einen mehrschichtigen Verbindungsaufbau bereitzustellen, in dem mehrere Verbindungsschichten mit dazwischen eingefügten Zwischenschichtisolationsfilmen aufeinandergestapelt sind, um die Halbleitervorrichtungselemente zu verbinden.
  • Insbesondere werden umfassende Untersuchungen am Vorgang der Bildung eines mehrschichtigen Verbindungsaufbaus durch Verwendung eines Dual-Damascene-Prozesses vorgenommen. In einem Dual-Damascene-Prozeß werden Verbindungsrillen und Kontaktlöcher im Voraus in einem Zwischenschicht-Isolationsfilm ausgebildet und die so ausgebildeten Verbindungsrillen und Kontaktlöcher mit einem leitenden Material gefüllt. In einem derartigen Dual-Damascene-Prozeß ist die Rolle des Isolationsfilms, der im mehrschichtigen Verbindungsaufbau als Ätzstopper und gleichzeitig als Metalldiffusionsbarriere bereitgestellt ist, äußerst wichtig.
  • ALLGEMEINER STAND DER TECHNIK
  • Obwohl es in der Technik des Dual-Damascene-Prozesses verschiedenste Abwandlungen gibt, zeigen 11A bis 11F ein typisches herkömmliches Verfahren zur Bildung eines mehrschichtigen Verbindungsaufbaus, das einen Dual-Damascene-Prozeß verwendet.
  • Unter Bezugnahme auf 11A wird ein Si-Substrat 10 mit einem Zwischenschicht-Isolationsfilm 11 aus einem CVD-SiO2-Film und dergleichen bedeckt und auf dem Zwischenschicht-Isolationsfilm 11 ein Verbindungsmuster 12A ausgebildet. Das Si-Substrat 10 trägt verschiedenste nicht veranschaulichte Halbleitervorrichtungselemente wie etwa MOS-Transistoren.
  • Das Verbindungsmuster 12A wird in einen nächsten Zwischenschicht-Isolationsfilm 12B eingebettet, der auf dem Zwischenschicht-Isolationsfilm 11 ausgebildet wird, um eine Verbindungsschicht 12 auszubilden, wobei die Verbindungsschicht 12 mit einem Ätzstoppfilm 13 wie etwa einem SIN-Film bedeckt wird. Der Ätzstoppfilm 13 wird ferner mit einem nächsten Zwischenschicht-Isolationsfilm 14 bedeckt.
  • Im Schritt von 11A wird auf dem Zwischenschicht-Isolationsfilm 14 durch einen photolithographischen Prozeß ein derartiges Resistmuster 18 ausgebildet, daß das Resistmuster 18 eine Öffnung 18A aufweist, die einem auszubildenden Kontaktloch entspricht, und wird der Zwischenschicht-Isolationsfilm 14 durch einen Trockenätzprozeß entfernt, während das Resistmuster 18 als Maske verwendet wird. Als Ergebnis des Trockenätzprozesses wird im Zwischenschicht-Isolationsfilm 14 eine Öffnung 14A in Übereinstimmung mit dem auszubildenden Kontaktloch ausgebildet.
  • Als nächstes wird im Schritt von 11B das Resistmuster 18 entfernt und im Schritt von 11C ein Resistfilm 19 auf dem Aufbau von 11B ausgebildet, um das Kontaktloch 14A zu füllen. Durch das Strukturieren des so ausgebildeten Resistfilms 19 durch einen lithographischen Prozeß wird im Resistfilm 19 eine Resistöffnung 19A in Übereinstimmung mit dem auszubildenden Verbindungsmuster ausgebildet.
  • Als nächstes wird im Schritt von 11D der freigelegte Teil des Zwischenschicht-Isolationsfilms 14, der an der Resistöffnung 19A freigelegt ist, durch einen Trockenätzprozeß strukturiert, während der Resistfilm 19 als Maske verwendet wird. Danach wird der Resistfilm 19 entfernt. Als Ergebnis eines derartigen Strukturierungsprozesses wird im Zwischenschicht-Isolationsfilm 14 zusätzlich zum Kontaktloch 14A eine Öffnung 14B in Übereinstimmung mit der gewünschten Verbindungsrille ausgebildet.
  • Als nächstes wird im Schritt von 11E der Ätzstoppfilm 13 durch einen Trockenätzprozeß, der einen RIE-Prozeß verwendet, entfernt und das Verbindungsmuster 12A freigelegt.
  • Als nächstes werden im Schritt von 11F die Verbindungsrille 14B und die Öffnung 14A mit einem leitenden Film aus Al oder Cu gefüllt. Durch das Anwenden eines chemisch-mechanischen Polierprozesses (CMP-Prozesses) auf den so erhaltenen Aufbau wird ein Verbindungsmuster 20 erhalten, das durch das Kontaktloch 14A in einer elektrischen Verbindung mit dem Verbindungsmuster 12A steht.
  • Durch das Wiederholen der vorhergehenden Prozeßschritte ist es möglich, dritte und vierte Verbindungsmuster auszubilden.
  • In einem derartigen mehrschichtigen Verbindungsaufbau zur Verwendung in einer Halbleitervorrichtung ist es wichtig, für die Zwischenschicht-Isolationsfilme 12 und 14 einen Isolationsfilm mit niedriger Dielektrizitätskonstante zu verwenden, um die Streukapazität des mehrschichtigen Verbindungsaufbaus zu verringern. Durch das Verringern der Streukapazität wird die Betriebsgeschwindigkeit der Halbleitervorrichtung verbessert. Daher wurden verschiedenste Versuche unternommen, für die Zwischenschicht-Isolationsfilme 12 und 14 ein Material mit niedriger Dielektrizitätskonstante wie etwa einen F-dotierten SiO2-Film (SiOF-Film), einen organischen Si-Isolationsfilm (SiOCH-Film), und dergleichen zu verwenden. Es ist besonders durch die Verwendung eines organischen Si-Isolationsfilms möglich, eine spezifische Dielektrizitätskonstante von 3,0 oder weniger zu verwirklichen.
  • In einem derartigen Prozeß zum Ausbilden eines mehrschichtigen Verbindungsaufbaus durch einen Dual-Damascene-Prozeß ist die Rolle des Ätzstoppfilms 13 wie vorher bemerkt wichtig. Herkömmlich wird für diesen Zweck verbreitet ein SiN-Film verwendet, der eine hohe Ätzselektivität im Hinblick auf den Zwischenschicht-Isolationsfilm 14 zeigt. In der Technik des Dual-Damascene-Prozesses muß der Ätzstoppfilm 13 nicht nur eine hohe Ätzselektivität aufweisen, sondern auch als wirksame Sperre gegenüber einer Diffusion von Metallen wie etwa Cu, die das Verbindungsmuster bilden, wirken. Ferner muß der Ätzstoppfilm 13 eine hervorragende Haftung im Hinblick auf das Verbindungsmuster und ferner auf den Zwischenschicht-Isolationsfilm aufweisen. Zusätzlich muß der Ätzstoppfilm eine hervorragende Beständigkeit gegenüber einem Plasmaveraschungsprozeß oder einem Naßätzprozeß aufweisen. Es ist bekannt daß ein SiN-Film als eine wirksame Diffusionsbarriere wirkt.
  • Herkömmlich wurde ein SiN-Film leicht durch einen Plasma-CVD-Prozeß ausgebildet. Andererseits weist ein so ausgebildeter SiN-Film eine hohe Dielektrizitätskonstante von 7 bis 8 auf. Daher wird die Wirkung der Verringerung der Streukapazität, die im mehrschichtigen Verbindungsaufbau durch die Verwendung eines Isolationsfilms mit niedriger Dielektrizitätskonstante für die Zwischenschicht-Isolationsfilme 12 und 14 erzielt wird, durch die Verwendung des SiN-Ätzstoppfilms 13 im wesentlichen ausgelöscht.
  • OFFENBARUNG DER ERFINDUNG
  • Demgemäß ist es eine allgemeine Aufgabe der vorliegenden Erfindung, eine neue und nützliche Halbleitervorrichtung und einen Herstellungsprozeß dafür bereitzustellen, wobei die vorhergehenden Probleme beseitigt sind.
  • Eine andere und genauere Aufgabe der vorliegenden Erfindung besteht darin, einen Nitridfilm mit niedriger Dielektrizitätskonstante und einen Herstellungsprozeß dafür bereitzustellen.
  • Eine andere Aufgabe der vorliegenden Erfindung besteht darin, ein Verfahren zur Ausbildung eines mehrschichtigen Verbindungsaufbaus bereitzustellen, das einen Siliziumnitridfilm mit niedriger Dielektrizitätskonstante verwendet.
  • Eine andere Aufgabe der vorliegenden Erfindung besteht darin, eine Halbleitervorrichtung bereitzustellen, die einen Siliziumnitridfilm mit niedriger Dielektrizitätskonstante aufweist.
  • Eine andere Aufgabe der vorliegenden Erfindung besteht darin, ein Verfahren zur Ausbildung eines Siliziumnitridfilms bereitzustellen, das die folgenden Schritte umfaßt:
    Einbringen eines Substrats in eine Reaktionskammer; Zuführen einer organischen Si-Verbindung, die eine organische Silazan-Bindung aufweist, als gasförmige Quelle in die Reaktionskammer;
    Ablagern eines SiNCH-Films, der Si, N, C und H als primäre Elementarbestandteile enthält, aus der gasförmigen Quelle durch einen CVD-Prozeß auf einer Oberfläche des Substrats.
  • Nach der vorliegenden Erfindung wird es möglich, durch den CVD-Prozeß, der die organische Si-Verbindung, die eine organische Silazan-Bindung enthält, als Quellenmaterial verwendet, einen SiNCH-Film (einen Film, der Si, N, C und H als primäre oder Hauptelementarbestandteile aufweist) niedriger Dichte auszubilden. Der so gebildete SiNCH-Film niedriger Dichte ist durch eine niedrige Dielektrizitätskonstante gekennzeichnet und weist eine hervorragende Haftung in Bezug auf eine darunterliegende Schicht auf. Der SiNCH-Film niedriger Dichte wirkt ferner als hervorragende Diffusionsbarriere gegen Metallatome wie etwa Cu. Der SiNCH-Film niedriger Dichte zeigt eine hervorragende Beständigkeit gegenüber einem Plasmaveraschungsprozeß, einem Trockenätzprozeß oder einem Naßätzprozeß.
  • Bei der vorliegenden Erfindung weist die organische Si-Verbindung vorzugsweise eine Strukturformel (SiR1)nNR2 oder (SiR1NR2)n auf, wobei n eine ganze Zahl von 1 oder mehr ist, und jedes aus R1 und R2 Wasserstoff oder eine Gruppe sein kann, die aus einer Alkylgruppe wie etwa einer Methylgruppe, einer zyklischen Kohlenwasserstoffgruppe wie etwa einer Phenolgruppe, oder einer Vinylgruppe gewählt wird. Vorzugsweise wird der CVD-Prozeß so durchgeführt, daß die Silazan-Bindung in der organischen Verbindung im SiNCH-Film im wesentlichen erhalten bleibt, und daß der SiNCH-Film eine verringerte Dichte zeigt.
  • Vorzugsweise umfaßt der CVD-Prozeß zum Ablagern des SiNCH-Films einen Plasma-Polymerisationsprozeß der organischen Si-Verbindung. Ferner wird der Plasma-Polymerisationsprozeß vorzugsweise unter einer Plasma-Leistung durchgeführt, bei der die Silazan-Bindung in der organischen Verbindung im SiNCH-Film im wesentlichen erhalten bleibt. Dadurch werden die Dichte und die spezifische Dielektrizitätskonstante des SiNCH-Films wirksam verringert. Falls der Schritt des Ablagerns durch einen pyrolytischen Polymerisationsprozeß der organischen Si-Verbindung durchgeführt wird, ist es nötig, die Temperatur so einzurichten, daß die organische Silazan-Bindung in der organischen Si-Verbindung im abgelagerten SiNCH-Film im wesentlichen erhalten bleibt.
  • Bei der vorliegenden Erfindung kann der SiNCH-Film durch einen alternativen Prozeß des Hinzufügens einer zusätzlichen gasförmigen Quelle, die N wie etwa N2 oder NH3 enthält, zur vorhergehenden organischen Si-Verbindung, des Ausbildens eines Plasmas der zusätzlichen gasförmigen Quelle, und des Zuführens des Plasmas in die Reaktionskammer abgelagert werden. Nach einem derartigen Prozeß wird der organischen Quellenverbindung nur eine geringe Plasma-Leistung bereitgestellt und bleibt die organische Silazan-Struktur in der organischen Si-Verbindung im Siliziumnitridfilm erhalten.
  • Bei der vorliegenden Erfindung ist es auch möglich, einen Siliziumoxinitridfilm mit einem SiONCH-System auszubilden, der Sauerstoff enthält, welcher aus dem Quellenmaterial oder einem Hilfsquellenmaterial freigesetzt werden kann. Wenn der Sauerstoffgehalt im Film 40 % oder weniger beträgt, zeigt der Siliziumoxinitridfilm eine Eigenschaft, die jener des vorher erklärten Siliziumnitridfilms ähnlich ist.
  • Die Aufgabe der vorliegenden Erfindung besteht darin, ein Verfahren zum Herstellen einer Halbleitervorrichtung bereitzustellen, das die folgenden Schritte umfaßt:
    Ausbilden eines Ätzstoppfilms auf einem Substrat;
    Ablagern eines Zwischenschicht-Isolationsfilms auf dem Ätzstoppfilm;
    Strukturieren des Zwischenschicht-Isolationsfilms, um eine Öffnung auszubilden;
    Ätzen des Zwischenschicht-Isolationsfilms, um eine Vertiefung im Zwischenschicht-Isolationsfilm in Übereinstimmung mit der Öffnung auszubilden; und
    selektives Ätzen des Ätzstoppfilms von der Öffnung durch einen Ätzprozeß,
    wobei der Schritt des Ablagerns des Ätzstoppfilms die folgenden Schritte umfaßt:
    Einbringen des Substrats in eine Reaktionskammer einer Bearbeitungsvorrichtung;
    Zufuhren einer organischen Si-Verbindung, die eine organische Silazan-Bindung enthält, als gasförmige Quelle in die Reaktionskammer; und
    Ablagern eines SiNCH-Films in der Bearbeitungskammer auf einer Oberfläche des Substrats aus der organischen Si-Verbindung durch einen CVD-Prozeß als den Ätzstoppfilm.
  • Nach der vorliegenden Erfindung wird ein SiNCH-Film, der durch einen CVD-Prozeß aus einem Quellenmaterial aus einer organischen Si-Verbindung, die eine organische Silazan-Bindung enthält, ausgebildet wird, als Siliziumnitrid-Ätzstopper verwendet, wenn ein mehrschichtiger Verbindungsaufbau durch einen Dual-Damascene-Prozeß ausgebildet wird. Der so ausgebildete Siliziumnitridfilm bewahrt die organische Silazan-Bindung im Quellenmaterial, wobei die organische Silazan-Bindung eine Kohlenwasserstoffgruppe enthält. Daher ist der so ausgebildete Siliziumnitridfilm durch eine niedrige Dichte gekennzeichnet, und weist er eine charakteristisch niedrige Dielektrizitätskonstante auf. Durch das Verwenden eines derartigen Siliziumnitridfilms mit niedriger Dielektrizitätskonstante für den Ätzstopper wird die Streukapazität des mehrschichtigen Verbindungsaufbaus wesentlich verringert und die Betriebsgeschwindigkeit der Halbleitervorrichtung entsprechend erhöht. Der so ausgebildete Siliziumnitridfilm mit niedriger Dielektrizitätskonstante weist ein weiteres vorteilhaftes Merkmal einer hervorragenden Ätzbeständigkeit auf, weshalb der Siliziumnitridfilm mit niedriger Dielektrizitätskonstante als ein wirksamer Ätzstoppfilm oder Hartmaskenfilm im Trockenätzprozeß während des Dual-Damascene-Prozesses verwendet werden kann.
  • Bei der vorliegenden Erfindung wird der CVD-Prozeß ebenfalls vorzugsweise so durchgeführt, daß die Silazan-Bindung in der organischen Verbindung im SiNCH-Film im wesentlichen erhalten bleibt, und daß der SiNCH-Film eine verringerte Dichte zeigt.
  • Vorzugsweise umfaßt der CVD-Prozeß zum Ablagern des SiNCH-Films einen Plasma-Polymerisationsprozeß der organischen Si-Verbindung. Ferner wird der Plasma-Polymerisationsprozeß vorzugsweise unter einer Plasma-Leistung durchgeführt, bei der die Silazan-Bindung in der organischen Verbindung im SiNCH-Film im wesentlichen erhalten bleibt. Dadurch werden die Dichte und die spezifische Dielektrizitätskonstante des SiNCH-Films wirksam verringert. Falls der Schritt des Ablagerns durch einen pyrolytischen Polymerisationsprozeß der organischen Si-Verbindung durchgeführt wird, ist es nötig, die Temperatur so einzurichten, daß die organische Silazan-Bindung in der organischen Si-Verbindung im abgelagerten SiNCH-Film im wesentlichen erhalten bleibt.
  • Bei der vorliegenden Erfindung kann der SiNCH-Film durch einen alternativen Prozeß des Hinzufügens einer zusätzlichen gasförmigen Quelle, die N wie etwa N2 oder NH3 enthält, zur vorhergehenden organischen Si-Verbindung, des Ausbilden eines Plasmas der zusätzlichen gasförmigen Quelle, und des Zuführens des Plasmas in die Reaktionskammer abgelagert werden. Nach einem derartigen Prozeß wird der organischen Quellenverbindung nur eine geringe Plasma-Leistung bereitgestellt und bleibt die organische Silazan-Struktur in der organischen Si-Verbindung im Siliziumnitridfilm erhalten.
  • Bei der vorliegenden Erfindung ist es auch möglich, einen Prozeß zum Ablagern einer Leiterschicht auf dem Zwischenschicht-Isolationsfilm anzuwenden, um die Vertiefung über die Öffnung zu füllen, und um den Teil der Leiterschicht, der über dem Zwischenschicht-Isolationsfilm angeordnet ist, durch einen chemisch-mechanischen Polierprozeß zu entfernen. Dabei wird die Leiterschicht vorzugsweise aus Cu ausgebildet. Da der Siliziumnitridfilm als wirksame Diffusionsbarriere gegen Cu wirkt, kann ein derartiger Aufbau die Diffusion von Cu von der Cu-Schicht zum benachbarten Zwischenschicht-Isolationsfilm wirksam unterdrücken. Ferner weist der so ausgebildete Siliziumnitrid-Ätzstoppfilm eine hervorragende Leckstromkennziffer auf.
  • Durch das Verwenden eines organischen Isolationsfilms oder eines F-dotierten SiO2-Films für den Zwischenschicht-Isolationsfilm wird die Kapazität des Zwischenschicht-Isolationsfilms herabgesetzt und die gesamte Streukapazität des mehrschichtigen Verbindungsaufbaus verringert. Da die vorhergehende Vertiefung so ausgebildet wird, daß sie eine Verbindungsrille oder ein Kontaktloch enthält, können verschiedenste komplexe Verbindungsmuster ausgebildet werden.
  • Die vorliegende Erfindung stellt einen Siliziumnitridfilm mit einem SiNCH-System bereit, wobei der Siliziumnitridfilm eine als CnHm dargestellte beliebige Atomgruppe enthält, wobei die Atomgruppe an ein Si-Atom gebunden ist.
  • Der Siliziumnitridfilm enthält eine organische Silazan-Bindung, während die organische Silazan-Bindung wiederum eine Kohlenwasserstoffgruppe enthält. Der Siliziumnitridfilm der vorliegenden Erfindung weist eine charakteristisch niedrige Filmdichte und das verbundene Merkmal einer niedrigen Dielektrizitätskonstanten auf. Die Atomgruppe kann jede beliebige aus einer Alkylgruppe, einer zyklischen Kohlenwasserstoffgruppe oder einer Vinylgruppe sein. Der Siliziumnitridfilm der vorliegenden Erfindung weist das vorteilhafte Merkmal einer hervorragenden Haftung und Beständigkeit gegenüber verschiedensten Prozessen wie etwa einem Plasmaveraschungsprozeß, einem Trockenätzprozeß oder einem Naßätzprozeß auf. Der Siliziumnitridfilm wirkt ferner als eine wirksame Diffusionsbarriere und ist durch einen geringen Leckstrom gekennzeichnet.
  • Die vorliegende Erfindung stellt eine Halbleitervorrichtung bereit, die folgendes umfaßt:
    ein Substrat; und
    einen mehrschichtigen Verbindungsaufbau, der auf dem Substrat ausgebildet ist,
    wobei der mehrschichtige Verbindungsaufbau einen Ätzstoppfilm, einen Zwischenschicht-Isolationsfilm, der auf dem Ätzstoppfilm ausgebildet ist, eine Verbindungsrille, die im Zwischenschicht-Isolationsfilm ausgebildet ist, ein Kontaktloch, das im Zwischenschicht-Isolationsfilm in Übereinstimmung mit der Verbindungsrille ausgebildet ist, und ein Leitermuster, das die Verbindungsrille und das Kontaktloch füllt, umfaßt,
    wobei der Ätzstoppfilm einen SiNCH-Film umfaßt und darin eine als CnHm dargestellte beliebige Atomgruppe enthält, wobei die beliebige Atomgruppe an ein Si-Atom gebunden ist.
  • Der Siliziumnitridfilm enthält eine organische Silazan-Bindung, während die organische Silazan-Bindung eine Kohlenwasserstoffgruppe enthält. Als Ergebnis ist die Dichte des Siliziumnitridfilms verringert und die Dielektrizitätskonstante entsprechend verringert. Daher ist die Streukapazität des mehrschichtigen Verbindungsaufbaus verringert und die Betriebsgeschwindigkeit der Halbleitervorrichtung verbessert. Für die Atomgruppe kann eines aus Wasserstoff, einer Alkylgruppe, einer zyklischen Kohlenwasserstoffgruppe oder einer Vinylgruppe verwendet werden. Der Siliziumnitridfilm der vorliegenden Erfindung zeigt eine hervorragende Haftung und Beständigkeit gegenüber einem Plasmaveraschungsprozeß, einem Trockenätzprozeß oder einem Naßätzprozeß. Ferner wirkt der Siliziumnitridfilm der vorliegenden Erfindung als wirksame Diffusionsbarriere gegen die Diffusion eines Metallelements. Ferner weist der Siliziumnitridfilm der vorliegenden Erfindung das vorteilhafte Merkmal eines verringerten Leckstroms auf.
  • Andere Aufgaben und weitere Merkmale der vorliegenden Erfindung werden aus der folgenden ausführlichen Beschreibung offensichtlich werden, wenn diese in Verbindung mit den beiliegenden Zeichnungen gelesen wird.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • 1 ist ein Diagramm, das den Aufbau einer Plasma-CVD-Vorrichtung zeigt, die bei einer ersten Ausführungsform der vorliegenden Erfindung verwendet wird;
  • 2A und 2B sind Diagramme, die Beispiele für eine organische Silazan-Bindung zeigen, die bei der ersten Ausführungsform der vorliegenden Erfindung verwendet werden;
  • 3A und 3B sind Diagramme, die ein Beispiel für einen Siliziumnitridfilmaufbau zeigen, der bei der ersten Ausführungsform der vorliegenden Erfindung erhalten wird;
  • 4A bis 4F sind Diagramme, die den Herstellungsprozeß einer Halbleitervorrichtung nach einer zweiten Ausführungsform der vorliegenden Erfindung zeigen;
  • 5A bis 5E sind Diagramme, die den Herstellungsprozeß einer Halbleitervorrichtung nach einer dritten Ausführungsform der vorliegenden Erfindung zeigen;
  • 6A bis 6E sind Diagramme, die den Herstellungsprozeß einer Halbleitervorrichtung nach einer vierten Ausführungsform der vorliegenden Erfindung zeigen;
  • 7A bis 7E sind Diagramme, die den Herstellungsprozeß einer Halbleitervorrichtung nach einer fünften Ausführungsform der vorliegenden Erfindung zeigen;
  • 8A bis 8E sind Diagramme, die den Herstellungsprozeß einer Halbleitervorrichtung nach einer sechsten Ausführungsform der vorliegenden Erfindung zeigen;
  • 9 ist ein Diagramm, das den Aufbau einer Halbleitervorrichtung nach einer siebenten Ausführungsform der vorliegenden Erfindung zeigt;
  • 10 ist ein Diagramm, das den Herstellungsprozeß der Halbleitervorrichtung der siebenten Ausführungsform zeigt;
  • 11A bis 11F sind Diagramme, die den Herstellungsprozeß einer herkömmlichen Halbleitervorrichtung zeigen.
  • DIE BESTE WEISE ZUR AUSFÜHRUNG DER ERFINDUNG
  • ERSTE AUSFÜHRUNGSFORM
  • 1 zeigt den Aufbau einer Plasma-CVD-Vorrichtung 30, die bei einer ersten Ausführungsform der vorliegenden Erfindung verwendet wird.
  • Unter Bezugnahme auf 1 umfaßt die Plasma-CVD-Vorrichtung 30 eine Reaktionskammer 31, die durch eine Pumpe 31C über einen Evakuierungsanschluß 31A und eine Fangstelle 31B evakuiert wird, wobei die Reaktionskammer 31 eine Bühne bzw. Station 32 zum Halten eines zu bearbeitenden Substrats 32A aufnimmt.
  • In der Bearbeitungskammer 31 ist ein Duschkopf 33 so bereitgestellt, daß er zur Bühne 32 gerichtet ist, wobei der Duschkopf 33 von einem Behälter 34 mit einer organischen Si-Quelle in flüssigem Zustand versorgt wird. Genauer wird der Behälter durch ein He-Gas mit Druck beaufschlagt und die darin befindliche organische Si-Quelle in flüssigem Zustand über eine Flüssigkeitsmengenflußsteuerung 34A und einen Verdampfer 34B gemeinsam mit einem Ar-Trägergas, das von einer Leitung 34C zugeführt wird, als erste gasförmige Quelle zum Duschkopf 33 zugeführt.
  • Ferner wird der Duschkopf 33 über eine Leitung 35 mit einem NH3-Gas oder einem N2-Gas als zweite gasförmige Quelle versorgt, und durch das Liefern einer Hochfrequenzleistung von 450 kHz bis 60 MHz von einer Hochfrequenzleistungsversorgung 36 zur ersten und zur zweiten gasförmigen Quelle in diesen eine Plasmaanregung verursacht.
  • Mit der Ausbildung des Plasmas kommt es in der Reaktionskammer 31 zu einer Plasma-Polymerisation, wenn die Quellenmaterialien vom Duschkopf 33 abgegeben werden und die so zugeführten Quellenmaterialien eine Plasmaanregung erfahren. Als Ergebnis der Plas maanregung kommt es zu einer Ablagerung eines Siliziumnitridfilms auf der Oberfläche des Substrats 32A.
  • Beim Aufbau von 1 sollte bemerkt werden, daß die Pumpe 31C mit einer Wäschereinheit 31D verbunden ist und das Abgas der Pumpe 31C nach der Bearbeitung durch die Wäschereinheit 31D zur Umwelt abgegeben wird.
  • 2A und 2B zeigen die Strukturformel eines beispielhaften organischen Si-Quellenmaterials, das im Quellenbehälter 34 gehalten wird, wobei 2A den Fall zeigt, in dem 1,1,3,3,5,5,7,7-Octamethylcyclotetrasilazan als organische Si-Quelle verwendet wird. In 2A ist R1 eine Methylgruppe, während R2 Wasserstoff ist. Daher weist die organische Si-Quelle die chemische Formel Si4C8H28N4 auf. Im Beispiel von 2B wird hingegen Hexamethyldisilazan (Si2C6H19N) als organische Si-Quelle verwendet. Es sollte bemerkt werden, daß dies repräsentative Beispiele für die organische Si-Quelle, die eine organische Silazan-Bindung enthält, darstellen. Eine Silazan-Bindung ist ein allgemeiner Ausdruck, der für die Verbindungen verwendet wird, die die Si-N-Si-Bindung enthalten. Eine organische Silazanverbindung wird erlangt, indem eine Alkylgruppe wie etwa eine Methylgruppe oder eine Ethylgruppe, oder eine zyklische Kohlenwasserstoffgruppe wie etwa eine Phenylgruppe, oder eine Vinylgruppe zur Si-N-Si-Bindung hinzugefügt wird.
  • Beispiele für die organischen Silazan-Verbindungen sind in der nachstehenden TABELLE 1 zusammengefaßt. TABELLE 1
    Triethylsilazan SiC6H17N
    Tripropylsilazan SiC9H23N
    Triphenylsilazan SiC18H17
    Disilazan Si2H7N
    Tetramethyldisilazan Si2C4H15N
    Hexamethyldisilazan Si2C6H19N
    Hexaethyldisilazan Si2C12H31N
    Hexaphenyldisilazan Si2C36H31N
    Heptamethyldisilazan Si2C7H21N
    Dipropyl-tetramethyldisilazan Si2C10H27N
    Di-n-butyl-tetramethyldisilazan Si2C12H31N
    Di-n-octyl-tetramethyldisilazan Si2C20H47N
    Triethyl-trimethylcyclotrisilazan Si3C9H27N3
    Hexamethylcyclotrisilazan Si3C6H21N3
    Hexaethylcyclotrisilazan Si3C12H33N3
    Hexaphenylcyclotrisilazan Si3C36H33N3
    Octamethylcyclotetrasilazan Si4C8H28N4
    Octaethylcyclotetrasilazan Si4C16H44N4
    Tetraethyl-tetramethylcyclotetrasilazan Si4C12H36N4
    Cyanopropylmethylcyclosilazan SiC5H10N2
    Tetraphenyldimethyldisilazan Si2C26H27N
    Diphenyl-tetramethyldisilazan Si2C16H23N
    Trivinyl-trimethylcyclotrisilazan Si3C9H21N3
    Tetravinyl-tetramethylcyclotetrasilazan Si4C12H28N4
    Divinyl-tetramethyldisilazan Si2C8H19N
  • Unter Bezugnahme auf 1A und 2B enthält die vorhergehende organische Si-Quelle eine organische Silazan-Bindung, die eine Methylgruppe Me aufweist.
  • Unter Verwendung der oben angeführten organischen Si-Quelle als Quelle wurde in der CVD-Vorrichtung 30 von 1 eine Ablagerung eines Siliziumnitridfilms auf einem 8-Zoll-Wafer durchgeführt, während der Si-Wafer als das Substrat 32A verwendet wurde. Die Ablagerung des Siliziumnitridfilms wurde bei einer Substrattemperatur von 200 bis 400 °C durchgeführt, während eine Plasma-Leistung von 100 bis 1000 W bei einer Frequenz von 27 MHz zugeführt wurde. Die ausführlichen Bedingungen sind in der nachstehenden TABELLE 2 zusammengefaßt. TABELLE 2
    Substrattemperatur 200 bis 400 °C
    Plasma-Leistung 100 bis 1000W/27 MHz
    Kammerdruck 13,3 Pa (100 mTorr)
    Fließgeschwindigkeit der organischen Si-Quelle 0,1 ccm/min
    NH3-Fließgeschwindigkeit 50 SCCM
    Ar-Fließgeschwindigkeit 200 SCCM
    Verdampfertemperatur 80 bis 120 °C
  • Der so erhaltene Siliziumnitridfilm ist tatsächlich ein SiNCH-Film und weist eine spezifische Dielektrizitätskonstante von 3,5 bis 5,5 auf.
  • Es sollte bemerkt werden, daß der Wert der spezifischen Dielektrizitätskonstante des Siliziumnitridfilms angesichts des Umstands, daß ein gewöhnlicher Plasma-SiN-Film über eine spezifische Dielektrizitätskonstante von etwa 7 bis 8 verfügt, auf die Hälfte abgenommen hat. Durch das Verwenden einer geringen Plasma-Leistung von 100 bis 300 W beim vorhergehenden Ablagerungsprozeß wird die verdampfte organische Si-Verbindung, die vom Duschkopf 33 zugeführt wird, in der Reaktionskammer 31 nicht vollständig zerlegt, und wird die organische Silazan-Bindung in der organischen Quelle im Siliziumnitridfilm, der so auf dem Si-Wafer abgelagert wird, im wesentlichen erhalten. Da das Vorhandensein der organischen Silazan-Bindung eine Abnahme der Dichte im abgelagerten SiN-Film verursacht, kommt es auch zu einer Abnahme der spezifischen Dielektrizitätskonstanten im abgelagerten SiN-Film.
  • 3A zeigt den Aufbau des so ausgebildeten Siliziumnitridfilms wie durch ein FT-IR-Verfahren beobachtet.
  • Unter Bezugnahme auf 3A ist ersichtlich, daß der so ausgebildete Siliziumnitridfilm die Kohlenwasserstoffstruktur Si-CH3 oder CH3, die von der organischen Silazan-Bindung von 2A oder 2B stammt, bewahrt, während die Kohlenwasserstoffstruktur im Siliziumnitridfilm die Dichte und daher die spezifische Dielektrizitätskonstante des Siliziumnitridfilms verringert.
  • 3A gibt ferner an, daß die relative Höhe der Spitze, die der Si-CH3-Bindung entspricht, in Bezug auf die Spitze, die der SiN-Bindung entspricht, verglichen mit dem Fall einer Verwendung der Plasma-Leistung von 1000 W zunimmt, wenn die Plasma-Leistung von 100 W verwendet wird. Dieses Ergebnis zeigt deutlich, daß der Siliziumnitridfilm, der mit der Plasma-Leistung von 100 W ausgebildet wurde, die Si-CH3-Bindung verglichen mit dem Siliziumnitridfilm, der mit der Plasma-Leistung von 1000 W ausgebildet wurde, in einer erhöhten Konzentration enthält. Daher wird gefolgert, daß die gewünschte Abnahme der spezifischen Dielektrizitätskonstanten als Ergebnis der Abnahme der Filmdichte im abgelagerten Siliziumnitridfilm verursacht wurde.
  • 3B zeigt einen schematischen Aufbau des so ausgebildeten Siliziumnitridfilms.
  • Unter Bezugnahme auf 3B enthält der Siliziumnitridfilm zusätzlich zum gewöhnlichen Si-N-Aufbau Si-CH3-Bindungen, N-H-Bindungen, Si-H-Bindungen, und dergleichen, die zusammen einen Netzwerkaufbau bilden. Der Siliziumnitridfilm, der einen derartigen Netzwerkaufbau aufweist, kann aus der organischen Silazan-Quelle ausgebildet werden, während die Bedingungen wie etwa die Plasma-Leistung passend gewählt werden.
  • Es sollte bemerkt werden, daß der so erhaltene SiNCH-Film eine hervorragende Haftung in Bezug auf einen darunterliegenden Film zeigt. Ferner zeigt der SiNCH-Film eine hervorragende Beständigkeit gegenüber einer Plasmaveraschung, einer Trockenätzung und einer Naßätzung. Daher kann der SiNCH-Film der vorliegenden Erfindung erfolgreich in einem mehrschichtigen Verbindungsaufbau verwendet werden.
  • Es sollte bemerkt werden, daß TABELLE 2 lediglich ein typisches Beispiel zeigt, und daß der SiNCH-Film der vorliegenden Erfindung auch durch Einrichten der Plasma-Leistung im Bereich von 50 bis 2000 W, der Substrattemperatur im Bereich von Raumtemperatur bis 500 °C, des Prozeßdrucks im Bereich von 1,33 Pa bis 1,33 kPA (10 mTorr bis 10 Torr), oder der Zufuhrgeschwindigkeit der organischen Si-Quelle in flüssigem Zustand im Bereich von 0,001 bis 10 ccm/min ausgebildet werden kann.
  • Ferner ist es auch möglich, den SiNCH-Film durch einen pyrolytischen CVD-Prozeß auszubilden. Ein derartiger pyrolytischer CVD-Prozeß kann zum Beispiel in der Plasma-CVD- Vorrichtung 30 von 2 ohne Bestromen der Hochfrequenzleistungsversorgung 26 durchgeführt werden.
  • In diesem Fall wird die Substrattemperatur höher als die beim Plasma-CVD-Prozeß verwendete Substrattemperatur eingerichtet. Die Substrattemperatur sollte jedoch 600 °C nicht überschreiten. Andernfalls würde die organische Silazan-Bindung, die in der organischen Si-Quelle enthalten ist, nicht im SiNCH-Film erhalten werden.
  • ZWEITE AUSFÜHRUNGSFORM
  • 4A bis 4F zeigen den Herstellungsprozeß einer Halbleitervorrichtung, die einen mehrschichtigen Verbindungsaufbau aufweist, nach einer zweiten Ausführungsform der vorliegenden Erfindung, wobei jene Teile, die den vorher beschriebenen Teilen entsprechen, mit den gleichen Bezugszeichen bezeichnet sind und auf ihre Beschreibung verzichtet werden wird.
  • 4A entspricht dem vorher erklärten Schritt von 11A, und es wird ein ähnlich geschichteter Aufbau ausgebildet, außer daß anstelle des Ätzstoppfilms 13 ein SiNCH-Film, der durch die Plasma-CVD-Vorrichtung von 2 unter den Bedingungen von TABELLE 2 aus der organischen Si-Quelle von 2A ausgebildet wird, als Ätzstoppfilm verwendet wird.
  • Im Schritt von 4B wird der Zwischenschicht-Isolationsfilm 14 einem Trockenätzprozeß unterzogen, während das Resistmuster 18 als Maske verwendet wird, und im Zwischenschicht-Isolationsfilm 14 eine Öffnung ausgebildet, die der Resistöffnung 18A entspricht. Nach der Ausbildung der Öffnung wird das Resistmuster 18 entfernt.
  • Als nächstes wird im Schritt von 4C auf dem Aufbau von 4B neuerlich ein Resistfilm 19 ausgebildet, wobei der Resistfilm 19 anschließend durch einen photolithographischen Strukturierungsprozeß strukturiert wird, um eine Resistöffnung 19A auszubilden, die dem Verbindungsmuster entspricht, das im mehrschichtigen Verbindungsaufbau ausgebildet werden soll.
  • Als nächstes wird in Schritt von 4D der Zwischenschicht-Isolationsfilm 14 in jenem Bereich, der durch die Resistöffnung 19A freigelegt ist, durch einen Trockenätzprozeß weg geätzt, während der Resistfilm 19 als Maske verwendet wird, und danach der Resistfilm 19 selbst entfernt. Als Ergebnis des vorhergehenden Trockenätzprozesses und des Resistentfernungsprozesses wird der SiNCH-Film 23 am unteren Teil der Öffnung 14A freigelegt.
  • Als nächstes wird im Schritt von 4E ein Trockenätzprozeß auf den so erhaltenen Aufbau angewendet und im SiNCH-Film 23 eine Öffnung 14B in Übereinstimmung mit der Öffnung 14A ausgebildet.
  • Als nächstes werden im Schritt von 4F die so durch die Öffnung 14B ausgebildete Verbindungsrille und das so durch die Öffnung 14A ausgebildete Kontaktloch mit einer Sperrmetallschicht (nicht gezeigt) aus Ta, TaN, Ta/TaN, TiN, WN, und dergleichen bedeckt und anschließend durch eine Leiterschicht wie etwa eine Cu-Schicht gefüllt. Durch das Entfernen der Leiterschicht, die den Zwischenschicht-Isolationsfilm 14 bedeckt, durch einen CMP-Prozeß wird ein wie in 4F dargestelltes Leitermuster 20, das am Kontaktloch 14A einen Kontakt mit dem darunterliegenden Verbindungsmuster 14A herstellt, erhalten.
  • Es ist möglich, für den Zwischenschicht-Isolationsfilm 14 einen anorganischen Isolationsfilm mit niedriger Dielektrizitätskonstante wie etwa einen F-dotierten SiO2-Film, einen HSQ-Film wie etwa einen SiOH-Film, oder einen porösen Isolationsfilm zu verwenden. Alternativ ist es möglich, für den Zwischenschicht-Isolationsfilm 14 einen organischen Isolationsfilm mit niedriger Dielektrizitätskonstante wie etwa einen organischen SOG-Film oder einen organischen Isolationsfilm mit niedriger Dielektrizitätskonstante aus der Aromatenfamilie zu verwenden. Natürlich ist es möglich, für den Zwischenschicht-Isolationsfilm 14 herkömmliche CVD-SiO2-Filme oder SOG-Filme zu verwenden. Durch das Verwenden eines anorganischen oder organischen Isolationsfilms mit niedriger Dielektrizitätskonstante für den Zwischenschicht-Isolationsfilm 14 im mehrschichtigen Verbindungsaufbau wird es möglich, die gesamte Dielektrizitätskonstante des mehrschichtigen Verbindungsaufbaus zu verringern, und wird die Betriebsgeschwindigkeit der Halbleitervorrichtung verbessert.
  • Es sollte bemerkt werden, daß der SiNCH-Film 23 der vorliegenden Ausführungsform verschiedene Eigenschaften wie etwa eine hervorragende Haftung, eine hervorragende Trockenätzungsbeständigkeit, eine hervorragende Leistungsfähigkeit als Diffusionsbarriere für Cu, einen geringen Leckstrom, und dergleichen aufweist, die zur Verwendung in einem mehr schichtigen Verbindungsaufbau einer Hochgeschwindigkeits-Halbleitervorrichtung geeignet sind.
  • DRITTE AUSFÜHRUNGSFORM
  • 5A bis 5E sind Diagramme, die den Herstellungsprozeß einer Halbleitervorrichtung nach einer dritten Ausführungsform der vorliegenden Erfindung zeigen, wobei jene Teile, die den vorher beschriebenen Teilen entsprechen, mit den gleichen Bezugszeichen bezeichnet sind und auf ihre Beschreibung verzichtet werden wird.
  • Unter Bezugnahme auf 5A ist der Schritt mit dem vorher erklärten Schritt von 4A im wesentlichen identisch, außer daß ferner ein Zwischenschicht-Isolationsfilm 16 und SiNCH-Filme 25 und 27 bereitgestellt werden.
  • Genauer beinhaltet der geschichtete Aufbau von 5A zusätzlich zum Zwischenschicht-Isolationsfilm 11, der auf dem Si-Substrat 10 ausgebildet ist, und der Verbindungsschicht 12, die auf dem Zwischenschicht-Isolationsfilm 11 ausgebildet ist, den SiNCH-Film 23, den Zwischenschicht-Isolationsfilm 14, den SiNCH-Film 25, den Zwischenschicht-Isolationsfilm 16 und den SiNCH-Film 27 derart, daß die Filme 23 bis 27 aufeinanderfolgend gestapelt sind und das Resistmuster, das die Resistöffnung 18A aufweist, nun auf dem so ausgebildeten geschichteten Aufbau bereitgestellt ist. In der gleichen Weise wie bei der vorhergehenden Ausführungsform entspricht die Resistöffnung 18A dem Kontaktloch, das im mehrschichtigen Verbindungsaufbau ausgebildet werden soll.
  • Als nächstes wird im Schritt von 5B der SiNCH-Film 27 einem Trockenätzprozeß unterzogen, während das Resistmuster 18 als Maske verwendet wird, und darin eine Öffnung (nicht gezeigt) in Übereinstimmung mit der Resistöffnung 18A ausgebildet.
  • Die so ausgebildete Öffnung legt einen Teil des darunterliegenden Zwischenschicht-Isolationsfilms 16 frei, und der freigelegte Teil des Zwischenschicht-Isolationsfilms 16 wird einem Trockenätzprozeß unterzogen. Als Ergebnis wird im Zwischenschicht-Isolationsfilm 16 eine Öffnung in Übereinstimmung mit der Resistöffnung 18A ausgebildet, um einen Teil des darunterliegenden SiNCH-Films 25 freizulegen. Durch das Anwenden eines Trockenätzprozesses auf den so freigelegten SiNCH-Film 25 wird eine Öffnung, die den darunterlie genden Zwischenschicht-Isolationsfilm 14 freilegt, in Übereinstimmung mit der Resistöffnung 18A ausgebildet.
  • Ferner wird durch das Anwenden eines Trockenätzprozesses auf den so freigelegten Zwischenschicht-Isolationsfilm 14 die Öffnung 14A in Übereinstimmung mit der Resistöffnung 18A im Zwischenschicht-Isolationsfilm 14 ausgebildet. Die so ausgebildete Öffnung 14A erstreckt sich fortlaufend durch den SiNCH-Film 27, den Zwischenschicht-Isolationsfilm 16, den SiNCH-Film 25 und den Zwischenschicht-Isolationsfilm 14 und legt den SiNCH-Film 23 am unteren Teil davon frei.
  • Als nächstes wird im Schritt von 5C der Resistfilm 18 entfernt und auf dem Aufbau von 5B ein anderer Resistfilm 19 durch einen Schleuderbeschichtungsprozeß derart ausgebildet, daß der Resistfilm 19 die Öffnung 14A füllt, und der Resistfilm 19 im Schritt von 5D einem photolithographischen Strukturierungsprozeß unterzogen. Als Ergebnis wird im Resistfilm 19 die Resistöffnung 19A in Übereinstimmung mit der Verbindungsrille, die im mehrschichtigen Verbindungsaufbau ausgebildet werden soll, ausgebildet.
  • Als nächstes wird im Schritt von 5E der SiNCH-Film 27 in dem Teil, der durch die Resistöffnung 19A freigelegt ist, einem Trockenätzprozeß unterzogen, wobei der Resistfilm 19 als Maske verwendet wird, und im SiNCH-Film 27 eine Öffnung derart in Übereinstimmung mit der Resistöffnung 19A ausgebildet, daß die Öffnung den darunterliegenden Zwischenschicht-Isolationsfilm 16 freilegt. Dann wird ein Trockenätzprozeß auf den so freigelegten Zwischenschicht-Isolationsfilm 16 angewendet, bis der darunterliegende SiNCH-Film 25 freigelegt ist. Als Ergebnis wird im Zwischenschicht-Isolationsfilm 16 die Öffnung 16A ausgebildet, die mit der Verbindungsrille, welche im mehrschichtigen Verbindungsaufbau in Übereinstimmung mit der Resistöffnung 19A ausgebildet werden soll, übereinstimmt. Danach wird die Resistöffnung 19A entfernt.
  • Es sollte bemerkt werden, daß der Trockenätzvorgang, der zur Ausbildung der Öffnung 16A verwendet wird, bei der Freilegung des SiNCH-Films 25 anhält. Dann wird durch Entfernen der freigelegten SiNCH-Filme 27, 25 und 23 und ferner Füllen der Öffnungen 16A und 14A mit einer Leiterschicht wie etwa einer Cu-Schicht der vorher unter Bezugnahme auf 4F erklärte mehrschichtige Verbindungsaufbau erhalten.
  • Auch bei der vorliegenden Ausführungsform ist es möglich, für die Zwischenschicht-Isolationsfilme 14 und 16 einen beliebigen der anorganischen Isolationsfilme mit niedriger Dielektrizitätskonstante wie etwa einen F-dotierten SiO2-Film, einen HSQ-Film wie etwa einen SiOH-Film oder einen porösen Film, oder der organischen Isolationsfilme mit niedriger Dielektrizitätskonstante wie etwa einen organischen SOG-Film oder einen organischen Isolationsfilm aus der Aromatenfamilie zu verwenden. Im mehrschichtigen Verbindungsaufbau der vorliegenden Ausführungsform ist die gesamte Dielektrizitätskonstante verringert und die Betriebsgeschwindigkeit der Halbleitervorrichtung verbessert.
  • Auch bei der vorliegenden Ausführungsform sind die SiNCH-Filme 23, 25 und 27 durch eine niedrige spezifische Dielektrizitätskonstante, eine hervorragende Haftung, eine hervorragende Trockenätzungsbeständigkeit, eine hervorragende Leistungsfähigkeit als Diffusionsbarriere für Cu, und einen geringen Leckstrom gekennzeichnet. Daher sind die SiNCH-Filme der vorliegenden Erfindung zur Verwendung in einem mehrschichtigen Verbindungsaufbau einer Hochgeschwindigkeits-Halbleitervorrichtung ideal.
  • VIERTE AUSFÜHRUNGSFORM
  • 6A bis 6E zeigen den Herstellungsprozeß einer Halbleitervorrichtung nach einer vierten Ausführungsform der vorliegenden Erfindung, wobei jene Teile, die den vorher beschriebenen Teilen entsprechen, mit den gleichen Bezugszeichen bezeichnet sind und auf ihre Beschreibung verzichtet werden wird.
  • Unter Bezugnahme auf 6A ist der Schritt von 6A im wesentlichen mit dem Schritt von 5A identisch und wird auf dem Si-Substrat 10 ein geschichteter Körper ausgebildet, wobei das Si-Substrat 10 mit dem Zwischenschicht-Isolationsfilm 11 bedeckt wird, der das Verbindungsmuster 12 trägt. Ferner werden der SiNCH-Film 23, der Zwischenschicht-Isolationsfilm 14, der SiNCH-Film 25, der Zwischenschicht-Isolationsfilm 16 und der SiNCH-Film 27 nacheinander auf die Verbindungsschicht 12 gestapelt. Bei der vorliegenden Ausführungsform wird auf dem mehrschichtigen Verbindungsaufbau ein Resistmuster 28 bereitgestellt, wobei das Resistmuster 28 eine Resistöffnung 28A enthält, die dem Verbindungsmuster entspricht, das im mehrschichtigen Verbindungsaufbau ausgebildet werden soll.
  • Im Schritt von 6B wird der SiNCH-Film 27 einem Trockenätzprozeß unterzogen, während das Resistmuster 28 als Maske verwendet wird, und im SiNCH-Film 27 eine Öffnung in Übereinstimmung mit der Resistöffnung 28A derart ausgebildet, daß die so gebildete Öffnung den Zwischenschicht-Isolationsfilm 16, der unter dem SiNCH-Film 27 ausgebildet ist, freilegt. Der so freigelegte Zwischenschicht-Isolationsfilm 16 wird anschließend einem Trockenätzprozeß unterzogen und im Zwischenschicht-Isolationsfilm 16 die Öffnung 16A, die mit der auszubildenden Verbindungsrille übereinstimmt, in Übereinstimmung mit der Resistöffnung 28A ausgebildet, um den darunterliegenden SiNCH-Film 25 freizulegen.
  • Als nächstes wird der Resistfilm 28 im Schritt von 6C entfernt und auf dem Aufbau von 6B neuerlich ein Resistfilm 29 derart ausgebildet, daß der Resistfilm 29 die Öffnung 16A füllt. Ferner wird der Resistfilm 29 im Schritt von 6D durch einen photolithographischen Prozeß strukturiert, um im Resistfilm 29 eine Resistöffnung 29A in Übereinstimmung mit dem Kontaktloch, das im mehrschichtigen Verbindungsaufbau ausgebildet werden soll, auszubilden.
  • Als nächstes wird im Schritt von 6E ein Teil des SiNCH-Films 25, der durch die Resistöffnung 29A freigelegt ist, einem Trockenätzprozeß unterzogen, während das Resistmuster 29 als Maske verwendet wird, und im SiNCH-Film 25 eine Öffnung in Übereinstimmung mit der Resistöffnung 29A ausgebildet, um den darunterliegenden Zwischenschicht-Isolationsfilm 14 freizulegen. Danach wird das Resistmuster 29 entfernt und der Zwischenschicht-Isolationsfilm 14 einem Trockenätzprozeß unterzogen, während die SiNCH-Filme 27 und 25 als Hartmaske verwendet werden. Als Ergebnis wird im Zwischenschicht-Isolationsfilm 14 die Öffnung 14A in Übereinstimmung mit der Resistöffnung 29A und daher in Übereinstimmung mit dem auszubildenden Kontaktloch des mehrschichtigen Verbindungsaufbaus ausgebildet.
  • Der Trockenätzprozeß zum Ausbilden der Öffnung 14A hält bei der Freilegung des SiNCH-Films 23 an. Danach werden die freigelegten SiNCH-Filme 27, 25 und 23 entfernt und die Öffnungen 16A und 14A mit einer leitenden Schicht wie etwa einer Cu-Schicht gefüllt. Als Ergebnis wird der unter Bezugnahme auf 6F erklärte mehrschichtige Verbindungsaufbau erhalten.
  • Auch bei der vorliegenden Ausführungsform ist es möglich, für die Zwischenschicht-Isolationsfilme 14 und 16 einen beliebigen der anorganischen Isolationsfilme mit niedriger Dielektrizitätskonstante wie etwa einen F-dotierten SiO2-Film, einen HSQ-Film wie etwa einen SiOH-Film oder einen porösen Isolationsfilm, oder der organischen Isolationsfilme mit niedriger Dielektrizitätskonstante wie etwa einen organischen SOG-Film oder einen organischen Isolationsfilm aus der Aromatenfamilie zu verwenden. Als Ergebnis weist der mehrschichtige Verbindungsaufbau der vorliegenden Ausführungsform das vorteilhafte Merkmal der verringerten gesamten Dielektrizitätskonstanten auf und ist die Betriebsgeschwindigkeit der Halbleitervorrichtung wesentlich verbessert.
  • Auch bei der vorliegenden Ausführungsform sind die SiNCH-Filme 23, 25 und 27 durch eine niedrige spezifische Dielektrizitätskonstante, eine hervorragende Haftung, eine hervorragende Trockenätzungsbeständigkeit, eine hervorragende Leistungsfähigkeit als Diffusionsbarriere für Cu und einen geringen Leckstrom gekennzeichnet. Daher sind die SiNCH-Filme der vorliegenden Erfindung zur Anwendung bei einem mehrschichtigen Verbindungsaufbau einer Hochgeschwindigkeits-Halbleitervorrichtung ideal.
  • FÜNFTE AUSFÜHRUNGSFORM
  • 7A bis 7E zeigen den Herstellungsprozeß einer Halbleitervorrichtung nach einer fünften Ausführungsform der vorliegenden Erfindung, wobei jene Teile, die den vorher beschriebenen Teilen entsprechen, mit den gleichen Bezugszeichen bezeichnet sind und auf ihre Beschreibung verzichtet werden wird.
  • Unter Bezugnahme auf 7A wird in der gleichen Weise wie bei den vorhergehenden Ausführungsformen ein geschichteter Aufbau auf dem Si-Substrat 10 ausgebildet, wobei das Si-Substrat 10 den Zwischenschicht-Isolationsfilm 11 trägt, und der Zwischenschicht-Isolationsfilm 11 die Verbindungsschicht 12 trägt. Auf der Verbindungsschicht 12 sind der SiNCH-Film 23, der Zwischenschicht-Isolationsfilm 14 und der SiNCH-Film 25 aufeinanderfolgend gestapelt, wobei der SiNCH-Film 25 ein Resistmuster trägt, das eine Resistöffnung 41A aufweist, die mit dem Kontaktloch, das im mehrschichtigen Verbindungsaufbau ausgebildet werden soll, übereinstimmt.
  • Die Resistöffnung 41A legt den SiNCH-Film 25 frei, und daher wird der SiNCH-Film 25 einem Trockenätzprozeß unterzogen. Als Ergebnis wird im SiNCH-Film 25 eine Öffnung 25A in Übereinstimmung mit der Resistöffnung 41A ausgebildet.
  • Als nächstes wird im Schritt von 7B der Zwischenschicht-Isolationsfilm 16 auf dem SiNCH-Film 25 abgelagert, um die Öffnung 25A zu füllen, worauf eine weitere Ablagerung eines SiNCH-Films 27 auf dem Zwischenschicht-Isolationsfilm 16 folgt.
  • Als nächstes wird im Schritt von 7C ein Resistfilm 42 auf den SiNCH-Film 27 aufgebracht, wobei der Resistfilm 42 im Schritt von 7D durch einen photolithographischen Prozeß strukturiert wird, um im Resistfilm 42 eine Öffnung 42A in Übereinstimmung mit dem Verbindungsmuster, das im mehrschichtigen Verbindungsaufbau ausgebildet werden soll, auszubilden.
  • Als nächstes wird im Schritt von 7E der freigelegte Teil des SiNCH-Films 27, der an der Öffnung 42A freigelegt ist, einem Trockenätzprozeß unterzogen, während der Resistfilm 42 als Maske verwendet wird, bis der darunterliegende Zwischenschicht-Isolationsfilm 16 freigelegt ist.
  • Als nächstes wird der Zwischenschicht-Isolationsfilm 16 einem Trockenätzprozeß unterzogen, und im Zwischenschicht-Isolationsfilm 16 die Öffnung 16A in Übereinstimmung mit der vorhergehenden Resistöffnung 42A, und daher in Übereinstimmung mit der auszubildenden Verbindungsrille ausgebildet. Es sollte bemerkt werden, daß der Trockenätzprozeß des Zwischenschicht-Isolationsfilms 16 bei der Freilegung des SiNCH-Films 25 in dem Bereich, in dem der SiNCH-Film 25 ausgebildet ist, anhält, während der Trockenätzprozeß in dem Bereich, in dem die Öffnung 25A im Film 25 ausgebildet ist, durch die Öffnung 25A in den Barunterliegenden Zwischenschicht-Isolationsfilm 14 fortschreitet und im Zwischenschicht-Isolationsfilm 14 die Öffnung 14A in Übereinstimmung mit der vorhergehenden Öffnung 25A und daher mit dem Kontaktloch, das im mehrschichtigen Verbindungsaufbau ausgebildet werden soll, ausbildet.
  • Der Trockenätzprozeß zum Ausbilden der Öffnung 14A hält bei der Freilegung des SiNCH-Films 23 an. Danach werden die freigelegten SiNCH-Filme 27, 25 und 23 entfernt und die Öffnungen 16A und 14A mit einer Leiterschicht aus Cu und dergleichen gefüllt. Als Ergebnis wird der unter Bezugnahme auf 6F erklärte mehrschichtige Verbindungsaufbau erhalten.
  • Auch bei der vorliegenden Ausführungsform kann für die Zwischenschicht-Isolationsfilme 14 und 16 jeder beliebige der anorganischen Filme mit niedriger Dielektrizitätskonstante wie etwa ein F-dotierter SiO2-Film, ein HSQ-Film wie etwa ein SiOH-Film oder ein poröser Isolationsfilm, oder der organischen Filme mit niedriger Dielektrizitätskonstante wie etwa ein organischer SOG-Film oder ein organischer Isolationsfilm mit niedriger Dielektrizitätskonstante aus der Aromatenfamilie verwendet werden. Dadurch wird die gesamte Dielektrizitätskonstante für den mehrschichtigen Verbindungsaufbau verringert und die Betriebsgeschwindigkeit der Halbleitervorrichtung verbessert.
  • Auch bei der vorliegenden Ausführungsform weisen die SiNCH-Filme 23, 25 und 27 verschiedene bevorzugte Eigenschaften wie etwa eine niedrige Dielektrizitätskonstante, eine hervorragende Haftung, eine hervorragende Trockenätzungsbeständigkeit, eine hervorragende Leistungsfähigkeit als Diffusionsbarriere für Cu und einen geringen Leckstrom auf, und daher sind die SiNCH-Filme der vorliegenden Erfindung zur Verwendung im mehrschichtigen Verbindungsaufbau einer Hochgeschwindigkeits-Halbleitervorrichtung ideal.
  • SECHSTE AUSFÜHRUNGSFORM
  • 8A bis 8E sind Diagramme, die den Herstellungsprozeß einer Halbleitervorrichtung zeigen, die einen mehrschichtigen Verbindungsaufbau nach einer sechsten Ausführungsform der vorliegenden Erfindung aufweist, welche die Technologie der sogenannten gebündelten Hartmaske verwendet, wobei jene Teile, die den vorher beschriebenen Teilen entsprechen, mit den gleichen Bezugszeichen bezeichnet sind und auf ihre Beschreibung verzichtet werden wird.
  • Bei der vorliegenden Ausführungsform werden der SiNCH-Film 23, der Zwischenschicht-Isolationsfilm 14, der SiNCH-Film 25, der Zwischenschicht-Isolationsfilm 16, und der SiNCH-Film 27 in der gleichen Weise wie bei den vorherigen Ausführungsformen hintereinander gestapelt. Ferner wird durch einen Plasma-CVD-Prozeß oder einen Schleuderbeschichtungsprozeß ein SiO2-Film 43 auf dem SiNCH-Film ausgebildet, und wird der so ausgebildete SiO2-Film 43 mit dem Resistfilm 18 bedeckt, der die Resistöffnung 18A enthält, die in Über einstimmung mit dem Kontaktloch, das im mehrschichtigen Verbindungsaufbau ausgebildet werden soll, ausgebildet ist. Der SiNCH-Film 27 und der SiO2-Film 43 bilden zusammen eine gebündelte Maske („clustered mask").
  • Im Schritt von 8A wird ein Trockenätzprozeß auf den SiO2-Film 43 angewendet, während der Resistfilm 18 als Maske verwendet wird, und im SiO2-Film 43 eine Öffnung in Übereinstimmung mit der Resistöffnung 18A ausgebildet, um den SiNCH-Film 27, der unter dem SiO2-Film 43 angeordnet ist, freizulegen. Ferner wird der so freigelegte SiNCH-Film 27 einem Trockenätzprozeß unterzogen, und im SiNCH-Film 27 die Öffnung 27A in Übereinstimmung mit der Resistöffnung 18A ausgebildet, um den Zwischenschicht-Isolationsfilm 16 freizulegen, wie in 8B dargestellt ist.
  • Im Schritt von 8B wird der Resistfilm 19, der die Resistöffnung 19A in Übereinstimmung mit der Verbindungsrille, die im mehrschichtigen Verbindungsaufbau ausgebildet werden, aufweist, auf dem SiO2-Film 43 ausgebildet, um den SiO2-Film 43 freizulegen, wobei der so freigelegte SiO2-Film 43 im Schritt von 8C durch einen Trockenätzprozeß entfernt wird, während der Resistfilm 19 als Maske verwendet wird. Es sollte bemerkt werden, daß der SiNCH-Film 27 dabei als Ätzstopper wirkt, und als Ergebnis wird im SiO2-Film 43 eine Öffnung 43A in Übereinstimmung mit der Resistöffnung 19A ausgebildet wird, um den SiNCH-Film 27 freizulegen.
  • Im Schritt von 8C schreitet die Trockenätzung des Zwischenschicht-Isolationsfilms 16 in der Öffnung 27A gleichzeitig mit dem Trockenätzprozeß des SiO2-Films 43 voran, und als Ergebnis wird im mehrschichtigen Verbindungsaufbau die Öffnung 16A, die der Öffnung 27A entspricht, ausgebildet. In diesem Schritt wird der SiNCH-Film 27 als Hartmaske verwendet. In der Öffnung 16A ist der SiNCH-Film 25 freigelegt.
  • Als nächstes werden im Schritt von 8D der SiNCH-Film 27, der an der Öffnung 43A freigelegt ist, und der SiNCH-Film 25, der an der Öffnung 16A freigelegt ist, durch einen Trockenätzprozeß entfernt, und wird an der Öffnung 43A der Zwischenschicht-Isolationsfilm 16 freigelegt. In der gleichen Weise wird an der Öffnung 16A der Zwischenschicht-Isolationsfilm 14 freigelegt.
  • Als nächstes werden im Schritt von 8E der freigelegte Bereich des Zwischenschicht-Isolationsfilms 16, der an der Öffnung 43A freigelegt ist, und der freigelegte Bereich des Zwischenschicht-Isolationsfilms 14, der an der Öffnung 16A freigelegt ist, durch einen Trockenätzprozeß entfernt, und wird im Zwischenschicht-Isolationsfilm 16 eine Öffnung 16B in Übereinstimmung mit der Resistöffnung 19A, und somit in Übereinstimmung mit der auszubildenden Verbindungsrille ausgebildet. In der gleichen Weise wird im Zwischenschicht-Isolationsfilm 14 die Öffnung 14A in Übereinstimmung mit der Resistöffnung 18A, und somit in Übereinstimmung mit dem auszubildenden Kontaktloch ausgebildet.
  • Ferner werden im Schritt von 8E die freigelegten SiNCH-Filme 27, 25 und 23 entfernt und die Öffnungen 16A und 14A mit einer Leiterschicht aus Cu gefüllt. Dadurch wird der unter Bezugnahme auf 6F erklärte mehrschichtige Verbindungsaufbau erhalten.
  • Auch bei der vorliegenden Ausführungsform weisen die SiNCH-Filme 23, 25 und 27 die bevorzugten Merkmale einer niedrigen spezifischen Dielektrizitätskonstanten, einer hervorragenden Haftung, einer hervorragenden Trockenätzbeständigkeit, einer hervorragenden Leistungsfähigkeit als Diffusionsbarriere für Cu, und eines geringen Leckstroms auf. Daher sind die SiNCH-Filme der vorliegenden Erfindung zur Verwendung in einem mehrschichtigen Verbindungsaufbau einer Hochgeschwindigkeits-Halbleitervorrichtung ideal.
  • SIEBENTE AUSFÜHRUNGSFORM
  • 9 zeigt den Aufbau einer Halbleitervorrichtung 50 nach einer siebenten Ausführungsform der vorliegenden Erfindung.
  • Unter Bezugnahme auf 9 umfaßt die Halbleitervorrichtung 50 ein Si-Substrat 51, das nicht gezeigte aktive Vorrichtungen trägt, wobei das Si-Substrat 51 einen Isolationsfilm 52 trägt, der derart bereitgestellt ist, daß er die aktiven Vorrichtungen bedeckt. Auf dem Isolationsfilm 52 ist ein Verbindungsmuster 53A einer ersten Schicht ausgebildet, und auf dem Isolationsfilm 52 ist ein Zwischenschicht-Isolationsfilm 53 derart ausgebildet, daß er das Verbindungsmuster 53A bedeckt. Ferner trägt der Zwischenschicht-Isolationsfilm 53 ein Verbindungsmuster 54A einer zweiten Schicht, und auf dem Zwischenschicht-Isolationsfilm 53 ist ein Zwischenschicht-Isolationsfilm 54 derart ausgebildet, daß er das Verbindungsmuster 54A der zweiten Schicht bedeckt. Die Oberfläche des Zwischenschicht-Isolationsfilm 54 ist durch einen Siliziumnitrid-Passivierungsfilm 55 bedeckt.
  • 10 zeigt den Prozeß der Ausbildung des Siliziumnitrid-Passivierungsfilms.
  • Unter Bezugnahme auf 10 wird die Halbleitervorrichtung 50 in Schritt 1 in eine Schleuderbeschichtungseinheit eingebracht, wenn der Zwischenschicht-Isolationsfilm 54 ausgebildet ist. Dadurch wird auf der Oberfläche des Zwischenschicht-Isolationsfilms 54 ein Schleuderbeschichtungsfilm aus einer organischen Silazanverbindung wie etwa jener, die eine Zusammensetzung von ((SiH2NH)n, wobei n eine ganze Zahl von 1 oder mehr ist) aufweist, in Übereinstimmung mit dem Passivierungsfilm 55 ausgebildet. In Schritt 1 wird der so ausgebildete Schleuderbeschichtungsfilm einem Brennprozeß bei einer Temperatur von 100 °C oder weniger unterzogen, um Lösemittel zu beseitigen, und als Ergebnis ein stabiler Siliziumnitridfilm erhalten.
  • Andererseits enthält der in Schritt 1 von 10 erhaltene Siliziumnitridfilm unvermeidlich Sauerstoff, weshalb der Prozeß der vorliegenden Erfindung zu Schritt 2 übergeht, in dem die Halbleitervorrichtung 50 in eine Plasmabearbeitungsvorrichtung wie etwa die Plasma-CVD-Vorrichtung von 2 eingebracht wird. Dort wird die Oberfläche des Siliziumnitridfilms 55 durch ein Plasmagas, das NH3, N2, H2 und dergleichen enthält, bearbeitet und der Sauerstoff im Film teilweise durch Stickstoff ersetzt. Dadurch führt die vorliegende Erfindung die Plasmabearbeitung von Schritt 2 durch, bevor die Polymerisation im Schleuderbeschichtungsfilm 55 abgeschlossen ist.
  • Als Ergebnis einer derartigen Plasmabearbeitung wird der Siliziumnitridfilm 55 in einen Film umgewandelt, der eine als SiNCH oder SiONCH dargestellte chemische Formel aufweist. Der so erhaltene Film weist eine hervorragende Temperaturbeständigkeit und Beständigkeit gegenüber Chemikalien auf.
  • Herkömmlich war es möglich, einen Oxinitridfilm zu erhalten, indem nach Schritt 1 eine Wärmebearbeitung in einer N2-Atmosphäre durchgeführt wurde. Doch ein derartiger Prozeß zur Umwandlung des Films benötigte eine hohe Temperatur von 400 °C oder mehr. Ferner war die Qualität der Filmumwandlung trotz der Verwendung solch einer hohen Temperatur nicht ausreichend.
  • Es sollte bemerkt werden, daß die Plasmabearbeitung von Schritt 2 bei der vorliegenden Erfindung durchgeführt wird, bevor die Polymerisationsreaktion im Schleuderbeschichtungsfilm 55 abgeschlossen ist. Daher wird es möglich, eine wirksame Oberflächenmodifikationsreaktion bei einer niedrigen Temperatur zu erzielen. Es sollte bemerkt werden, daß eine derartige Plasmabearbeitung unter Verwendung von NH3 oder SiH4 als Plasmagas bei einer Substrattemperatur von 350 °C oder weniger durchgeführt werden kann, während eine Plasma-Leistung von 100 bis 1000 W verwendet wird. Vorzugsweise wird die Durchführung der Plasmabearbeitung so eingerichtet, daß die OH-Gruppen im Film 55 verringert werden und der Anteil der N-Bindungen erhöht wird.
  • Es sollte bemerkt werden, daß der Brennprozeß von Schritt 1 bei der vorliegenden Erfindung bei einer Temperatur von 100 °C oder weniger durchgeführt, wird, so daß der Prozeß von Schritt 2 durchgeführt wird, bevor die Polymerisationsreaktion im Schleuderbeschichtungsfilm 55 abgeschlossen ist. Ferner wird vorzugsweise eine Einzelwafer-Bearbeitungsvorrichtung verwendet, so daß Schritt 1 und Schritt 2 gleichzeitig durchgeführt werden.
  • Es sollte bemerkt werden, daß der Prozeß von Schritt 2 keineswegs auf eine Plasmabearbeitung beschränkt ist, sondern eine Wärmebearbeitung sein kann, die in einer Atmosphäre durchgeführt wird, welche N oder H enthält. Zum Beispiel ist es möglich, die Wärmebearbeitung von Schritt 2 in einer Atmosphäre, die NH3 oder N2 und H2 enthält, bei einer Temperatur von 400 °C oder mehr durchzuführen.
  • Ferner ist die vorliegende Erfindung nicht auf die vordem beschriebenen Ausführungsformen beschränkt, sondern können verschiedenste Veränderungen und Abwandlungen vorgenommen werden, ohne vom Umfang der Erfindung abzuweichen.
  • GEWERBLICHE ANWENDBARKEIT
  • Nach der vorliegenden Erfindung ist es möglich, einen Siliziumnitridfilm mit einem SiNCH-System zu erhalten, indem ein CVD-Prozeß einer organischen Si-Verbindung, die eine organische Silazan-Bindung enthält, unter der Bedingung durchgeführt wird, daß die organische Silazan-Bindung in der CVD-Quelle im Film erhalten bleibt. Der so ausgebildete Siliziumnitridfilm ist durch eine niedrige Dichte und eine niedrige spezifische Dielektrizitätskonstante gekennzeichnet. Ferner weist der so erhaltene Siliziumnitridfilm die bevorzugten Merkmale einer hervorragenden Haftung und Ätzungsbeständigkeit auf und wirkt als eine wirksame Diffusionsbarriere gegen Metallelemente wie etwa Cu. Durch Verwenden des Siliziumnitridfilms der vorliegenden Erfindung ist es möglich, einen mehrschichtigen Verbindungsaufbau auszubilden, der eine geringe Streukapazität aufweist.

Claims (13)

  1. Verfahren zum Herstellen einer Halbleitervorrichtung, das die folgenden Schritte umfaßt: Ausbilden eines Ätzstoppfilms auf einem Substrat; Ablagern eines Zwischenschicht-Isolationsfilms auf dem Ätzstoppfilm; Strukturieren des Zwischenschicht-Isolationsfilms, um eine Öffnung auszubilden, Ätzen des Zwischenschicht-Isolationsfilms, um eine Vertiefung in dem Zwischenschicht-Isolationsfilm in Übereinstimmung mit der Öffnung auszubilden; und Ätzen des Ätzstoppfilms selektiv von der Öffnung durch einen Ätzprozeß, wobei der Schritt des Ablagerns des Ätzstoppfilms folgende Schritte umfaßt: Einbringen des Substrats in eine Reaktionskammer einer Bearbeitungsvorrichtung; Zuführen einer organischen Si-Verbindung, welche eine organische Silazan-Bindung enthält, als gasförmige Quelle in die Reaktionskammer; und Ablagern eines SiNCH-Films in der Bearbeitungskammer auf einer Oberfläche des Substrats aus der organischen Si-Verbindung durch einen CVD-Prozeß als den genannten Ätzstoppfilm.
  2. Verfahren nach Anspruch 1, bei dem die genannte organische Si-Verbindung eine Strukturformel (SiR1)nNR2 oder (SiR1NR2)n hat, wobei n eine ganze Zahl ≥ 1 ist und R1 und R2 jeweils durch Wasserstoff oder eine Gruppe gebildet wird, die durch eine Alkylgruppe, wie beispielsweise eine Methylgruppe, eine zyklische Kohlenwasserstoffgruppe, wie beispielsweise eine Phenolgruppe, oder eine Vinylgruppe gebildet wird.
  3. Verfahren nach Anspruch 1 oder 2, bei dem der Schritt des Ablagerns des SiNCH-Films so durchgeführt wird, daß die Silazan-Bindung in der organischen Si-Verbindung in dem SiNCH-Film im wesentlichen erhalten bleibt.
  4. Verfahren nach Anspruch 1 oder 2, bei dem der Schritt des Ablagerns des SiNCH-Films einen Plasma-Polymerisationsprozeß der organischen Si-Verbindung umfaßt.
  5. Verfahren nach Anspruch 4, bei dem der Plasma-Polymerisationsprozeß unter einer Plasma-Leistung durchgeführt wird, bei der die Silazan-Bindung in der organischen Si-Verbindung in dem SiNCH-Film im wesentlichen erhalten bleibt.
  6. Verfahren nach Anspruch 1 oder 2, bei dem der Schritt des Ablagerns des SiNCH-Films einen thermischen Polymerisationsprozeß der organischen Si-Verbindung umfaßt.
  7. Verfahren nach Anspruch 6, bei dem der thermische Polymerisationsprozeß bei einer solchen Temperatur durchgeführt wird, daß die organische Silazan-Bindung in der organischen Si-Verbindung in dem abgelagerten SiNCH-Film im wesentlichen erhalten bleibt.
  8. Verfahren nach einem der vorhergehenden Ansprüche, das ferner einen Schritt umfaßt, in dem eine zusätzliche gasförmige Quelle, welche N enthält, zusätzlich zu der organischen Si-Verbindung in die Reaktionskammer zugeführt wird, und bei dem der Schritt des Ausbildens des SiNCH-Films die Schritte des Ausbildens eines Plasmas der zusätzlichen gasförmigen Quelle und des Zuführens des Plasmas in die Reaktionskammer umfaßt.
  9. Verfahren nach einem der vorhergehenden Ansprüche, das ferner die folgenden Schritte umfaßt: Ablagern einer Leiter-Schicht auf dem Zwischenschicht-Isolationsfilm, um die Vertiefung durch die Öffnung zu füllen, und Entfernen eines Teils der Leiterschicht, der über dem Zwischenschicht-Isolationsfilm angeordnet ist, durch einen chemisch-mechanischen Polierprozeß.
  10. Verfahren nach Anspruch 9, bei dem die Leiterschicht eine Cu-Schicht umfaßt.
  11. Verfahren nach einem der vorhergehenden Ansprüche, bei dem der Zwischenschicht-Isolationsfilm irgendeinen organischen Isolationsfilm oder inorganischen Isolationsfilm umfaßt.
  12. Verfahren nach einem der vorhergehenden Ansprüche, bei dem der Zwischenschicht-Isolationsfilm irgendeinen organischen Siliziumoxidfilm oder einen F-dotierten SiO2-Film umfaßt.
  13. Verfahren nach einem der vorhergehenden Ansprüche, bei dem die Vertiefung eine Verbindungsrille oder ein Kontaktloch umfaßt.
DE60127973T 2000-08-18 2001-08-16 Herstellungsprozess eines halbleiterbauelements mit einem zwischenfilm aus siliziumnitrid mit niedriger dielektrizitätskonstante Expired - Lifetime DE60127973T2 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2000248922 2000-08-18
JP2000248922 2000-08-18
PCT/JP2001/007061 WO2002017374A1 (en) 2000-08-18 2001-08-16 Low-dielectric silicon nitride film and method of forming the same, semiconductor device and fabrication process thereof

Publications (2)

Publication Number Publication Date
DE60127973D1 DE60127973D1 (de) 2007-05-31
DE60127973T2 true DE60127973T2 (de) 2008-01-17

Family

ID=18738734

Family Applications (1)

Application Number Title Priority Date Filing Date
DE60127973T Expired - Lifetime DE60127973T2 (de) 2000-08-18 2001-08-16 Herstellungsprozess eines halbleiterbauelements mit einem zwischenfilm aus siliziumnitrid mit niedriger dielektrizitätskonstante

Country Status (9)

Country Link
US (1) US6890869B2 (de)
EP (1) EP1316108B9 (de)
JP (1) JP4048112B2 (de)
KR (1) KR100533198B1 (de)
CN (1) CN100431110C (de)
AU (1) AU2001278749A1 (de)
DE (1) DE60127973T2 (de)
TW (1) TW554442B (de)
WO (1) WO2002017374A1 (de)

Families Citing this family (302)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020086547A1 (en) * 2000-02-17 2002-07-04 Applied Materials, Inc. Etch pattern definition using a CVD organic layer as an anti-reflection coating and hardmask
JP2004111538A (ja) * 2002-09-17 2004-04-08 Fujitsu Ltd 半導体装置、半導体装置の製造方法と評価方法、及びプロセス条件評価方法
JP3898133B2 (ja) 2003-01-14 2007-03-28 Necエレクトロニクス株式会社 SiCHN膜の成膜方法。
JP4068072B2 (ja) * 2003-01-29 2008-03-26 Necエレクトロニクス株式会社 半導体装置及びその製造方法
JP4746829B2 (ja) * 2003-01-31 2011-08-10 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US7001844B2 (en) * 2004-04-30 2006-02-21 International Business Machines Corporation Material for contact etch layer to enhance device performance
US7129187B2 (en) 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
TWI263103B (en) * 2004-12-29 2006-10-01 Au Optronics Corp Copper gate electrode of liquid crystal display device and method of fabricating the same
TWI273329B (en) * 2004-12-29 2007-02-11 Au Optronics Corp Copper gate electrode of liquid crystal display device and method of fabricating the same
JP5007511B2 (ja) 2006-02-14 2012-08-22 富士通株式会社 露光光遮蔽膜形成用材料、多層配線及びその製造方法、並びに半導体装置
US7718553B2 (en) * 2006-09-21 2010-05-18 Asm Japan K.K. Method for forming insulation film having high density
US7749802B2 (en) * 2007-01-09 2010-07-06 International Business Machines Corporation Process for chemical vapor deposition of materials with via filling capability and structure formed thereby
US7781352B2 (en) * 2007-06-06 2010-08-24 Asm Japan K.K. Method for forming inorganic silazane-based dielectric film
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
DE102008016425B4 (de) * 2008-03-31 2015-11-19 Advanced Micro Devices, Inc. Verfahren zur Strukturierung einer Metallisierungsschicht durch Verringerung der durch Lackentfernung hervorgerufenen Schäden des dielektrischen Materials
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8765233B2 (en) * 2008-12-09 2014-07-01 Asm Japan K.K. Method for forming low-carbon CVD film for filling trenches
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
KR100953736B1 (ko) 2009-07-27 2010-04-19 주식회사 아토 증착 장치 및 반도체 소자의 제조 방법
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101732187B1 (ko) * 2009-09-03 2017-05-02 에이에스엠 저펜 가부시기가이샤 플라즈마 강화된 화학기상 증착법에 의해 규소-질소 결합을 갖는 등각성 유전체 막을 형성하는 방법
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
JP5874230B2 (ja) * 2010-08-27 2016-03-02 東ソー株式会社 封止膜材料、封止膜及び用途
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6318433B2 (ja) * 2013-11-28 2018-05-09 大陽日酸株式会社 シリコン窒化膜の形成方法及びシリコン窒化膜
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6236709B2 (ja) * 2014-10-14 2017-11-29 大陽日酸株式会社 シリコン窒化膜の製造方法及びシリコン窒化膜
EP3209814B1 (de) 2014-10-24 2018-09-05 Versum Materials US, LLC Zusammensetzungen und verfahren mit verwendung davon zur abscheidung von siliciumhaltigem film
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9859156B2 (en) * 2015-12-30 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure with sidewall dielectric protection layer
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10559459B2 (en) 2016-03-11 2020-02-11 Taiyo Nippon Sanso Corporation Method for producing silicon nitride film and silicon nitride film
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US20170358445A1 (en) 2016-06-13 2017-12-14 Gvd Corporation Methods for plasma depositing polymers comprising cyclic siloxanes and related compositions and articles
US11679412B2 (en) 2016-06-13 2023-06-20 Gvd Corporation Methods for plasma depositing polymers comprising cyclic siloxanes and related compositions and articles
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115537781A (zh) * 2022-10-27 2022-12-30 上海埃延半导体有限公司 一种弥漫层流反应腔体及控制方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4212501C1 (en) * 1992-04-14 1993-08-05 Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung Ev, 8000 Muenchen, De Deposition of silicon nitride polymer layer on substrate - using linear or cyclic silazane in gas, giving good quality and high coating ratio
JPH06244172A (ja) * 1993-02-18 1994-09-02 Toray Ind Inc 多層配線構成体
JP2641385B2 (ja) * 1993-09-24 1997-08-13 アプライド マテリアルズ インコーポレイテッド 膜形成方法
US5413813A (en) * 1993-11-23 1995-05-09 Enichem S.P.A. CVD of silicon-based ceramic materials on internal surface of a reactor
FR2759362B1 (fr) * 1997-02-10 1999-03-12 Saint Gobain Vitrage Substrat transparent muni d'au moins une couche mince a base de nitrure ou d'oxynitrure de silicium et son procede d'obtention
JPH1116904A (ja) * 1997-06-26 1999-01-22 Mitsubishi Electric Corp 半導体装置及びその製造方法
US6045877A (en) * 1997-07-28 2000-04-04 Massachusetts Institute Of Technology Pyrolytic chemical vapor deposition of silicone films
US6383955B1 (en) * 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
TW437017B (en) * 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
TW410455B (en) * 1998-02-16 2000-11-01 United Microelectronics Corp Forming method for dual damascene structure
US6635583B2 (en) * 1998-10-01 2003-10-21 Applied Materials, Inc. Silicon carbide deposition for use as a low-dielectric constant anti-reflective coating
GB0001179D0 (en) * 2000-01-19 2000-03-08 Trikon Holdings Ltd Methods & apparatus for forming a film on a substrate
JP3819660B2 (ja) * 2000-02-15 2006-09-13 株式会社日立国際電気 半導体装置の製造方法および半導体製造装置
EP1130633A1 (de) * 2000-02-29 2001-09-05 STMicroelectronics S.r.l. Abscheidung von Siliziumoxinitrid-Polymerschichten
US6958123B2 (en) * 2001-06-15 2005-10-25 Reflectivity, Inc Method for removing a sacrificial material with a compressed fluid
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6605540B2 (en) * 2001-07-09 2003-08-12 Texas Instruments Incorporated Process for forming a dual damascene structure
US6617690B1 (en) * 2002-08-14 2003-09-09 Ibm Corporation Interconnect structures containing stress adjustment cap layer

Also Published As

Publication number Publication date
AU2001278749A1 (en) 2002-03-04
JP2004507108A (ja) 2004-03-04
KR100533198B1 (ko) 2005-12-05
DE60127973D1 (de) 2007-05-31
JP4048112B2 (ja) 2008-02-13
US6890869B2 (en) 2005-05-10
EP1316108A4 (de) 2005-10-26
US20030162412A1 (en) 2003-08-28
EP1316108B1 (de) 2007-04-18
CN1446374A (zh) 2003-10-01
EP1316108B9 (de) 2007-10-03
EP1316108A1 (de) 2003-06-04
KR20030064743A (ko) 2003-08-02
WO2002017374A1 (en) 2002-02-28
TW554442B (en) 2003-09-21
CN100431110C (zh) 2008-11-05

Similar Documents

Publication Publication Date Title
DE60127973T2 (de) Herstellungsprozess eines halbleiterbauelements mit einem zwischenfilm aus siliziumnitrid mit niedriger dielektrizitätskonstante
DE60005874T2 (de) Herstellungsverfahren für einen porösen Siliziumoxid-Film
US6844257B2 (en) Porous low-k dielectric interconnects with improved adhesion produced by partial burnout of surface porogens
DE60022857T2 (de) Verfahren zur Herstellung eines Halbleiterbauelements
DE60037395T2 (de) Herstellung eines halbleiter-bauelementes
KR100273653B1 (ko) 반도체장치의 제조방법
DE10164913B4 (de) Halbleitervorrichtungen mit Filmmaterial mit niedriger Dielektrizitätskonstante und Verfahren zu ihrer Herstellung
US7737561B2 (en) Dual damascene integration of ultra low dielectric constant porous materials
US6133137A (en) Semiconductor device and method of manufacturing the same
DE10392412T5 (de) Verfahren zur Herstellung einer Halbleitervorrichtung und Halbleitervorrichtung
EP1678746A2 (de) Verfahren zum ausbilden eines dielektrikums auf einer kupferhaltigen metallisierung und kondensatoranordnung
DE60005875T2 (de) Herstellungsverfahren für einen porösen Siliziumdioxid-Film
DE10244570B4 (de) Liner-Schicht mit geringer Stufenüberdeckung zur Verbesserung des Kontaktwiderstands bei W-Kontakten
DE4140180C2 (de) Verfahren zur Herstellung einer Halbleitereinrichtung
DE102019116924A1 (de) Dielektrische zwischenschicht
DE102010040071B4 (de) Verfahren zur Wiederherstellung von Oberflächeneigenschaften empfindlicher Dielektrika mit kleinem ε in Mikrostrukturbauelementen unter Anwendung einer in-situ-Oberflächenmodifizierung
DE10260619B4 (de) Verfahren zur Herstellung einer Deckschicht mit antireflektierenden Eigenschaften auf einem Dielektrikum mit kleinem ε
DE19654096B4 (de) Verfahren zur Herstellung eines Halbleiterbauelementes
DE102020122807B4 (de) Durchkontaktierung für halbleitervorrichtung und verfahren
DE102014209002A1 (de) Verfahren zum Herstellen integrierter Schaltungen
JPH08316209A (ja) 積層絶縁膜のプラズマエッチング方法
KR100575227B1 (ko) 반도체 장치 및 그 제조 방법
DE19620677B4 (de) Verfahren zur Bildung eines SOG-Films bei einem Halbleiterbauelement und Halbleiterbauelement mit einem SOG-Film
DE60126906T2 (de) Herstellungsverfahren für ein halbleiterbauelement
DE102004060692A1 (de) Verfahren zum Bilden einer dielektrischen Schicht in einem Halbleiterbauelement

Legal Events

Date Code Title Description
8364 No opposition during term of opposition