DE102020122807B4 - Durchkontaktierung für halbleitervorrichtung und verfahren - Google Patents

Durchkontaktierung für halbleitervorrichtung und verfahren Download PDF

Info

Publication number
DE102020122807B4
DE102020122807B4 DE102020122807.4A DE102020122807A DE102020122807B4 DE 102020122807 B4 DE102020122807 B4 DE 102020122807B4 DE 102020122807 A DE102020122807 A DE 102020122807A DE 102020122807 B4 DE102020122807 B4 DE 102020122807B4
Authority
DE
Germany
Prior art keywords
layer
adhesion layer
conductive
metallic
barrier layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102020122807.4A
Other languages
English (en)
Other versions
DE102020122807A1 (de
Inventor
Chia-Pang Kuo
Chih-Yi Chang
Ming-Hsiao Hsieh
Wei-Hsiang Chan
Ya-Lien Lee
Chien Chung Huang
Chun-Chieh Lin
Hung-Wen Su
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102020122807A1 publication Critical patent/DE102020122807A1/de
Application granted granted Critical
Publication of DE102020122807B4 publication Critical patent/DE102020122807B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76847Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned within the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • H01L23/53252Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • H01L2221/1063Sacrificial or temporary thin dielectric films in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

Struktur (100) mit:einem ersten leitfähigen Strukturelement (30) in einer ersten dielektrischen Schicht (24);einer zweiten dielektrischen Schicht (34) über der ersten dielektrischen Schicht (24); undeinem zweiten leitfähigen Strukturelement (58), das sich durch die zweite dielektrische Schicht (34) erstreckt, um das erste leitfähige Strukturelement (30) physisch zu kontaktieren, wobei das zweite leitfähige Strukturelement (58) Folgendes aufweist:eine metallische Haftschicht (46) über und in physischem Kontakt mit dem ersten leitfähigen Strukturelement (30),eine Sperrschicht (50), die sich über der metallischen Haftschicht (46) entlang Seitenwänden der zweiten dielektrischen Schicht (34) erstreckt, undein leitfähiges Füllmaterial (56), das sich über der metallischen Haftschicht (46) und der Sperrschicht (50) erstreckt, wobei sich ein über der metallischen Haftschicht (46) angeordneter Teil des leitfähigen Füllmaterials (56) zwischen der Sperrschicht (50) und der metallischen Haftschicht (46) erstreckt.

Description

  • Hintergrund
  • Integrierte Schaltkreise weisen Verbindungsstrukturen auf, die Metallleitungen und Durchkontaktierungen umfassen, um als dreidimensionale Verdrahtungsstrukturen zu dienen. Die Funktion der Verbindungsstrukturen besteht darin, dicht gepackte Vorrichtungen richtig miteinander zu verbinden.
  • In der Verbindungsstruktur werden Metallleitungen und Durchkontaktierungen hergestellt. Metallleitungen und Durchkontaktierungen werden normalerweise mit Damascene-Prozessen hergestellt, in denen Gräben und Durchkontaktierungsöffnungen in dielektrischen Schichten erzeugt werden. Dann wird eine Sperrschicht abgeschieden, und anschließend werden die Gräben und die Durchkontaktierungsöffnungen mit Kupfer gefüllt. Nach einem CMP-Prozess (CMP: chemisch-mechanische Polierung) sind Oberseiten der Metallleitungen auf gleicher Höhe, sodass Metallleitungen und Durchkontaktierungen zurückbleiben.
  • In der US 2005 / 0 127 511 A1 sind verschiedene Verbindungsstrukturen mit einer Diffusionsbarriereschicht beschrieben. Die US 2015 / 0 214 093 A1 zeigt ein System zur Herstellung einer Sperrschicht, um die Diffusion von Kupfer in ein angrenzendes Dielektrikum zu vermeiden. Die CN 102 376 641 A diskutiert verschiedene mehrlagige Beschichtungen für die Herstellung einer Durchkontaktierung.
  • Figurenliste
  • Aspekte der vorliegenden Erfindung lassen sich am besten anhand der nachstehenden detaillierten Beschreibung in Verbindung mit den beigefügten Zeichnungen verstehen. Es ist zu beachten, dass entsprechend der üblichen Praxis in der Branche verschiedene Elemente nicht maßstabsgetreu gezeichnet sind. Vielmehr können der Übersichtlichkeit der Erörterung halber die Abmessungen der verschiedenen Elemente beliebig vergrößert oder verkleinert sein.
    • Die 1 bis 10 zeigen Schnittansichten von Zwischenstufen bei der Herstellung einer Durchkontaktierung gemäß einigen Ausführungsformen.
    • Die 11 bis 16 zeigen Schnittansichten von Zwischenstufen bei der Herstellung einer Durchkontaktierung gemäß einigen Ausführungsformen.
    • Die 17A bis 17C und 18 zeigen Schnittansichten von Zwischenstufen bei der Herstellung verschiedener Durchkontaktierungen gemäß einigen Ausführungsformen.
    • 19 zeigt ein Ablaufdiagramm eines Verfahrens zum Herstellen einer Durchkontaktierung gemäß einigen Ausführungsformen.
  • Detaillierte Beschreibung
  • Die nachstehende Beschreibung liefert viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale der Erfindung. Nachstehend werden spezielle Beispiele für Komponenten und Anordnungen beschrieben. Zum Beispiel kann die Herstellung eines ersten Elements über oder auf einem zweiten Element in der nachstehenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Element in direktem Kontakt hergestellt werden, und sie kann auch Ausführungsformen umfassen, bei denen zusätzliche Elemente zwischen dem ersten und dem zweiten Element hergestellt werden können, sodass das erste und das zweite Element nicht in direktem Kontakt sind. Darüber hinaus können in der vorliegenden Erfindung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholt werden. Diese Wiederholung dient der Einfachheit und Übersichtlichkeit und schreibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor.
  • Darüber hinaus können hier räumlich relative Begriffe, wie etwa „darunter befindlich“, „unter“, „untere(r)“/„unteres“, „darüber befindlich“, „obere(r)“/„oberes“ und dergleichen, zur einfachen Beschreibung der Beziehung eines Elements oder einer Struktur zu einem oder mehreren anderen Elementen oder Strukturen verwendet werden, die in den Figuren dargestellt sind. Die räumlich relativen Begriffe sollen zusätzlich zu der in den Figuren dargestellten Orientierung andere Orientierungen der in Gebrauch oder in Betrieb befindlichen Vorrichtung umfassen. Die Vorrichtung kann anders ausgerichtet werden (um 90 Grad gedreht oder in einer anderen Orientierung), und die räumlich relativen Deskriptoren, die hier verwendet werden, können entsprechend interpretiert werden.
  • Es wird ein Verfahren zum selektiven Herstellen einer Haftschicht für ein leitfähiges Strukturelement gemäß verschiedenen Ausführungsformen bereitgestellt. Es werden Zwischenstufen bei der Herstellung des leitfähigen Strukturelements gemäß einigen Ausführungsformen erläutert. Außerdem werden einige Abwandlungen einiger Ausführungsformen erörtert. In allen Darstellungen und erläuternden Ausführungsformen werden ähnliche Bezugszahlen zum Bezeichnen von ähnlichen Elementen verwendet. Gemäß einigen Ausführungsformen der vorliegenden Erfindung umfasst die Herstellung der Haftschicht ein Abscheiden des Materials für die Haftschicht auf einem darunter befindlichen leitfähigen Strukturelement, das von einer Öffnung freigelegt wird. Auf Seitenwänden der Öffnung wird eine Sperrschicht hergestellt. Durch eine Opferschicht wird verhindert, dass die Sperrschicht auf der Haftschicht hergestellt wird. Die Opferschicht wirkt einem Anhaften des Sperrschichtmaterials entgegen, sodass die Sperrschicht selektiv auf den Seitenwänden der Öffnung aufgewachsen wird und kaum oder gar nicht auf der Opferschicht hergestellt wird. Nach dem Herstellen der Sperrschicht wird die Opferschicht entfernt. Dann wird die Öffnung mit einem metallischen Material, wie etwa Kupfer, gefüllt, das auf der Haftschicht abgeschieden wird. Auf diese Weise kann ein leitfähiges Strukturelement (z. B. eine Durchkontaktierung) auf einem anderen leitfähigen Strukturelement (z. B. einer Metallleitung) hergestellt werden. Durch Herstellen der Haftschicht auf dem darunter befindlichen leitfähigen Strukturelement kann die thermische Stabilität einer Grenzfläche verbessert werden. Außerdem kann durch Blockieren der Herstellung der Sperrschicht auf der Haftschicht ein Widerstand der Grenzfläche reduziert werden. Somit können eine Ausbeute und eine Leistung für eine Halbleitervorrichtung, die mit den hier beschriebenen Verfahren hergestellt wird, verbessert werden.
  • 1 zeigt eine Schnittansicht einer Package-Komponente 100 gemäß einigen Ausführungsformen der vorliegenden Erfindung. Die Package-Komponente 100 kann ein Vorrichtungswafer (wie etwa ein Logikvorrichtungswafer) mit aktiven Vorrichtungen wie Transistoren und/oder Dioden sein, und sie kann passive Vorrichtungen wie Kondensatoren, Induktoren, Widerstände oder dergleichen aufweisen. Bei alternativen Ausführungsformen der vorliegenden Erfindung ist die Package-Komponente 100 ein Interposerwafer, der aktive Vorrichtungen und/oder passive Vorrichtungen aufweisen kann oder auch nicht. Bei noch weiteren Ausführungsformen der vorliegenden Erfindung ist die Package-Komponente 100 ein Package-Substratstreifen, der Package-Substrate mit Kernen oder kernlose Package-Substrate umfassen kann. Bei der späteren Erörterung wird ein Vorrichtungswafer als ein Beispiel für die Package-Komponente 100 verwendet. Die Grundsätze der vorliegenden Erfindung können auch für Interposerwafer, Package-Substrate, Packages und dergleichen verwendet werden.
  • Bei einigen Ausführungsformen der vorliegenden Erfindung weist die Package-Komponente 100 ein Halbleitersubstrat 20 und auf einer Oberseite des Halbleitersubstrats 20 hergestellte Strukturelemente auf. Das Halbleitersubstrat 20 kann kristallines Silizium, kristallines Germanium, Siliziumgermanium, ein III-V-Verbindungshalbleiter wie GaAsP, AlInAs, AlGaAs, GaInAs, GaInP oder GaInAsP, oder dergleichen oder eine Kombination davon sein. Das Halbleitersubstrat 20 kann bei einigen Ausführungsformen auch ein massives Siliziumsubstrat oder ein Silizium-auf-Isolator(SOI)-Substrat sein. In dem Halbleitersubstrat 20 können STI-Bereiche (nicht dargestellt; STI: flache Grabenisolation) hergestellt werden, um die aktiven Bereiche in dem Halbleitersubstrat 20 zu isolieren. Obwohl es nicht dargestellt ist, können Durchkontaktierungen so hergestellt werden, dass sie sich in das Halbleitersubstrat 20 erstrecken, um Strukturelemente auf gegenüberliegenden Seiten der Package-Komponente 100 elektrisch miteinander zu verbinden.
  • Bei einigen Ausführungsformen der vorliegenden Erfindung wird die Package-Komponente 100 zum Herstellen eines Vorrichtungs-Dies verwendet. Bei diesen Ausführungsformen werden Integrierter-Schaltkreis-Vorrichtungen 22 auf einer Oberseite des Halbleitersubstrats 20 hergestellt. Beispielhafte Integrierter-Schaltkreis-Vorrichtungen 22 sind CMOS-Transistoren (CMOS: komplementärer Metalloxidhalbleiter), Widerstände, Kondensatoren, Dioden oder dergleichen. Die Einzelheiten der Integrierter-Schaltkreis-Vorrichtungen 22 werden hier nicht erläutert. Bei alternativen Ausführungsformen wird die Package-Komponente 100 zum Herstellen von Interposern verwendet. Bei diesen Ausführungsformen kann das Substrat 20 zum Beispiel ein dielektrisches Substrat sein.
  • In 1 ist außerdem eine dielektrische Schicht 24 gezeigt. Die dielektrische Schicht 24 kann zum Beispiel ein Zwischenschichtdielektrikum (ILD) oder ein Zwischenmetall-Dielektrikum (IMD) sein. Bei einigen Ausführungsformen der vorliegenden Erfindung ist die dielektrische Schicht 24 ein ILD, in dem Kontaktstifte hergestellt werden. Die entsprechende dielektrische Schicht 24 kann aus Phosphorsilicatglas (PSG), Borsilicatglas (BSG), Borphosphorsilicatglas (BPSG), Fluorsilicatglas (FSG), einer Siliziumoxidschicht, die unter Verwendung von Tetraethylorthosilicat (TEOS) hergestellt wird, oder dergleichen oder Kombinationen davon hergestellt werden. Die dielektrische Schicht 24 kann durch Schleuderbeschichtung, Atomlagenabscheidung (ALD), fließfähige chemische Aufdampfung (FCVD), chemische Aufdampfung (CVD), plasmaunterstützte chemische Aufdampfung (PECVD), chemische Aufdampfung bei Tiefdruck (LPCVD) oder dergleichen hergestellt werden.
  • Bei einigen Ausführungsformen der vorliegenden Erfindung ist die dielektrische Schicht 24 ein IMD, in dem Metallleitungen und/oder Durchkontaktierungen hergestellt werden. Die entsprechende dielektrische Schicht 24 kann aus einem kohlenstoffhaltigen dielektrischen Low-k-Material, Wasserstoff-Silsesquioxan (HSQ), Methyl-Silsesquioxan (MSQ), einem anderen dielektrischen Low-k-Material oder dergleichen oder Kombinationen davon hergestellt werden. Bei einigen Ausführungsformen der vorliegenden Erfindung umfasst die Herstellung der dielektrischen Schicht 24 ein Abscheiden eines porogenhaltigen dielektrischen Materials und ein anschließendes Durchführen eines Härtungsprozesses zum Austreiben des Porogens, um eine poröse dielektrische Schicht 24 herzustellen. Die dielektrische Schicht 24 kann auf den Integrierter-Schaltkreis-Vorrichtungen 22 hergestellt werden, oder zwischen den Integrierter-Schaltkreis-Vorrichtungen 22 und der dielektrischen Schicht 24 können sich dielektrische Schichten und/oder Metallisierungsschichten in jeder Anzahl befinden.
  • Bleiben wir bei 1, in der ein leitfähiges Strukturelement 30 auf der dielektrischen Schicht 24 hergestellt wird. Das leitfähige Strukturelement 30 kann eine Metallleitung, eine leitfähige Durchkontaktierung, ein Kontaktstift oder dergleichen sein. Bei einigen Ausführungsformen umfasst das leitfähige Strukturelement 30 eine Diffusionssperrschicht 26 und ein leitfähiges Füllmaterial 28 über der Diffusionssperrschicht 26. Die Diffusionssperrschicht 26 kann aus einem leitfähigen Material wie Titan, Titannidrid, Tantal, Tantalnitrid oder dergleichen oder einer Kombination davon hergestellt werden. Das leitfähige Füllmaterial 28 kann aus Kupfer, einer Kupferlegierung, Aluminium, Ruthenium, einem anderen Metall oder einer anderen Metalllegierung oder dergleichen oder einer Kombination davon hergestellt werden. Die Diffusionssperrschicht 26 hat die Funktion, ein Eindiffundieren des leitfähigen Füllmaterials 28 (wie etwa Kupfer) in die dielektrische Schicht 24 zu verhindern. Bei einigen Ausführungsformen der vorliegenden Erfindung können für die Herstellung des leitfähigen Strukturelements 30 auch später beschriebene Verfahren verwendet werden, sodass das leitfähige Strukturelement 30 auf einer metallischen Haftschicht hergestellt wird, die einer metallischen Haftschicht 46 (siehe 6) ähnlich sein kann, und/oder sodass ein unterer Teil der Diffusionssperrschicht 26 nicht hergestellt wird.
  • Wie außerdem in 1 gezeigt ist, wird bei einigen Ausführungsformen eine Ätzstoppschicht 32 über der dielektrischen Schicht 24 und dem leitfähigen Strukturelement 30 hergestellt. Die Ätzstoppschicht 32 wird aus einem dielektrischen Material hergestellt, das ein oder mehrere Materialien umfassen kann, wie etwa Aluminiumoxid, Aluminiumnitrid, Siliziumoxid, Siliziumnitrid, Siliziumcarbid, Siliziumoxidnitrid, Siliziumcarbonitrid, Siliziumoxidcarbonitrid oder dergleichen oder Kombinationen davon. Die Ätzstoppschicht 32 kann aus einem Material hergestellt werden, das eine hohe Ätzselektivität für eine darüber befindliche dielektrische Schicht 34 hat, sodass eine Ätzung der dielektrischen Schicht 34 auf der Ätzstoppschicht 32 enden kann. Bei einigen Ausführungsformen kann die Ätzstoppschicht 32 eine Dicke T1 von etwa 2 nm bis etwa 5 nm haben.
  • Bleiben wir bei 1, in der die dielektrische Schicht 34 über der Ätzstoppschicht 32 hergestellt wird. Bei einigen Ausführungsformen ist die dielektrische Schicht 34 ein IMD oder ein ILD. Die dielektrische Schicht 34 kann ein dielektrisches Material aufweisen, wie etwa ein Oxid, ein Nitrid, ein kohlenstoffhaltiges dielektrisches Material oder dergleichen oder eine Kombination davon. Die dielektrische Schicht 34 kann zum Beispiel aus PSG, BSG, BPSG, FSG, TEOS-Oxid, HSQ, MSQ oder dergleichen oder Kombinationen davon hergestellt werden. Bei einigen Ausführungsformen ist die dielektrische Schicht 34 eine dielektrische Low-k-Schicht mit einer Dielektrizitätskonstante (k), die niedriger als etwa 3,5 oder als etwa 3,0 ist.
  • Die 2 bis 10 zeigen einen ersten Prozess zum Herstellen einer Durchkontaktierung 58 mit einer metallischen Haftschicht 46 (siehe 10) gemäß einigen Ausführungsformen. Die in diesen und anderen Figuren dargestellten Beispiele zeigen die Herstellung der Durchkontaktierung 58, aber die hier beschriebenen Verfahren können auch zum Herstellen von anderen leitfähigen Strukturelementen, wie etwa Metallleitungen oder dergleichen, verwendet werden, und alle diese leitfähigen Strukturelemente sollen innerhalb des Umfangs der vorliegenden Erfindung liegen. Außerdem dürfte wohlverstanden sein, dass die in diesen Figuren dargestellten Beispiele einen Damascene-Prozess beschreiben, aber bei anderen Ausführungsformen wird auch ein Dual-Damascene-Prozess in Betracht gezogen, in dem eine Metallleitung, eine Durchkontaktierung, ein Kontaktstift oder dergleichen hergestellt wird. Es können weitere dielektrische Schichten hergestellt werden, und der in den 2 bis 10 beschriebene Prozess kann wiederholt werden, um weitere Metallisierungsschichten (z. B. Metallleitungen und Durchkontaktierungen) herzustellen. Auf diese Weise kann der hier beschriebene Prozess zum Herstellen einer Verbindungsstruktur oder dergleichen verwendet werden.
  • In 2 wird bei einigen Ausführungsformen eine Durchkontaktierungsöffnung 42 in der dielektrischen Schicht 34 erzeugt. Die Durchkontaktierungsöffnung 42 kann zum Beispiel mit geeigneten fotolithografischen und Ätzverfahren erzeugt werden. Bei einem beispielhaften Verfahren zum Erzeugen der Durchkontaktierungsöffnung 42 wird zunächst über der dielektrischen Schicht 34 eine metallische Hartmaske 37 hergestellt, die dann strukturiert wird, um eine Öffnung 38 zu erzeugen, wie in 2 gezeigt ist. Die metallische Hartmaske 37 kann aus einem Material wie Titannitrid, Bornitrid, einem anderen Metallnitrid oder einem Metalloxid oder dergleichen oder einer Kombination davon hergestellt werden. Die Öffnung 38 in der metallischen Hartmaske 37 definiert eine Struktur einer Durchkontaktierung (z. B. der Durchkontaktierungsöffnung 42, die in 2 gezeigt ist), die später gefüllt wird, um eine Durchkontaktierung (z. B. die in 10 gezeigte Durchkontaktierung 58) herzustellen. Bei anderen Ausführungsformen kann über der dielektrischen Schicht 34 eine Fotoresiststruktur hergestellt werden, die dann strukturiert wird, um eine Öffnung zu erzeugen, die eine Struktur einer Durchkontaktierung definiert.
  • Die freigelegte dielektrische Schicht 34 kann dann geätzt werden, um eine Öffnung 42 zu erzeugen, die sich in die dielektrische Schicht 34 erstreckt und die Ätzstoppschicht 32 freilegt, wie in 2 gezeigt ist. Die Ätzung der dielektrischen Schicht 34 kann mit einem Nassätzprozess und/oder einem Trockenätzprozess (z. B. einem Plasmaätzprozess) erfolgen. Die Ätzung der dielektrischen Schicht 34 kann zum Beispiel unter Verwendung eines Prozessgases durchgeführt werden, das Fluor und Kohlenstoff enthält, wobei Fluor zum Ätzen verwendet wird und Kohlenstoff den Effekt hat, Seitenwände der resultierenden Öffnung zu schützen. Mit einem geeigneten Fluor-Kohlenstoff-Verhältnis kann die Öffnung 42 so erzeugt werden, dass sie ein gewünschtes Profil hat. Die Prozessgase für die Ätzung können zum Beispiel ein oder mehrere fluor- und kohlenstoffhaltige Gase wie C4F8, CH2F2, CF4 oder dergleichen sein und können ein oder mehrere Trägergase wie Ar, N2 oder dergleichen enthalten. Bei einem beispielhaften Ätzprozess beträgt ein Durchsatz für C4F8 etwa 0 Ncm3/min bis etwa 50 Ncm3/min, ein Durchsatz für CF4 beträgt etwa 0 Ncm3/min bis etwa 300 Ncm3/min (wobei C4F8 und/oder CF4 einen von null verschiedenen Durchsatz haben), und ein Durchsatz von N2 beträgt etwa 0 Ncm3/min bis etwa 200 Ncm3/min. Als ein weiteres Beispiel können die Prozessgase für die Ätzung CH2F2 und ein Trägergas wie N2 umfassen. Ein Durchsatz für CH2F2 kann etwa 10 Ncm3/min bis etwa 200 Ncm3/min betragen, und ein Durchsatz für N2 kann etwa 50 Ncm3/min bis etwa 100 Ncm3/min betragen. Während des Ätzprozesses kann die Package-Komponente 100 auf einer Temperatur von etwa 30 °C bis etwa 60 °C gehalten werden. In dem Ätzprozess kann ein Plasma aus den Ätzgasen erzeugt werden. Eine Hochfrequenz(HF)-Leistung einer Stromquelle für die Ätzung kann niedriger als etwa 700 W sein, und ein Druck des Prozessgases kann etwa 0,13 Pa bis etwa 0,27 Pat (etwa 15 mTorr bis etwa 30 mTorr) betragen. Dies sind jedoch lediglich Beispiele, und andere Ätzprozesse oder Ätzparameter sind ebenfalls möglich.
  • Kommen wir nun zu 3, in der ein Ätzprozess durchgeführt wird, um die Ätzstoppschicht 32 durchzuätzen und das leitfähige Füllmaterial 28 freizulegen. In einigen Fällen kann der Ätzprozess auch als ein Nassreinigungsprozess bezeichnet werden, wenn für die Ätzung der Ätzstoppschicht 32 ein Nassätzprozess verwendet wird. Bei einigen Ausführungsformen der vorliegenden Erfindung wird für den Ätzprozess eine Lösung verwendet, die Glykol, Dimethylsulfid, ein Amin, H2O2 oder dergleichen oder eine Kombination davon enthält. Zum Beispiel kann Glykol als ein grenzflächenaktiver Stoff verwendet werden, Dimethylsulfid kann als ein Lösungsmittel verwendet werden, Amin kann zum Entfernen von unerwünschten organischen Rückständen auf Oberflächen der Package-Komponente 100 verwendet werden, und/oder eine Kombination aus H2O2 und Amin kann zum Ätzen der Ätzstoppschicht 32 verwendet werden.
  • Bei einigen Ausführungsformen wird eine metallische Haftschicht 46 auf der freigelegten Oberfläche des leitfähigen Füllmaterials 28 abgeschieden, wie in 4 gezeigt ist. Wie in 5 gezeigt ist, kann die metallische Haftschicht 46 als eine Schutzschicht oder eine konforme Schicht in der Durchkontaktierungsöffnung 42 und auf der metallischen Hartmaske 37 (falls vorhanden) abgeschieden werden. Die metallische Haftschicht 46 hat die Funktion, eine Haftung zwischen einem ersten leitfähigen Strukturelement (z. B. dem leitfähigen Füllmaterial 28) und einem zweiten leitfähigen Strukturelement (z. B. einem in 9 gezeigten leitfähigen Material 56) zu verbessern. Zum Beispiel kann die metallische Haftschicht 46 eine Grenzfläche zwischen leitfähigen Strukturelementen verbessern, wenn sich keine Sperrschicht (z. B. die Diffusionssperrschicht 26 oder eine in 7 gezeigte Sperrschicht 50) zwischen den leitfähigen Strukturelementen befindet. In einigen Fällen kann durch Verwenden der metallischen Haftschicht 46 die thermische Stabilität der Grenzfläche zwischen den leitfähigen Strukturelementen verbessert werden, wodurch die Gefahr verringert wird, dass sich die Grenzfläche zersetzt oder abspaltet (z. B. sich „abhebt“). Auf diese Weise kann die Ausbeute oder die Zuverlässigkeit der Verbindung zwischen leitfähigen Strukturelementen verbessert werden.
  • Bei einigen Ausführungsformen kann die metallische Haftschicht 46 ein Material wie Cobalt, Ruthenium, Mangan oder dergleichen oder eine Kombination davon sein. Zum Beispiel kann die metallische Haftschicht 46 Cobalt sein, das über einem leitfähigen Füllmaterial 28 aus Kupfer abgeschieden wird, oder sie kann Mangan sein, das über einem leitfähigen Füllmaterial 28 aus Ruthenium abgeschieden wird, aber es können auch andere Materialien als diese Beispiele für die metallische Haftschicht 46 und/oder das leitfähige Füllmaterial 28 verwendet werden. Die metallische Haftschicht 46 kann mit einem geeigneten Verfahren wie physikalische Aufdampfung (PVD) oder dergleichen abgeschieden werden.
  • Bei einigen Ausführungsformen kann die metallische Haftschicht 46 so hergestellt werden, dass sie eine Dicke T2 von etwa 2 nm bis etwa 4 nm hat. Die Dicke T2 kann kleiner als die, ungefähr gleich der oder größer als die Dicke T1 der Ätzstoppschicht 32 sein. Bei einigen Ausführungsformen kann mit einem gerichteten Abscheidungsprozess wie einigen PVD-Prozessen eine metallische Haftschicht 46 mit einer größeren Dicke auf Seitenflächen als auf Seitenwänden hergestellt werden, wie in 5 gezeigt ist. Zum Beispiel kann die metallische Haftschicht 46 mit einer Dicke T2 auf Seitenflächen (z. B. auf dem leitfähigen Füllmaterial 28) und mit einer Dicke T3 auf Seitenwänden hergestellt werden, die etwa gleich der Dicke T2 oder kleiner als diese ist. Bei einigen Ausführungsformen kann die Dicke T3 der metallischen Haftschicht 46 auf Seitenwänden etwa 0,5 nm bis etwa 1,5 nm betragen. Andere Dicken oder Kombinationen von Dicken sind ebenfalls möglich.
  • In 5 wird ein Ätzprozess durchgeführt, um die metallische Haftschicht 46 zu dünnen. Mit dem Ätzprozess kann die Dicke der metallischen Haftschicht 46 auf dem leitfähigen Füllmaterial 28 reduziert werden. Zum Beispiel kann mit dem Ätzprozess die Dicke der metallischen Haftschicht 46 auf dem leitfähigen Füllmaterial 28 auf eine Dicke T4 reduziert werden, die kleiner als die abgeschiedene Dicke T2 ist. In einigen Fällen kann nach dem Ätzprozess die Dicke T4 der metallischen Haftschicht 46 auf dem leitfähigen Füllmaterial 28 etwa 0,5 nm bis etwa 3,5 nm betragen, aber es sind auch andere Dicken möglich. Die Dicke T4 kann kleiner als die, etwa gleich der oder größer als die Dicke T1 der Ätzstoppschicht 32 sein. Zum Beispiel zeigt 5 eine Ausführungsform, bei der die Dicke T4 der metallischen Haftschicht 46 kleiner als die Dicke T1 der Ätzstoppschicht 32 ist, während die 17A bis 17C Ausführungsformen zeigen, bei denen die gesamte metallische Haftschicht 46 oder ein Teil davon eine Dicke hat, die größer als die Dicke T1 der Ätzstoppschicht 32 ist. Nach dem Ätzprozess kann die metallische Haftschicht 46 auf dem leitfähigen Füllmaterial 28 eine ebene Oberfläche, eine konvexe Oberfläche, eine konkave Oberfläche oder eine unregelmäßige Oberfläche haben, wie später unter Bezugnahme auf die 17A bis 71C näher dargelegt wird. Bei einigen Ausführungsformen, bei denen die metallische Haftschicht 46 mit einer kleineren Dicke auf Seitenwänden als auf Seitenflächen abgeschieden wird, kann der Ätzprozess die metallische Haftschicht 46 von Seitenwänden der Durchkontaktierungsöffnung 42 entfernen, wie in 5 gezeigt ist.
  • Der Ätzprozess zum Dünnen der metallischen Haftschicht 46 kann ein geeigneter Nassätzprozess oder ein geeigneter Trockenätzprozess sein. Zum Beispiel kann der Ätzprozess ein isotroper Nassätzprozess mit H2O, HNO3, HCl, H2O2 oder dergleichen oder einer Kombination davon sein. Für den Nassätzprozess können auch andere Substanzen verwendet werden, wie etwa Ethylendiamintetraessigsäure (EDTA), Ethylenglykoltetraessigsäure (EGTA) oder dergleichen oder eine Kombination davon. Zum Beispiel kann für einen Nassätzprozess, der zum Dünnen einer metallischen Haftschicht 46 aus Cobalt verwendet werden kann, ein Gemisch aus H2O, HNO3 und EDTA verwendet werden. Bei einigen Ausführungsformen wird für den Nassätzprozess ein Gemisch aus etwa 0,1 M HNO3 und etwa 0,01 M bis etwa 0,05 M EDTA verwendet, aber es sind auch andere Konzentrationen oder Gemische möglich. Der Nassätzprozess kann bei einer Temperatur von etwa 25 °C bis etwa 40 °C und für eine Dauer von etwa 10 s bis etwa 60 s durchgeführt werden. Diese und andere Abwandlungen des Ätzprozesses sollen innerhalb des Umfangs der vorliegenden Erfindung liegen.
  • In 6 wird bei einigen Ausführungsformen eine Opferschicht 48 auf der metallischen Haftschicht 46 hergestellt. Wie in 6 gezeigt ist, kann die Opferschicht 48 so abgeschieden werden, dass wenig oder gar kein Material der Opferschicht 48 auf freiliegenden Oberflächen der dielektrischen Schicht 34 abgeschieden wird, wie später näher dargelegt wird. Die Opferschicht 48 kann hergestellt werden, um eine spätere Bildung der Sperrschicht 50 (siehe 7) auf der metallischen Haftschicht 46 zu blockieren, zu verhindern oder anderweitig zu inhibieren. Insbesondere kann die Opferschicht 48 verhindern, dass die Sperrschicht 50 auf der metallischen Haftschicht 46 über dem leitfähigen Füllmaterial 28 entsteht. Durch Verhindern der Bildung der Sperrschicht 50 kann ein Widerstand zwischen dem leitfähigen Füllmaterial 28 und dem später abgeschiedenen leitfähigen Material 56 (siehe 9) reduziert werden. Bei einigen Ausführungsformen kann sich die Opferschicht 48 quer über die Durchkontaktierungsöffnung 42 erstrecken, um die metallische Haftschicht 46 vollständig zu bedecken. Bei einigen Ausführungsformen wird die Opferschicht 48 mit einer Dicke T5 von etwa 0,5 nm bis etwa 3 nm hergestellt. Die Oberseite der Opferschicht 48 kann sich über, unter oder ungefähr auf gleicher Höhe mit der Ätzstoppschicht 32 befinden. In einigen Fällen kann die Opferschicht 48 auf Seitenwandflächen der Ätzstoppschicht 32 in der Durchkontaktierungsöffnung 42 hergestellt werden, wie in 6 gezeigt ist.
  • Bei einigen Ausführungsformen weist die Opferschicht 48 ein Material auf, das an der metallischen Haftschicht 46 anhaftet oder sich mit dieser verbindet, aber nicht an der dielektrischen Schicht 34 und/oder an der Ätzstoppschicht 32 anhaftet oder sich mit diesen verbindet. Zum Beispiel kann das Material Chelatbindungen mit dem Metall (z. B. Cobalt) der metallischen Haftschicht 46, aber keine Bindungen mit der dielektrischen Schicht 34 bilden. Auf diese Weise kann die später hergestellte Sperrschicht 50 (siehe 7) auf der dielektrischen Schicht 34 entstehen, aber es wird verhindert, dass sie auf dem leitfähigen Füllmaterial 28 entsteht. Außerdem kann die Opferschicht 48 ein Material sein, an/mit dem die später hergestellte Sperrschicht 50 wahrscheinlich nicht anhaftet oder eine Bindung eingeht oder nicht dazu in der Lage ist. Zum Beispiel kann die chemische Struktur des Materials hydrophob sein und/oder nicht-polare Gruppen aufweisen, mit denen Vorläufer der Sperrschicht 50 wahrscheinlich keine Bindung eingehen oder eingehen können, oder die chemische Struktur des Materials kann eine Adsorption von Vorläufern der Sperrschicht 50 durch sterische Hinderung inhibieren. Das Material für die Opferschicht 48 kann so gewählt werden, dass ein oder mehrere Vorläufer der später hergestellten Sperrschicht 50 eine hohe Selektivität der Adsorption auf der dielektrischen Schicht 34 gegenüber der Opferschicht 48 haben. Zum Beispiel kann die Selektivität der Adsorption auf der dielektrischen Schicht 34 im Vergleich zu der Adsorption auf der Opferschicht 48 größer als etwa 5 : 1 sein. Die Selektivität kann von den verschiedenen Materialien und/oder den verwendeten Herstellungsprozessen abhängen. Auf diese Weise wird die Opferschicht 48 nicht (oder nur teilweise) von der Sperrschicht 50 bedeckt, und sie kann daher leichter entfernt werden. Die Opferschicht 48 kann mit einem geeigneten Verfahren wie nasschemisches Tränken oder Behandeln mit einem chemischen Gas abgeschieden werden, was von dem einen oder den mehreren speziellen Materialien abhängig sein kann, die die Opferschicht 48 bilden.
  • Als ein erstes Beispiel kann die Opferschicht 48 Benzotriazol (BTA) aufweisen, das die chemische Formel C6H4N3H hat. BTA-Moleküle haben eine erste Seite mit drei Stickstoffatomen, die eine Bindung mit einem Metall wie Cobalt eingehen können, und eine zweite Seite, die einen hydrophoben Benzolring hat, mit dem einige Vorläufer der Sperrschicht 50 keine Bindung eingehen können. Die erste Seite des BTA-Moleküls kann eine Bindung mit der metallischen Haftschicht 46 eingehen, während die zweite Seite übersteht und Vorläufer daran hindert, eine Bindung mit der metallischen Haftschicht 46 einzugehen. Auf diese Weise kann eine Opferschicht 48, die eine Monolage aus BTA oder mehrere Monolagen aus BTA aufweist, verhindern, dass sich die Sperrschicht 50 auf der metallischen Haftschicht 46 oder auf der Opferschicht 48 bildet. Bei einigen Ausführungsformen kann eine Opferschicht 48 aus BTA durch Tränken der Package-Komponente 100 in einer nasschemischen Lösung, die BTA enthält, hergestellt werden. Zum Beispiel kann BTA Bestandteil einer Lösung sein, die H2O und/oder H2O2 enthält, aber es können auch Lösungen mit anderen Zusammensetzungen verwendet werden. Die Lösung kann auf eine Temperatur von etwa 25 °C bis etwa 50 °C erwärmt werden, und die Package-Komponente 100 kann für eine Dauer von etwa 10 s bis etwa 60 s getränkt werden. Nach dem Tränken in der Lösung kann ein Nassreinigungsprozess an der Package-Komponente 100 durchgeführt werden. Eine Opferschicht 48, die BTA enthält, kann auch mit anderen Lösungen, Prozessbedingungen oder Verfahren als den vorgenannten hergestellt werden. Das Material und das Abscheidungsverfahren, die vorstehend beschrieben worden sind, sind lediglich Beispiele, und die Opferschicht 48 kann auch aus anderen Materialien, wie etwa Thiol, Phosphat oder dergleichen oder Kombinationen davon, mit einem nasschemischen Tränkprozess hergestellt werden.
  • Als ein zweites Beispiel kann die Opferschicht 48 5-Decin aufweisen, das die chemische Formel C10H18 hat. 5-Decin-Moleküle können Bindungen mit Metallen wie Cobalt und mit anderen 5-Decin-Molekülen eingehen, aber 5-Decin-Moleküle gehen keine Bindung mit der dielektrischen Schicht 34 ein. Außerdem gehen Vorläufer der Sperrschicht 50 keine Bindungen mit 5-Decin-Molekülen ein. 5-Decin ist zum Beispiel hydrophob und hat -CH3-Gruppen, und somit geht 5-Decin keine Bindung mit der Sperrschicht 50 ein. Auf diese Weise kann eine Opferschicht 48, die eine Schicht aus 5-Decin-Molekülen aufweist, verhindern, dass sich die Sperrschicht 50 auf der metallischen Haftschicht 46 oder auf der Opferschicht 48 bildet. Bei einigen Ausführungsformen kann eine Opferschicht 48 aus 5-Decin durch Behandeln der Package-Komponente 100 mit einem Gasgemisch hergestellt werden, das 5-Decin-Moleküle enthält. Zum Beispiel kann 5-Decin Teil eines Gasgemisches sein, das Trägergase wie He, Ar oder dergleichen enthält, aber es können auch andere Gemische verwendet werden. Das Gasgemisch kann mit einem Durchsatz von etwa 600 Ncm3/min bis etwa 3000 Ncm3/min für eine Dauer von etwa 10 s bis etwa 120 s in eine Prozesskammer eingeleitet werden. Es können eine Prozesstemperatur von etwa 100 °C bis etwa 350 °C und ein Prozessdruck von etwa 133 Pa bis etwa 4000 Pa (von etwa 1 Torr bis etwa 30 Torr) verwendet werden. Eine Opferschicht 48, die 5-Decin aufweist, kann auch mit anderen Gasgemischen, Prozessbedingungen oder Verfahren als den vorgenannten hergestellt werden. Das Material und das Abscheidungsverfahren, die vorstehend beschrieben worden sind, sind lediglich Beispiele, und die Opferschicht 48 kann auch aus anderen Materialien, wie etwa aus anderen Alkynen, Derivaten von Alkenen, BTA in einer Gasphase oder dergleichen, Hexan in einer Gasphase oder dergleichen oder einer Kombination davon, mit einem Gas-Abscheidungsprozess hergestellt werden.
  • In 7 wird bei einigen Ausführungsformen eine leitfähige Sperrschicht 50 auf Oberflächen in der Durchkontaktierungsöffnung 42 abgeschieden. Die Sperrschicht 50 hat die Funktion, zu verhindern, dass Atome in dem später abgeschiedenen leitfähigen Material 56 ( 9) in die dielektrische Schicht 34 eindiffundieren. Die Sperrschicht 50 wird über den freiliegenden Oberflächen der dielektrischen Schicht 34 und der Ätzstoppschicht 32 hergestellt, aber sie wird von der Opferschicht 48 daran gehindert, sich auf der metallischen Haftschicht 46 in der Durchkontaktierungsöffnung 42 zu bilden. Außerdem bildet sich die Sperrschicht 50 auch nicht erheblich auf freiliegenden Oberflächen der Opferschicht 48, wie vorstehend dargelegt worden ist. Die Sperrschicht 50 kann ein Sperrmaterial wie Titan, Titannidrid, Tantal, Tantalnitrid oder dergleichen oder eine Kombination davon aufweisen. Die Sperrschicht 50 kann bei einigen Ausführungsformen ein Material sein, das dem der Diffusionssperrschicht 26 ähnlich ist.
  • Bei einigen Ausführungsformen kann die Sperrschicht 50 mit einem geeigneten Verfahren wie ALD und/oder CVD abgeschieden werden. In einigen Fällen werden durch Herstellen der Sperrschicht 50 mittels ALD oder CVD eine bessere Stufenüberdeckung und eine bessere Konformität im Vergleich zu anderen Verfahren, wie etwa PVD, ermöglicht. Die Sperrschicht 50 kann zum Beispiel TaN aufweisen, das mit einem ALD-Prozess abgeschieden wird. Vorläufer von TaN können zum Beispiel Pentakis(dimethylamino)tantal(V) (PDMAT), das die chemische Formel C10H30N5Ta hat, als einen ersten Vorläufer und Ammoniak, das die chemische Formel NH3 hat, als einen zweiten Vorläufer umfassen. Die Sperrschicht 50 kann bei anderen Ausführungsformen andere Materialien und/oder andere Vorläufer aufweisen. Bei einigen Ausführungsformen kann die Abscheidung der Sperrschicht 50 in der gleichen Prozesskammer wie die Herstellung der Opferschicht 48 und/oder der metallischen Haftschicht 46 erfolgen. Bei einigen Ausführungsformen kann die Sperrschicht 50 mit einer Dicke T6 von etwa 1 nm bis etwa 4 nm hergestellt werden.
  • In 8 wird bei einigen Ausführungsformen die Opferschicht 48 entfernt. Bei einigen Ausführungsformen wird mit dem Prozess, der zum Entfernen der Opferschicht 48 verwendet wird, auch die Sperrschicht 50 verdichtet. Die Sperrschicht 50 wird nach ihrer Verdichtung als verdichtete Sperrschicht 50' oder als Sperrschicht 50' bezeichnet und wird in 8 und späteren Figuren ähnlich bezeichnet. Bei dem Entfernungsprozess kann die Sperrschicht 50 zu der verdichteten Sperrschicht 50' verdichtet werden, indem zum Beispiel Stickstoff aus der Sperrschicht 50 getrieben wird. Ein Entfernungsprozess, mit dem die Sperrschicht 50 verdichtet wird, kann zum Beispiel eine Wärmebehandlung oder eine Plasmabehandlung, wie etwa eine In situ-H2- oder -Ar-Plasmabehandlung, umfassen, die später näher beschrieben werden. Nach dem Entfernen der Opferschicht 48 kann die Sperrschicht 50' mit einem Abstand T5', der ungefähr gleich der Dicke T5 der Opferschicht 48 ist, von der metallischen Haftschicht 46 beabstandet sein. Der Abstand T5' kann etwa 0,5 nm bis etwa 3 nm betragen, aber es sind auch andere Abstände möglich. Wie in 8 gezeigt ist, können durch Entfernen der Opferschicht 48 Seitenwände der Ätzstoppschicht 32 freigelegt werden, die zuvor von der Opferschicht 48 bedeckt gewesen sind.
  • Bei einigen Ausführungsformen umfasst ein Entfernungsprozess zum Entfernen der Opferschicht 48 eine Wärmebehandlung, wie etwa einen Temperprozess. Der Temperprozess kann zum Beispiel ein Tempern der Package-Komponente 100 in einer Temperkammer bei einer Temperatur von etwa 250 °C bis etwa 400 °C für eine Dauer von etwa 30 s bis etwa 300 s umfassen. Die Package-Komponente 100 kann während des Temperprozesses mit einem oder mehreren Gasen behandelt werden, wie etwa einem inerten Gas (z. B. He, Ar oder dergleichen), einem Reduktionsgas (z. B. H2 oder dergleichen) oder einer Kombination davon. Das eine oder die mehreren Gase können mit einem Durchsatz von etwa 600 Ncm3/min bis etwa 3000 Ncm3/min in die Temperkammer eingeleitet werden. Während des Temperprozesses kann die Temperkammer einen Druck von etwa 133 Pa bis etwa 4000 Pa (von etwa 1 Torr bis etwa 30 Torr) haben. Für einen Entfernungsprozess, der einen Temperprozess umfasst, können auch andere Temperparameter als die vorgenannten verwendet werden. Bei einigen Ausführungsformen ist die Temperkammer die gleiche Kammer wie die Prozesskammer, die zum Abscheiden der Sperrschicht 50 verwendet wird.
  • Bei einigen Ausführungsformen wird für den Entfernungsprozess eine Plasmabehandlung verwendet. Die Plasmabehandlung kann zum Beispiel ein Behandeln der Package-Komponente 100 mit einem Plasma aus einem oder mehreren Prozessgasen wie H2, NH3, Ar oder dergleichen oder Kombinationen davon umfassen. Das eine oder die mehreren Prozessgase können mit einem Durchsatz von etwa 600 Ncm3/min bis etwa 3000 Ncm3/min eingeleitet werden. Die Plasmabehandlung kann bei einem Druck von etwa 13 Pa bis etwa 667 Pa (von etwa 0,1 Torr bis etwa 5 Torr) durchgeführt werden. Bei einigen Ausführungsformen wird das Plasma mit einer HF-Leistung von etwa 100 W bis etwa 600 W erzeugt. Die Plasmabehandlung kann bei einer Temperatur von etwa 25 °C bis etwa 400 °C für eine Dauer von etwa 10 s bis etwa 30 s durchgeführt werden. Für einen Entfernungsprozess, der eine Plasmabehandlung umfasst, können auch andere Parameter als die vorgenannten verwendet werden. Bei einigen Ausführungsformen wird die Plasmabehandlung unter Verwendung der gleichen Prozesskammer durchgeführt, die zum Abscheiden der Sperrschicht 50 verwendet wird. Bei einigen Ausführungsformen wird ein Temperprozess oder eine Plasmabehandlung durchgeführt. Bei anderen Ausführungsformen werden ein Temperprozess und eine Plasmabehandlung durchgeführt, die in jeder Reihenfolge durchgeführt werden können.
  • In einigen Fällen kann mit einem Entfernungsprozess, der eine Wärmebehandlung und/oder eine Plasmabehandlung umfasst, die vorstehend beschrieben worden sind, die Konzentration von Stickstoff in der Sperrschicht 50 reduziert werden, sodass eine verdichtete Sperrschicht 50' entsteht. Eine verdichtete Sperrschicht 50' kann ein Eindiffundieren in die dielektrische Schicht 34 effektiver blockieren und kann somit die Vorrichtungsleistung verbessern. In einigen Fällen kann der Entfernungsprozess außerdem einen spezifischen Widerstand der Sperrschicht 50 reduzieren, wodurch die Vorrichtungsleistung weiter verbessert werden kann. Die Figuren zeigen zwar Ausführungsformen mit einer verdichteten Sperrschicht 50', aber bei anderen Ausführungsformen kann ein Entfernungsprozess durchgeführt werden, in dem die Sperrschicht 50 nicht verdichtet wird.
  • In 9 wird bei einigen Ausführungsformen ein leitfähiges Material 56 so abgeschieden, dass es die Durchkontaktierungsöffnung 42 füllt. Das leitfähige Material 56 kann zum Beispiel durch Durchführen einer Schutzabscheidung zum Herstellen einer metallischen Seedschicht (z. B. einer Kupferschicht) mit einem PVD-Prozess und durch anschließendes Füllen des Rests der Durchkontaktierungsöffnung 42 zum Beispiel durch Elektroplattierung, stromlose Plattierung, Abscheidung oder dergleichen abgeschieden werden. Das leitfähige Material 56 kann Kupfer, eine Kupferlegierung, Cobalt, Wolfram, Ruthenium oder dergleichen, andere Metalle oder Kombinationen davon umfassen. Das leitfähige Material 56 kann das gleiche Material wie das leitfähige Füllmaterial 28 sein oder kann ein anderes Material als dieses sein.
  • Durch Verhindern, dass sich die Sperrschicht 50 über dem leitfähigen Füllmaterial 28 (siehe 7) bildet, entsteht eine „sperrschichtfreie“ Grenzfläche zwischen dem leitfähigen Material 56 und dem leitfähigen Füllmaterial 28. In einigen Fällen ist ein Kontaktwiderstand (Rc) dieser sperrschichtfreien Grenzfläche kleiner, als wenn sich die Sperrschicht 50 zwischen dem leitfähigen Material 56 und dem leitfähigen Füllmaterial 28 befinden würde. In einigen Fällen kann durch das Verwenden der metallischen Haftschicht 46 ohne eine darüber befindliche Sperrschicht 50 der Kontaktwiderstand um etwa 20 % bis etwa 40 % reduziert werden. Außerdem kann durch Herstellen der metallischen Haftschicht 46 auf dem leitfähigen Füllmaterial 28 die thermische Stabilität der Grenzfläche zwischen dem leitfähigen Material 56 und dem leitfähigen Füllmaterial 28 verbessert werden. Auf diese Weise ermöglichen die hier beschriebenen Verfahren einen besseren Kontaktwiderstand zwischen einer Durchkontaktierung 58 und einem leitfähigen Strukturelement (z. B. dem leitfähigen Strukturelement 30). Wie in 9 gezeigt ist, kann durch die Trennung zwischen der Sperrschicht 50' und der metallischen Haftschicht 46 das leitfähige Material 56 Seitenwände der Ätzstoppschicht 32 kontaktieren, die nicht von der Sperrschicht 50' bedeckt sind. Auf diese Weise können sich Teile des leitfähigen Materials 56 zwischen der Sperrschicht 50' und der metallischen Haftschicht 46 erstrecken, und die Grenzfläche zwischen dem leitfähigen Material 56 und dem leitfähigen Füllmaterial 28 kann so hergestellt werden, dass sie eine größere Querabmessung hat. In einigen Fällen kann durch Vergrößern der Querabmessung der Grenzfläche der Widerstand der Grenzfläche gesenkt werden.
  • In 10 kann bei einigen Ausführungsformen ein Planarisierungsprozess, wie etwa eine chemisch-mechanische Planarisierung (CMP), ein mechanischer Polierprozess und/oder ein Schleifprozess, durchgeführt werden, um überschüssige Teile des leitfähigen Materials 56 zu entfernen, sodass die Durchkontaktierung 58 entsteht. Die Durchkontaktierung 58 umfasst übrige Teile der Sperrschicht 50' und des leitfähigen Materials 56. 10 zeigt außerdem die Herstellung einer optionalen dielektrischen Ätzstoppschicht 62, die die dielektrische Schicht 34 und die Durchkontaktierung 58 bedeckt und kontaktiert. Bei einigen Ausführungsformen wird die dielektrische Ätzstoppschicht 62 aus einer oder mehreren Schichten aus einem Metalloxid, Metallnitrid, Metallcarbonitrid, Siliziumnitrid oder dergleichen oder einer Kombination davon hergestellt. Auf diese Weise kann eine Durchkontaktierung 58 über einem leitfähigen Strukturelement 30 unter Verwendung der metallischen Haftschicht 46 hergestellt werden.
  • Die 11 bis 16 zeigen einen zweiten Prozess zum Herstellen einer Durchkontaktierung 58 mit einer metallischen Haftschicht 46 (siehe 16) gemäß einigen Ausführungsformen. Der in den 11 bis 16 gezeigte Prozess ist dem in den 1 bis 10 gezeigten Prozess ähnlich, mit der Ausnahme, dass die metallische Haftschicht 46 mit einem selektiven Abscheidungsprozess hergestellt wird, bei dem das Material für die metallische Haftschicht 46 auf Oberflächen des leitfähigen Füllmaterials 28 über Oberflächen der dielektrischen Schicht 34 abgeschieden wird. 11 resultiert aus der in 3 gezeigten Struktur, in der das leitfähige Füllmaterial 28 freigelegt wird. Der in den 11 bis 16 gezeigte Prozess kann wiederholt werden, um weitere Metallisierungsschichten herzustellen, um zum Beispiel eine Verbindungsstruktur oder dergleichen herzustellen.
  • 11 zeigt ein Abscheiden der metallischen Haftschicht 46 gemäß einigen Ausführungsformen. Die metallische Haftschicht 46 kann ein ähnliches Material wie das sein, das vorstehend unter Bezugnahme auf 4 beschrieben worden ist. Bei der in 11 gezeigten Ausführungsform wird die metallische Haftschicht 46 mit einem selektiven Abscheidungsprozess so abgeschieden, dass das Material für die metallische Haftschicht 46 auf dem leitfähigen Füllmaterial 28 abgeschieden wird und wenig oder gar kein Material für die metallische Haftschicht 46 auf der dielektrischen Schicht 34 abgeschieden wird. Zum Beispiel kann die Selektivität der Abscheidung auf dem leitfähigen Füllmaterial 28 im Vergleich zu der dielektrischen Schicht 34 größer als etwa 100 : 1 sein, aber es sind auch andere Selektivitäten möglich. In einigen Fällen kann das Material für die metallische Haftschicht 46 auch auf der metallischen Hartmaske 37 (falls vorhanden) abgeschieden werden.
  • Bei einigen Ausführungsformen kann die metallische Haftschicht 46 auf dem leitfähigen Füllmaterial 28 mit einer Dicke T7 von etwa 1 nm bis etwa 4 nm abgeschieden werden, aber es sind auch andere Dicken möglich. Die Dicke T7 kann kleiner als die, etwa gleich der oder größer als die Dicke T1 der Ätzstoppschicht 32 sein. Zum Beispiel zeigt 11 eine Ausführungsform, bei der die Dicke T7 der metallischen Haftschicht 46 kleiner als die Dicke T1 der Ätzstoppschicht 32 ist, während die 17A bis 17C Ausführungsformen zeigen, bei denen die gesamte metallische Haftschicht 46 oder ein Teil davon eine Dicke hat, die größer als die Dicke T1 der Ätzstoppschicht 32 ist. Die metallische Haftschicht 46 auf dem leitfähigen Füllmaterial 28 kann eine ebene Oberfläche, eine konvexe Oberfläche, eine konkave Oberfläche oder eine unregelmäßige Oberfläche haben, wie später unter Bezugnahme auf die 17A bis 71C näher dargelegt wird.
  • Zum selektiven Abscheiden der metallischen Haftschicht 46 kann ein geeignetes Abscheidungsverfahren wie ALD, PVD, CVD oder dergleichen verwendet werden. Das spezielle Abscheidungsverfahren und/oder die speziellen Vorläufer, die verwendet werden, können von den speziellen Materialien für die metallische Haftschicht 46, das leitfähige Füllmaterial 28 und/oder die dielektrische Schicht 34 abhängig sein. Als ein Beispiel zum selektiven Abscheiden der metallischen Haftschicht 46 auf dem leitfähigen Füllmaterial 28 kann ein CVD-Prozess zum selektiven Abscheiden einer metallischen Haftschicht 46 aus Cobalt verwendet werden. Das Cobalt kann mit einem CVD-Prozess unter Verwendung von Cyclopentadienylcobaltdicarbonyl [CpCo(CO)2] und eines anderen Gases, wie etwa H2, oder eines Gemisches aus H2 und NH3 abgeschieden werden. Es können eine Prozesstemperatur von etwa 150 °C bis etwa 250 °C und ein Prozessdruck von etwa 1333 Pa bis etwa 4000 Pa (von etwa 10 Torr bis etwa 30 Torr verwendet werden. In einigen Fällen kann Cobalt, das auf diese Weise abgeschieden wird, auf der dielektrischen Schicht 34 mit einer Dicke abgeschieden werden, die kleiner als etwa 1 % der Dicke des Cobalts ist, das auf dem leitfähigen Füllmaterial 28 abgeschieden wird. Als ein weiteres Beispiel kann eine metallische Haftschicht 46 aus Ruthenium unter Verwendung von „CHORuS“ [Ru(C7H10)(CO)3] oder Trirutheniumdodecacarbonyl [Ru3(CO)12] selektiv abgeschieden werden. Bei einigen Ausführungsformen kann vor der Abscheidung der metallischen Haftschicht 46 eine Oberflächenbehandlung an dem leitfähigen Füllmaterial 28 durchgeführt werden. Durch die Oberflächenbehandlung können zum Beispiel Oxide entfernt werden oder die Oberfläche des leitfähigen Füllmaterials 28 kann anderweitig gereinigt werden. Bei der Oberflächenbehandlung, die eine nasschemische Behandlung und/oder eine Plasmabehandlung umfassen kann, kann zum Beispiel die Oberfläche des leitfähigen Füllmaterials 28 mit einem Wasserstoffplasma oder dergleichen behandelt werden. Dies sind lediglich Beispiele, und bei anderen Ausführungsformen können andere Materialien, Vorläufer, Gase und/oder Prozessparameter verwendet werden.
  • In 12 wird bei einigen Ausführungsformen eine Opferschicht 48 auf der metallischen Haftschicht 46 hergestellt. Die Opferschicht 48 kann der unter Bezugnahme auf 6 beschriebenen Opferschicht 48 ähnlich sein und kann in einer ähnlichen Weise hergestellt werden. Zum Beispiel kann die Opferschicht 48 selektiv auf der metallischen Haftschicht 46 abgeschieden werden. Die Opferschicht 48 kann hergestellt werden, um eine spätere Bildung der Sperrschicht 50 (siehe 13) auf der metallischen Haftschicht 46 zu blockieren, zu verhindern oder anderweitig zu inhibieren. Bei einigen Ausführungsformen wird die Opferschicht 48 mit einer Dicke T8 von etwa 0,5 nm bis etwa 3 nm hergestellt. Die Oberseite der Opferschicht 48 kann sich über, unter oder ungefähr auf gleicher Höhe mit der Ätzstoppschicht 32 befinden. In einigen Fällen kann die Opferschicht 48 auf Seitenwandflächen der Ätzstoppschicht 32 in der Durchkontaktierungsöffnung 42 hergestellt werden, wie in 12 gezeigt ist.
  • In 13 wird bei einigen Ausführungsformen eine leitfähige Sperrschicht 50 auf Oberflächen in der Durchkontaktierungsöffnung 42 abgeschieden. Die Sperrschicht 50 kann der unter Bezugnahme auf 7 beschriebenen Sperrschicht 50 ähnlich sein und kann in einer ähnlichen Weise hergestellt werden. Die Sperrschicht 50 wird über freiliegenden Oberflächen der dielektrischen Schicht 34 und der Ätzstoppschicht 32 hergestellt, aber sie wird von der Opferschicht 48 daran gehindert, sich auf der metallischen Haftschicht 46 in der Durchkontaktierungsöffnung 42 zu bilden. Außerdem bildet sich die Sperrschicht 50 auch nicht erheblich auf freiliegenden Oberflächen der Opferschicht 48, wie vorstehend dargelegt worden ist. Die Sperrschicht 50 kann außerdem über der metallischen Hartmaske 37 (falls vorhanden) hergestellt werden, wie in 13 gezeigt ist. Bei einigen Ausführungsformen kann die Abscheidung der Sperrschicht 50 in der gleichen Prozesskammer wie die Herstellung der Opferschicht 48 und/oder der metallischen Haftschicht 46 erfolgen. Bei einigen Ausführungsformen kann die Sperrschicht 50 mit einer Dicke T9 von etwa 1 nm bis etwa 4 nm hergestellt werden.
  • In 14 wird bei einigen Ausführungsformen die Opferschicht 48 entfernt. Die Opferschicht 48 kann mit einem Verfahren entfernt werden, das dem ähnlich ist, das vorstehend unter Bezugnahme auf 8 beschrieben worden ist. Mit dem Entfernungsprozess kann die Sperrschicht 50 zum Beispiel durch Austreiben von Stickstoff aus der Sperrschicht 50 mit einer Wärmebehandlung oder einer Plasmabehandlung ähnlich denen, die vorstehend beschrieben worden sind, zu einer verdichteten Sperrschicht 50' verdichtet werden. Bei anderen Ausführungsformen wird bei dem Entfernungsprozess die Sperrschicht 50 nicht verdichtet. Nach dem Entfernen der Opferschicht 48 kann die Sperrschicht 50' mit einem Abstand T8`, der ungefähr gleich der Dicke T8 der Opferschicht 48 ist, von der metallischen Haftschicht 46 beabstandet sein. Der Abstand T8` kann zum Beispiel etwa 0,5 nm bis etwa 3 nm betragen, aber es sind auch andere Abstände möglich. Wie in 8 gezeigt ist, können durch Entfernen der Opferschicht 48 Seitenwände der Ätzstoppschicht 32 freigelegt werden, die zuvor von der Opferschicht 48 bedeckt gewesen sind.
  • In 15 wird bei einigen Ausführungsformen ein leitfähiges Material 56 so abgeschieden, dass es die Durchkontaktierungsöffnung 42 füllt. Das leitfähige Material 56 kann dem leitfähigen Material 56 ähnlich sein, das unter Bezugnahme auf 9 beschrieben worden ist, und kann in einer ähnlichen Weise abgeschieden werden. In 16 kann bei einigen Ausführungsformen ein Planarisierungsprozess durchgeführt werden, um überschüssige Teile des leitfähigen Materials 56 zu entfernen, sodass die Durchkontaktierung 58 entsteht. 16 zeigt außerdem die Herstellung einer optionalen dielektrischen Ätzstoppschicht 62, die der dielektrischen Ätzstoppschicht 62 ähnlich sein kann, die unter Bezugnahme auf 10 beschrieben worden ist. Auf diese Weise kann eine Durchkontaktierung 58 über einem leitfähigen Strukturelement 30 unter Verwendung einer metallischen Haftschicht 46 hergestellt werden, die mit einem selektiven Abscheidungsverfahren hergestellt wird.
  • In den 17A bis 17C sind metallische Haftschichten 46 gezeigt, die bei einigen Ausführungsformen andere Oberseiten haben. Die 17A bis 17C zeigen vergrößerte Teile von Querschnitten, die den zuvor dargestellten Querschnitten (z. B. 10 oder 16) ähnlich sind. Einige oder alle metallischen Haftschichten 46, die in den 17A bis 17C gezeigt sind, haben eine Dicke, die größer als die Dicke T1 der Ätzstoppschicht 32 ist, aber bei anderen Ausführungsformen kann die gesamte metallische Haftschicht 46 oder ein Teil davon eine Dicke haben, die etwa gleich der oder kleiner als die Dicke T1 der Ätzstoppschicht 32 ist. 17A zeigt eine Ausführungsform, bei der die metallische Haftschicht 46 eine im Wesentlichen ebene Oberseite hat. Eine metallische Haftschicht 46 mit einer ebenen Oberseite kann zum Beispiel durch konforme Abscheidung und anschließende Dünnung (wie sie z. B. unter Bezugnahme auf die 4 und 5 beschrieben worden ist) oder durch selektive Abscheidung (wie sie z. B. unter Bezugnahme auf 11 beschrieben worden ist) hergestellt werden. 17B zeigt eine Ausführungsform, bei der die metallische Haftschicht 46 eine im Wesentlichen konvexe Oberseite hat. Eine metallische Haftschicht 46 mit einer konvexen Oberseite kann zum Beispiel durch selektive Abscheidung (wie sie z. B. unter Bezugnahme auf 11 beschrieben worden ist) hergestellt werden. In einigen Fällen kann die konvexe Form durch eine kleinere Abscheidungsrate des Materials für die metallische Haftschicht 46 auf der dielektrischen Schicht 34 erzielt werden. 17C zeigt eine Ausführungsform, bei der die metallische Haftschicht 46 eine im Wesentlichen konkave Oberseite hat. Eine metallische Haftschicht 46 mit einer konkaven Oberseite kann zum Beispiel durch konforme Abscheidung und anschließende Dünnung (wie sie z. B. unter Bezugnahme auf die 4 und 5 beschrieben worden ist) hergestellt werden. In einigen Fällen kann die konkave Form mit dem Ätzprozess erzielt werden, in dem die metallische Haftschicht 46 gedünnt wird, wodurch weniger Material in der Nähe der Oberflächen der dielektrischen Schicht 34 (z. B. in der Nähe der Seitenwände der Durchkontaktierungsöffnung 42) entfernt wird. In einigen Fällen kann eine metallische Haftschicht 46 mit einer konkaven Oberseite einen kleineren elektrischen Widerstand als eine metallische Haftschicht 46 mit einer konvexen Oberseite haben. Die Formen der metallischen Haftschicht 46, die in den 17A bis 17C gezeigt sind, sind lediglich Beispiele, und es kann eine metallische Haftschicht 46 hergestellt werden, die eine Oberseite mit einer anderen Form hat, wie etwa einer unregelmäßigen Form oder einer Kombination aus ebenen, konvexen oder konkaven Oberseiten.
  • 18 zeigt eine Ausführungsform, bei der vor der Abscheidung des leitfähigen Materials 56 eine weitere metallische Haftschicht 60 abgeschieden wird. Die weitere metallische Haftschicht 60 kann ein Material wie Cobalt, Ruthenium oder dergleichen oder eine Kombination davon sein. Die weitere metallische Haftschicht 60 kann das gleiche Material wie, oder ein anderes Material als, die darunter befindliche metallische Haftschicht 46 sein. Die weitere metallische Haftschicht 60 kann mit einem geeigneten Abscheidungsverfahren wie PVD, CVD, ALD oder dergleichen sowie mit den Verfahren hergestellt werden, die vorstehend für die Abscheidung der metallischen Haftschicht 46 beschrieben worden sind. Bei einigen Ausführungsformen kann die weitere metallische Haftschicht 60 mit einer Dicke T10 von etwa 1 nm bis etwa 4 nm hergestellt werden. In einigen Fällen können durch Abscheiden einer weiteren metallischen Haftschicht 60 die Haftung und die thermische Stabilität der Grenzfläche zwischen dem leitfähigen Material 56 und der Sperrschicht 50' verbessert werden.
  • 19 zeigt ein Ablaufdiagramm für ein Verfahren 200 zum Herstellen einer Durchkontaktierung gemäß einigen Ausführungsformen. Es versteht sich, dass das in 19 gezeigte beispielhafte Verfahren lediglich ein Beispiel für zahlreiche mögliche beispielhafte Verfahren ist. Ein Durchschnittsfachmann dürfte zahlreiche Abwandlungen, Alternativen und Modifikationen erkennen. Zum Beispiel können verschiedene Schritte, die in 19 gezeigt sind, hinzugefügt, weggelassen, ersetzt, umgeordnet und wiederholt werden.
  • 19 wird in einem Schritt 201 eine Öffnung durch eine dielektrische Schicht geätzt, um ein leitfähiges Strukturelement freizulegen. Dies ist zum Beispiel in 3 gezeigt. In einem Schritt 202 wird eine metallische Haftschicht auf dem leitfähigen Strukturelement in der Öffnung abgeschieden. Dies ist zum Beispiel in 4, in der eine metallische Haftschicht konform abgeschieden wird, oder in 11 gezeigt, in der eine metallische Haftschicht selektiv abgeschieden wird. In einem Schritt 203 wird eine Opferschicht auf der metallischen Haftschicht in der Öffnung abgeschieden. Dies ist zum Beispiel in 6 oder 12 gezeigt. In einem Schritt 204 wird eine Sperrschicht in der Öffnung abgeschieden. Dies ist zum Beispiel in 7 oder 13 gezeigt. In einem Schritt 205 wird die Opferschicht entfernt. Dies ist zum Beispiel in 8 oder 14 gezeigt. Bei einigen Ausführungsformen wird durch Entfernen der Opferschicht auch die Sperrschicht verdichtet. In einem Schritt 206 wird ein leitfähiges Material auf der metallischen Haftschicht in der Öffnung abgeschieden, sodass eine Durchkontaktierung entsteht. Dies ist zum Beispiel in 10 oder 16 gezeigt.
  • Die Ausführungsformen der vorliegenden Erfindung haben mehrere Vorzüge. Durch Verwenden einer Opferschicht zum Blockieren der Bildung einer Sperrschicht auf einem leitfähigen Strukturelement kann ein Kontaktwiderstand einer Grenzfläche zwischen dem leitfähigen Strukturelement und einem darüber befindlichen leitfähigen Material reduziert werden, wodurch eine Vorrichtungsleistung verbessert werden kann. Außerdem kann durch das Herstellen einer metallischen Haftschicht zwischen dem leitfähigen Strukturelement und dem darüber befindlichen leitfähigen Material die thermische Stabilität der Grenzfläche verbessert werden, wodurch ein zeitabhängiger dielektrischer Durchschlag (TDDB) der Vorrichtung reduziert werden kann und die Ausbeute verbessert werden kann. Die metallische Haftschicht kann mit verschiedenen Prozessen hergestellt werden, wie etwa mit einem konformen Abscheidungsprozess und einem anschließenden Dünnungsprozess oder mit einem selektiven Abscheidungsprozess, in dem die metallische Haftschicht selektiv auf dem leitfähigen Strukturelement abgeschieden wird. Die hier beschriebenen Verfahren können zum Herstellen von verschiedenen leitfähigen Strukturelementen verwendet werden, wie etwa von Metallleitungen, Durchkontaktierungen, Verbindungen, BEOL-Strukturelementen (BEOL: Back End of Line) oder dergleichen.
  • Bei einigen Ausführungsformen der vorliegenden Erfindung weist eine Struktur Folgendes auf: ein erstes leitfähiges Strukturelement in einer ersten dielektrischen Schicht; eine zweite dielektrische Schicht über der ersten dielektrischen Schicht; und ein zweites leitfähiges Strukturelement, das sich durch die zweite dielektrische Schicht erstreckt, um das erste leitfähige Strukturelement physisch zu kontaktieren, wobei das zweite leitfähige Strukturelement Folgendes umfasst: eine metallische Haftschicht über und in physischem Kontakt mit dem ersten leitfähigen Strukturelement, eine Sperrschicht, die sich über der metallischen Haftschicht entlang Seitenwänden der zweiten dielektrischen Schicht erstreckt, und ein leitfähiges Füllmaterial, das sich über der metallischen Haftschicht und der Sperrschicht erstreckt, wobei sich ein über der metallischen Haftschicht angeordneter Teil des leitfähigen Füllmaterials zwischen der Sperrschicht und der metallischen Haftschicht erstreckt. Bei einer Ausführungsform weist die Struktur eine Ätzstoppschicht zwischen der ersten dielektrischen Schicht und der zweiten dielektrischen Schicht auf, wobei sich das zweite leitfähige Strukturelement durch die Ätzstoppschicht erstreckt. Bei einer Ausführungsform ist eine Dicke der metallischen Haftschicht kleiner als eine Dicke der Ätzstoppschicht. Bei einer Ausführungsform weist die Ätzstoppschicht keine Sperrschicht auf. Bei einer Ausführungsform weist die Sperrschicht Tantalnitrid (TaN) auf. Bei einer Ausführungsform ist eine Oberseite der metallischen Haftschicht konkav. Bei einer Ausführungsform ist eine Oberseite der metallischen Haftschicht konvex. Bei einer Ausführungsform hat der Teil des leitfähigen Füllmaterials, der sich zwischen der Sperrschicht und der metallischen Haftschicht erstreckt, eine Dicke von 0,5 nm bis etwa 3 nm.
  • Gemäß einigen Ausführungsformen der vorliegenden Erfindung weist eine integrierte Schaltkreisstruktur Folgendes auf: eine erste Zwischenmetall-Dielektrikumschicht (IMD) über einem Substrat; eine Metallleitung in der ersten IMD; eine zweite IMD über der ersten IMD; und eine Durchkontaktierung in der zweiten IMD, wobei die Durchkontaktierung die Metallleitung physisch kontaktiert und Folgendes umfasst: ein Füllmaterial, das ein erstes leitfähiges Material umfasst, eine erste Haftschicht, die sich zwischen dem Füllmaterial und der Metallleitung erstreckt, wobei die erste Haftschicht ein zweites leitfähiges Material aufweist, und eine Diffusionssperrschicht, die sich über der ersten Haftschicht und zwischen dem Füllmaterial und der zweiten IMD erstreckt, wobei die erste Haftschicht keine Diffusionssperrschicht aufweist, wobei die Diffusionssperrschicht ein drittes leitfähiges Material aufweist, und wobei das Füllmaterial einen über der metallischen Haftschicht angeordneten Teil aufweist, der sich zwischen der Diffusionssperrschicht und der ersten Haftschicht erstreckt. Bei einer Ausführungsform ist das erste leitfähige Material Kupfer, und das zweite leitfähige Material ist Cobalt. Bei einer Ausführungsform hat die erste Haftschicht eine Dicke von 0,5 nm bis 3,5 nm. Bei einer Ausführungsform weist die integrierte Schaltkreisstruktur weiterhin eine Ätzstoppschicht auf, die sich über der Metallleitung erstreckt, wobei sich die Durchkontaktierung durch die Ätzstoppschicht erstreckt. Bei einer Ausführungsform ist eine Seitenwand der Ätzstoppschicht von der ersten Haftschicht bedeckt. Bei einer Ausführungsform kontaktiert das Füllmaterial eine Seitenwand der Ätzstoppschicht physisch. Bei einer Ausführungsform weist die integrierte Schaltkreisstruktur weiterhin eine zweite Haftschicht auf, die sich über der Diffusionssperrschicht und der ersten Haftschicht erstreckt. Bei einer Ausführungsform weist die zweite Haftschicht das zweite leitfähige Material auf.
  • Gemäß einigen Ausführungsformen der vorliegenden Erfindung weist ein Verfahren zum Herstellen einer Halbleitervorrichtung die folgenden Schritte auf: Herstellen eines leitfähigen Strukturelements in einer ersten dielektrischen Schicht; Herstellen einer zweiten dielektrischen Schicht über der ersten dielektrischen Schicht; Ätzen einer Öffnung durch die zweite dielektrische Schicht, wobei durch das Ätzen eine Oberfläche des leitfähigen Strukturelements freigelegt wird; Abscheiden einer metallischen Haftschicht in der Öffnung, wobei die metallische Haftschicht die freigelegte Oberfläche des leitfähigen Strukturelements bedeckt; Abscheiden einer Opferschicht in der Öffnung, wobei die Opferschicht selektiv auf der metallischen Haftschicht über Oberflächen der zweiten dielektrischen Schicht entsteht; Abscheiden einer Sperrschicht in der Öffnung, wobei die Sperrschicht selektiv auf Oberflächen der zweiten dielektrischen Schicht über der Opferschicht entsteht; Entfernen der Opferschicht; und Abscheiden eines leitfähigen Materials, um die Öffnung zu füllen, wobei das leitfähige Material die metallische Haftschicht bedeckt. Bei einer Ausführungsform weist die metallische Haftschicht Benzotriazol (BTA) auf. Bei einer Ausführungsform umfasst das Abscheiden der metallischen Haftschicht einen CVD-Prozess (CVD: chemische Aufdampfung), mit dem die metallische Haftschicht selektiv auf dem leitfähigen Strukturelement über der zweiten dielektrischen Schicht hergestellt wird. Bei einer Ausführungsform umfasst das Abscheiden der metallischen Haftschicht Folgendes: konformes Abscheiden der metallischen Haftschicht in der Öffnung; und nach dem Abscheiden der metallischen Haftschicht Durchführen eines Ätzprozesses zum Dünnen der metallischen Haftschicht.

Claims (20)

  1. Struktur (100) mit: einem ersten leitfähigen Strukturelement (30) in einer ersten dielektrischen Schicht (24); einer zweiten dielektrischen Schicht (34) über der ersten dielektrischen Schicht (24); und einem zweiten leitfähigen Strukturelement (58), das sich durch die zweite dielektrische Schicht (34) erstreckt, um das erste leitfähige Strukturelement (30) physisch zu kontaktieren, wobei das zweite leitfähige Strukturelement (58) Folgendes aufweist: eine metallische Haftschicht (46) über und in physischem Kontakt mit dem ersten leitfähigen Strukturelement (30), eine Sperrschicht (50), die sich über der metallischen Haftschicht (46) entlang Seitenwänden der zweiten dielektrischen Schicht (34) erstreckt, und ein leitfähiges Füllmaterial (56), das sich über der metallischen Haftschicht (46) und der Sperrschicht (50) erstreckt, wobei sich ein über der metallischen Haftschicht (46) angeordneter Teil des leitfähigen Füllmaterials (56) zwischen der Sperrschicht (50) und der metallischen Haftschicht (46) erstreckt.
  2. Struktur (100) nach Anspruch 1, die weiterhin eine Ätzstoppschicht (32) zwischen der ersten dielektrischen Schicht (24) und der zweiten dielektrischen Schicht (34) aufweist, wobei sich das zweite leitfähige Strukturelement (58) durch die Ätzstoppschicht (32) erstreckt und/oder eine Seitenwand der Ätzstoppschicht (32) von der Haftschicht (46) bedeckt ist.
  3. Struktur (100) nach Anspruch 2, wobei eine Dicke der metallischen Haftschicht (46) kleiner als eine Dicke der Ätzstoppschicht (32) ist.
  4. Struktur (100) nach Anspruch 1 oder 2, wobei die Ätzstoppschicht (32) keine Sperrschicht (50) aufweist.
  5. Struktur (100) nach einem der vorhergehenden Ansprüche, wobei die Sperrschicht (50) Tantalnitrid (TaN) aufweist.
  6. Struktur (100) nach einem der vorhergehenden Ansprüche, wobei die Oberseite der metallischen Haftschicht (46) konkav ist.
  7. Struktur (100) nach einem der Ansprüche 1 bis 5, wobei die Oberseite der metallischen Haftschicht (46) konvex ist.
  8. Struktur (100) nach einem der vorhergehenden Ansprüche, wobei der Teil des leitfähigen Füllmaterials (56), der sich zwischen der Sperrschicht (50) und der metallischen Haftschicht (46) erstreckt, eine Seitenwand der Ätzstoppschicht (32) physisch kontaktiert und/oder der Abstand zwischen der Sperrschicht (50) und der metallischen Haftschicht (46) 0,5 nm bis 3 nm beträgt.
  9. Integrierte Schaltkreisstruktur (100) mit: einer ersten Zwischenmetall-Dielektrikumschicht (24), IMD, über einem Substrat (20); einer Metallleitung (30) in der ersten IMD (24); einer zweiten IMD (34) über der ersten IMD (24); und einer Durchkontaktierung (58) in der zweiten IMD (34), wobei die Durchkontaktierung (58) die Metallleitung (30) physisch kontaktiert und Folgendes aufweist: ein Füllmaterial (56), das ein erstes leitfähiges Material umfasst, eine erste Haftschicht (46), die sich zwischen dem Füllmaterial (56) und der Metallleitung (30) erstreckt, wobei die erste Haftschicht (46) ein zweites leitfähiges Material aufweist, und eine Diffusionssperrschicht (50), die sich über der ersten Haftschicht (46) und zwischen dem Füllmaterial (56) und der zweiten IMD (34) erstreckt, wobei die erste Haftschicht (46) keine Diffusionssperrschicht (50) aufweist, wobei die Diffusionssperrschicht (50) ein drittes leitfähiges Material aufweist, und wobei das Füllmaterial (56) einen über der metallischen Haftschicht (46) angeordneten Teil aufweist, der sich zwischen der Diffusionssperrschicht (50) und der ersten Haftschicht (46) erstreckt.
  10. Integrierte Schaltkreisstruktur (100) nach Anspruch 9, wobei das erste leitfähige Material Kupfer ist und das zweite leitfähige Material Cobalt ist.
  11. Integrierte Schaltkreisstruktur (100) nach Anspruch 9 oder 10, wobei die erste Haftschicht (46) eine Dicke von 0,5 nm bis 3,5 nm hat.
  12. Integrierte Schaltkreisstruktur (100) nach einem der Ansprüche 9 bis 11, die weiterhin eine Ätzstoppschicht (32) aufweist, die sich über der Metallleitung (30) erstreckt, wobei sich die Durchkontaktierung (58) durch die Ätzstoppschicht (32) erstreckt.
  13. Integrierte Schaltkreisstruktur (100) nach Anspruch 12, wobei eine Seitenwand der Ätzstoppschicht (32) von der ersten Haftschicht (46) bedeckt ist.
  14. Integrierte Schaltkreisstruktur (100) nach Anspruch 11 oder 12, wobei das Füllmaterial (56) eine Seitenwand der Ätzstoppschicht (32) physisch kontaktiert.
  15. Integrierte Schaltkreisstruktur (100) nach einem der Ansprüche 9 bis 14, die weiterhin eine zweite Haftschicht (60) aufweist, die sich über der Diffusionssperrschicht (50) und der ersten Haftschicht (46) erstreckt.
  16. Integrierte Schaltkreisstruktur (100) nach Anspruch 15, wobei die zweite Haftschicht (60) das zweite leitfähige Material aufweist.
  17. Verfahren (200) zum Herstellen einer Halbleitervorrichtung (100) mit den folgenden Schritten: Herstellen eines leitfähigen Strukturelements (30) in einer ersten dielektrischen Schicht (24); Herstellen einer zweiten dielektrischen Schicht (34) über der ersten dielektrischen Schicht (24); Ätzen (201) einer Öffnung (42) durch die zweite dielektrische Schicht (34), wobei durch das Ätzen eine Oberfläche des leitfähigen Strukturelements (30) freigelegt wird; Abscheiden (202) einer metallischen Haftschicht (46) in der Öffnung (42), wobei die metallische Haftschicht (46) die freigelegte Oberfläche des leitfähigen Strukturelements (30) bedeckt; Abscheiden (203) einer Opferschicht (48) in der Öffnung (42), wobei die Opferschicht (48) selektiv auf der metallischen Haftschicht (46) über Oberflächen der zweiten dielektrischen Schicht (34) entsteht; Abscheiden (204) einer Sperrschicht (50) in der Öffnung (42), wobei die Sperrschicht (50) selektiv auf Oberflächen der zweiten dielektrischen Schicht (34) über der Opferschicht (48) entsteht; Entfernen (205) der Opferschicht (48); und Abscheiden (206) eines leitfähigen Materials (56), um die Öffnung (42) zu füllen, wobei das leitfähige Material (56) die metallische Haftschicht (46) bedeckt.
  18. Verfahren (200) nach Anspruch 17, wobei die metallische Haftschicht (46) Benzotriazol (BTA) aufweist.
  19. Verfahren (200) nach Anspruch 17 oder 18, wobei das Abscheiden (202) der metallischen Haftschicht (46) einen chemischen Aufdampfungsprozess, CVD-Prozess, umfasst, mit dem die metallische Haftschicht (46) selektiv auf dem leitfähigen Strukturelement (30) über der zweiten dielektrischen Schicht (34) hergestellt wird.
  20. Verfahren (200) nach einem der Ansprüche 17 bis 19, wobei das Abscheiden (202) der metallischen Haftschicht (46) Folgendes umfasst: konformes Abscheiden der metallischen Haftschicht (46) in der Öffnung (42); und nach dem Abscheiden der metallischen Haftschicht (46) Durchführen eines Ätzprozesses zum Dünnen der metallischen Haftschicht (46).
DE102020122807.4A 2020-08-25 2020-09-01 Durchkontaktierung für halbleitervorrichtung und verfahren Active DE102020122807B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/001,917 US11810857B2 (en) 2020-08-25 2020-08-25 Via for semiconductor device and method
US17/001,917 2020-08-25

Publications (2)

Publication Number Publication Date
DE102020122807A1 DE102020122807A1 (de) 2022-03-03
DE102020122807B4 true DE102020122807B4 (de) 2023-05-25

Family

ID=79181163

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102020122807.4A Active DE102020122807B4 (de) 2020-08-25 2020-09-01 Durchkontaktierung für halbleitervorrichtung und verfahren

Country Status (5)

Country Link
US (2) US11810857B2 (de)
KR (1) KR102537740B1 (de)
CN (1) CN113793842A (de)
DE (1) DE102020122807B4 (de)
TW (1) TWI810612B (de)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220108917A1 (en) * 2020-10-06 2022-04-07 Applied Materials, Inc. Low resistance and high reliability metallization module
CN114093813A (zh) * 2022-01-24 2022-02-25 澳芯集成电路技术(广东)有限公司 一种用于半导体器件的接触孔的制作方法
US20240047350A1 (en) * 2022-08-03 2024-02-08 Nanya Technology Corporation Metal structure having funnel-shaped interconnect and method of manufacturing the same

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050127511A1 (en) 2003-12-16 2005-06-16 Chih-Chao Yang Interconnect structures and methods of making thereof
CN102376641A (zh) 2011-11-24 2012-03-14 上海华力微电子有限公司 铜填充硅通孔的制作方法
US20150214093A1 (en) 2005-08-31 2015-07-30 Lam Research Corporation Processes and systems for engineering a barrier surface for copper deposition

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001291720A (ja) * 2000-04-05 2001-10-19 Hitachi Ltd 半導体集積回路装置および半導体集積回路装置の製造方法
US20060246699A1 (en) * 2005-03-18 2006-11-02 Weidman Timothy W Process for electroless copper deposition on a ruthenium seed
DE102006056626A1 (de) * 2006-11-30 2008-06-05 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum selektiven Herstellen einer leitenden Barrierenschicht durch ALD
US7651943B2 (en) * 2008-02-18 2010-01-26 Taiwan Semicondcutor Manufacturing Company, Ltd. Forming diffusion barriers by annealing copper alloy layers
US20100081274A1 (en) 2008-09-29 2010-04-01 Tokyo Electron Limited Method for forming ruthenium metal cap layers
DE102008049775B4 (de) * 2008-09-30 2018-08-09 Globalfoundries Inc. Herstellungsverfahren einer Metalldeckschicht mit besserer Ätzwiderstandsfähigkeit für kupferbasierte Metallgebiete in Halbleiterbauelementen
US8058728B2 (en) * 2008-09-30 2011-11-15 Tokyo Electron Limited Diffusion barrier and adhesion layer for an interconnect structure
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US20140357078A1 (en) * 2013-05-29 2014-12-04 Globalfoundries Inc. Methods of forming conductive structures using a sacrificial material during an etching process that is performed to remove a metal hard mask
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9613852B2 (en) 2014-03-21 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method making the same
US9406804B2 (en) 2014-04-11 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with contact-all-around
US9443769B2 (en) 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
US9831183B2 (en) 2014-08-07 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and method of forming
US9559059B2 (en) * 2014-10-29 2017-01-31 Globalfoundries Inc. Methods of forming an improved via to contact interface by selective formation of a conductive capping layer
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9589836B1 (en) * 2016-03-11 2017-03-07 Globalfoundries Inc. Methods of forming ruthenium conductive structures in a metallization layer
US9548366B1 (en) 2016-04-04 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned contact scheme
US11398406B2 (en) 2018-09-28 2022-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Selective deposition of metal barrier in damascene processes
US11362035B2 (en) * 2020-03-10 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Diffusion barrier layer for conductive via to decrease contact resistance

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050127511A1 (en) 2003-12-16 2005-06-16 Chih-Chao Yang Interconnect structures and methods of making thereof
US20150214093A1 (en) 2005-08-31 2015-07-30 Lam Research Corporation Processes and systems for engineering a barrier surface for copper deposition
CN102376641A (zh) 2011-11-24 2012-03-14 上海华力微电子有限公司 铜填充硅通孔的制作方法

Also Published As

Publication number Publication date
CN113793842A (zh) 2021-12-14
KR102537740B1 (ko) 2023-05-26
US20220068826A1 (en) 2022-03-03
US11810857B2 (en) 2023-11-07
TWI810612B (zh) 2023-08-01
TW202209612A (zh) 2022-03-01
DE102020122807A1 (de) 2022-03-03
US20230369224A1 (en) 2023-11-16
KR20220026452A (ko) 2022-03-04

Similar Documents

Publication Publication Date Title
DE102020122807B4 (de) Durchkontaktierung für halbleitervorrichtung und verfahren
DE102016100766B4 (de) Strukturierung von durchkontaktierungen durch mehrfachfotolithografie und mehrfachätzung
DE102015107271B4 (de) Zwei- oder mehrteilige Ätzstoppschicht in integrierten Schaltungen
DE102014115934B4 (de) Zwei-Schritt-Ausbildung von Metallisierungen
DE102017127530A1 (de) Verbindungsstruktur und Verfahren
DE102020119184A1 (de) Diffusionssperre für halbleitervorrichtung und verfahren
DE60127767T2 (de) Verfahren zur Herstellung von metallisierten Verbindungsstrukturen in einem integrierten Schaltkreis
DE102016101777B4 (de) Verfahren zur Bearbeitung eines Wafers und Verfahren
DE102016100012B4 (de) Verfahren zur herstellung von bondstrukturen
DE102016100323B4 (de) Verringern der Dual-Damascene-Verwerfung in integrierten Schaltkreisstrukturen
DE102017127285A1 (de) Verbesserung der Abscheidungsselektivität und Herstellungsverfahren dafür
DE102018221806B4 (de) Verfahren zur herstellung von back-end-of-line-strukturen mit luftspalten
DE102014109352B4 (de) Zusammengesetzte kontaktstöpsel-struktur und verfahren zur herstellung
DE102018126993B4 (de) Oberflächenänderungsschicht zum bilden eines leitenden merkmals
DE102019117894A1 (de) Ätzstoppschicht mit hoher durchbruchspannung
DE102020135142B4 (de) Tsv-struktur mit mehreren belägen und verfahren zu deren herstellung
DE102014209002A1 (de) Verfahren zum Herstellen integrierter Schaltungen
DE102020132089B4 (de) Passivierungsstruktur mit erhöhter dicke für metallpads und verfahren zu ihrer herstellung
DE102021108491A1 (de) Interconnect-struktur mit stapel aus dielektrischer kappschicht und ätzstoppschicht
DE102021111910A1 (de) Interconnect-struktur und deren herstellungsverfahren
DE102018131694A1 (de) Selektives abscheiden einer metallsperrschicht bei damascene-prozessen
DE102017117962A1 (de) Halbleiter-bauelement und verfahren zu dessen herstellung
DE102018123499A1 (de) Prozesssteuerung für Package-Herstellung
DE102021114103A1 (de) Metallische hartmasken zum reduzieren der leitungskrümmung
DE102022100822A1 (de) Verringerung der oxidation durch gesondertes ätzen der opfer- und der schutzschicht

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final