JP2001291720A - 半導体集積回路装置および半導体集積回路装置の製造方法 - Google Patents

半導体集積回路装置および半導体集積回路装置の製造方法

Info

Publication number
JP2001291720A
JP2001291720A JP2000104015A JP2000104015A JP2001291720A JP 2001291720 A JP2001291720 A JP 2001291720A JP 2000104015 A JP2000104015 A JP 2000104015A JP 2000104015 A JP2000104015 A JP 2000104015A JP 2001291720 A JP2001291720 A JP 2001291720A
Authority
JP
Japan
Prior art keywords
integrated circuit
circuit device
semiconductor integrated
film
manufacturing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000104015A
Other languages
English (en)
Other versions
JP2001291720A5 (ja
Inventor
Junji Noguchi
純司 野口
Tadashi Ohashi
直史 大橋
Tatsuyuki Saito
達之 齋藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Priority to JP2000104015A priority Critical patent/JP2001291720A/ja
Priority to TW090107703A priority patent/TW531892B/zh
Priority to KR1020010018003A priority patent/KR100698987B1/ko
Priority to US09/825,946 priority patent/US6764950B2/en
Publication of JP2001291720A publication Critical patent/JP2001291720A/ja
Priority to US10/811,927 priority patent/US7232757B2/en
Publication of JP2001291720A5 publication Critical patent/JP2001291720A5/ja
Priority to US11/790,760 priority patent/US20080132059A1/en
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67219Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one polishing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76867Barrier, adhesion or liner layers characterized by methods of formation other than PVD, CVD or deposition from a liquids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

(57)【要約】 【課題】 ダマシン法を用いて形成された銅配線の絶縁
破壊耐性(信頼性)を向上する。 【解決手段】 酸化シリコン膜39の配線溝40に埋め
込むCu配線46a〜46eをCMPを用いた研磨で形
成し、CMP後の洗浄工程を経た後に、酸化シリコン膜
39およびCu配線46a〜46eの表面を還元性プラ
ズマ(アンモニアプラズマ)で処理する。その後、真空
破壊することなく、連続的にキャップ膜(窒化シリコン
膜)を形成する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体集積回路装
置の製造方法および半導体集積回路装置技術に関し、特
に、銅を主導電層とする埋め込み配線を有する半導体集
積回路装置の製造方法および半導体集積回路装置に適用
して有効な技術に関するものである。
【0002】
【従来の技術】半導体集積回路装置、半導体装置、電子
回路装置または電子装置等を構成する配線の形成技術と
しては、絶縁膜上に、例えばアルミニウムまたはタング
ステン等のような導体膜を堆積した後、これを通常のフ
ォトリソグラフィ技術およびドライエッチング技術によ
ってパターニングすることで配線を形成する技術が確立
されている。
【0003】しかし、上記配線形成技術においては、上
記半導体集積回路装置等を構成する素子や配線の微細化
に伴い、配線抵抗の増大が顕著となり、配線遅延が生じ
る結果、半導体集積回路装置等の性能をさらに向上させ
る上で限界が生じつつある。そこで、近年は、例えばダ
マシン(Damascene)と呼ばれる配線形成技術が検討さ
れている。このダマシン法は、シングルダマシン(Sing
le-Damascene)法とデュアルダマシン(Dual-Damascen
e)法とに大別できる。
【0004】シングルダマシン法は、例えば絶縁膜に配
線溝を形成した後、その絶縁膜上および配線溝内に配線
形成用の主導電層を堆積し、さらに、その主導電層を、
例えば化学的機械的研磨法(CMP;Chemical Mechani
cal Polishing)によって配線溝内のみに残されるよう
に研磨することにより、配線溝内に埋め込み配線を形成
する方法である。
【0005】また、デュアルダマシン法は、絶縁膜に配
線溝および下層配線との接続を行うための接続孔を形成
した後、その絶縁膜上、配線溝および接続孔内に配線形
成用の主導電層を堆積し、さらに、その主導電層をCM
P等によって配線溝および接続孔内のみに残されるよう
に研磨することにより、配線溝および接続孔内に埋め込
み配線を形成する方法である。
【0006】いずれの方法においても、配線の主導電層
材料としては、半導体集積回路装置の性能を向上させる
観点等から、例えば銅等のような低抵抗な材料が使用さ
れる。銅はアルミニウムよりも低抵抗で信頼性における
許容電流が2桁以上大きいという利点を持つ。したがっ
て、同じ配線抵抗を得るのに膜を薄くすることができる
ので、隣接する配線間の容量も低減できるからである。
【0007】しかし、銅は、例えばアルミニウムやタン
グステン等のような他の金属と比較して絶縁膜中に拡散
され易いとされている。このため、銅を配線材料として
用いる場合、銅からなる主導電層の表面(底面および側
面)、すなわち、配線溝の内壁面(側面および底面)
に、銅の拡散を防止するための薄い導電性バリア膜を形
成する必要性があるとされている。また、配線溝が形成
された絶縁膜の上面上の全面に、上記埋め込み配線の上
面を覆うように、例えば窒化シリコン膜等からなるキャ
ップ膜を堆積することにより、埋め込み配線中の銅が、
埋め込み配線の上面から絶縁膜中に拡散するのを防止す
る技術がある。
【0008】なお、このような埋め込み配線技術につい
ては、例えば特開平10−154709号公報に記載が
あり、埋め込み型配線を、酸素濃度または硫黄濃度が3
ppm以下の高純度の銅とすることにより、銅の表面拡
散性や流動性を促進させて、微細でアスペクト比の高い
コンタクトホールの埋め込み性を向上させる技術が開示
されている。
【0009】また、例えば特開平11−87349号公
報には、絶縁膜に配線溝および接続孔を形成した後、純
度が99.999wt%(5N)以上のターゲットを用
いたスパッタリング法によって銅膜を形成する技術が開
示されている。また、この公報には、銅の埋め込み性を
容易にするため、配線溝および接続孔の表面に窒化チタ
ン/チタン膜をバリア層として形成する技術が開示され
ている。
【0010】また、例えば特開平11−87509号公
報または特開平11−220023号公報には、ビアの
底面のバリア層を除去し、ビアの抵抗を低減する技術が
開示されている。
【0011】また、例えば特開平11−16912号公
報には、接続孔の底部から露出する配線部分に形成され
た酸化層を、還元性の雰囲気中において、熱、プラズマ
または紫外線照射処理を施すことにより、消失させる技
術が開示されている。
【0012】
【発明が解決しようとする課題】ところが、本発明者ら
の検討結果によれば、上記銅を主導電層とする埋め込み
配線を有する半導体集積回路装置技術においては、以下
の課題があることを見い出した。
【0013】すなわち、第1に、銅を主導電層とする埋
め込み配線の寸法(配線の幅、厚さ、隣接配線の中心か
ら中心までの距離および隣接配線の間隔)が微細化され
るに連れて、配線断面積中に占める高抵抗の導電性バリ
ア膜の断面積が相対的に大きくなる結果、埋め込み配線
の抵抗が増大する問題がある。このため、性能向上のた
めに配線材料として銅を用いたのにもかかわらず、半導
体集積回路装置の性能向上が阻害される問題が生じる。
【0014】第2に、上記第1の問題を解決すべく、何
ら技術的な処理を施すことなく、ただ単純にバリア膜を
薄くしたりあるいは無くしたりすれば、配線抵抗の低減
は図れるが、銅の拡散が生じ、互いに隣接する埋め込み
配線間の絶縁破壊耐性が著しく低下する問題がある。こ
のため、信頼性の高い半導体集積回路装置を提供するこ
とができなくなる問題が生じる。また、半導体集積回路
装置の歩留まりが低下する結果、半導体集積回路装置の
コストが高くなる問題が生じる。
【0015】第3に、銅を主導電層とする埋め込み配線
上のキャップ膜として窒化シリコン膜を用いると、銅と
窒化シリコン膜との界面にシリサイド物が形成され、そ
の埋め込み配線の抵抗が増大する問題がある。また、こ
のシリサイド物は、後述するように銅の拡散の主要な原
因の1つであることが本発明者らの実験によって初めて
見出された。このため、半導体集積回路装置の性能向上
が阻害される問題がある。また、半導体集積回路装置の
歩留まりおよび信頼性が大幅に低下する問題が生じる。
【0016】第4に、埋め込み配線の配線層と、その上
層に形成された絶縁膜(例えば上記キャップ膜)との間
に剥離が生ずる問題がある。このため、半導体集積回路
装置の歩留まりおよび信頼性が大幅に低下する問題が生
じる。
【0017】そこで、本発明の目的は、銅を主導電層と
する埋め込み配線の抵抗を低減させることのできる技術
を提供することにある。
【0018】また、本発明の他の目的は、銅を主導電層
とする埋め込み配線間の絶縁破壊耐性を向上させること
のできる技術を提供することにある。
【0019】また、本発明の他の目的は、銅を主導電層
とする埋め込み配線の配線層とキャップ膜との密着性を
向上させることのできる技術を提供することにある。
【0020】また、本発明の他の目的は、銅を主導電層
とする埋め込み配線を有する半導体集積回路装置の信頼
性を向上させることのできる技術を提供することにあ
る。
【0021】また、本発明の他の目的は、銅を主導電層
とする埋め込み配線を有する半導体集積回路装置の歩留
まりを向上させることのできる技術を提供することにあ
る。
【0022】また、本発明の他の目的は、銅を主導電層
とする埋め込み配線を有する半導体集積回路装置の性能
を向上させることのできる技術を提供することにある。
【0023】本発明の前記ならびにその他の目的と新規
な特徴は、本明細書の記述および添付図面から明らかに
なるであろう。
【0024】
【課題を解決するための手段】本願において開示される
発明のうち、代表的なものの概要を簡単に説明すれば、
次のとおりである。
【0025】1.本発明は、絶縁膜に形成された凹部内
に導電性バリア層を介して埋め込まれた銅を主成分とす
る埋め込み配線層、上記絶縁膜および埋め込み配線層の
上面を覆うように形成されたキャップ絶縁膜を有し、上
記埋め込み配線層中の銅以外の成分の濃度は、半導体チ
ップとして完成した時点において、0.8At.%以下
とするものである。
【0026】2.本発明は、上記項1において、上記凹
部内の側壁部において、上記導電性バリア膜の最も厚い
部分または最も薄い部分の膜厚は10nm未満とするも
のである。
【0027】3.本発明は、上記項1において、上記凹
部の側壁部において、上記導電性バリア膜の最も厚い部
分または最も薄い部分の膜厚は2nm以下とするもので
ある。
【0028】4.本発明は、上記項1において、上記凹
部内に、上記導電性バリア膜自体が存在しないものであ
る。
【0029】5.本発明は、上記項1において、上記凹
部内に上記埋め込みメタル配線層が直接接しているもの
である。
【0030】6.本発明は、半導体基板上に形成された
絶縁膜に凹部を形成する工程、上記凹部内を含む絶縁膜
上に導電性バリア膜を堆積する工程、上記凹部内を含む
導電性バリア膜上に銅を主成分を有するメタル膜を堆積
する工程、上記メタル膜および導電性バリア膜を除去す
ることにより上記凹部内に導電性バリア膜を介して埋め
込みメタル配線層を形成する工程を有し、上記半導体基
板から形成された半導体チップの完成時点の上記埋め込
みメタル配線層における銅以外の成分の濃度が0.8A
t.%以下であり、上記埋め込みメタル配線層を形成す
るために、銅を主成分とするメタル膜を形成した時点で
の前記メタル膜の銅の純度は99.999%以上とする
ものである。
【0031】7.本発明は、上記項6において、上記メ
タル膜は、銅の純度が99.999%以上であるターゲ
ットを用いたスパッタリング法によって形成するもので
ある。
【0032】8.本発明は、上記項6において、上記メ
タル膜は、銅の純度は99.9999%以上であるター
ゲットを用いたスパッタリングによって形成するもので
ある。
【0033】9.本発明は、上記項6において、上記メ
タル膜を化学機械研磨法により除去して埋め込み配線層
を形成した後、上記絶縁膜および埋め込み配線層の上面
を、還元性を有する気体の雰囲気中でプラズマ処理する
工程、前記プラズマ処理後の上記絶縁膜および埋め込み
メタル配線層上にキャップ絶縁膜を形成する工程を有す
るものである。
【0034】10.本発明は、上記項9において、上記
還元性を有する気体の雰囲気は水素を主要な構成要素と
して含むものである。
【0035】11.本発明は、上記項9において、上記
還元性を有する気体の雰囲気は更に窒化作用を有するも
のである。
【0036】12.本発明は、上記項9において、上記
還元性を有する気体の雰囲気はアンモニアを主要な構成
要素として含むものである。
【0037】13.本発明は、上記項9において、上記
メタル膜を除去して埋め込みメタル配線層を形成する工
程は、砥粒フリー化学機械研磨で行われるものである。
【0038】14.本発明は、上記項9において、上記
銅以外の成分の濃度は0.02At.%以下とするもの
である。
【0039】15.本発明は、上記項9において、上記
凹部内の側壁部において、上記導電性バリア膜の最も厚
い部分または最も薄い部分の膜厚は10nm未満とする
ものである。
【0040】16.本発明は、上記項9において、上記
凹部内の側壁部において、上記導電性バリア膜の最も厚
い部分または最も薄い部分の膜厚は2nm以下とするも
のである。
【0041】17.本発明は、上記凹部を形成した後、
上記導電性バリア膜を堆積する工程に先立って、前記半
導体基板に対して、還元性を有する気体の雰囲気中でプ
ラズマ処理する工程を有するものである。
【0042】18.本発明は、半導体基板上に形成され
た絶縁膜に凹部を形成する工程、上記凹部内を含む絶縁
膜上に銅を主成分を有するメタル膜を導電性バリア膜を
介さずに堆積する工程、上記メタル膜を除去することに
より上記凹部内に導電性バリア膜を介さずに埋め込みメ
タル配線層を形成する工程を有し、上記半導体基板から
形成された半導体チップの完成時点の上記埋め込みメタ
ル配線層における銅以外の成分の濃度が0.8At.%
以下であり、上記埋め込みメタル配線層を形成するため
に、銅を主成分とするメタル膜を形成した時点での前記
メタル膜の銅の純度を99.999%以上とするもので
ある。
【0043】19.本発明は、半導体基板上の絶縁膜に
凹部を形成する工程、上記凹部を含む絶縁膜上に導電性
バリア膜を堆積する工程、上記凹部を含む導電性バリア
膜上に銅を主成分とするメタル膜を堆積する工程、上記
メタル膜および導電性バリア膜を除去することにより上
記凹部内に導電性バリア膜を介して埋め込みメタル配線
層を形成する工程、前記絶縁膜および埋め込みメタル配
線層上にキャップ絶縁膜を形成する工程とを有するダマ
シン配線形成工程を有し、上記半導体基板から形成され
た半導体チップの完成時点の上記埋め込みメタル配線層
における銅以外の成分の濃度を0.8At.%以下と
し、上記埋め込みメタル配線層を形成するために、銅を
主成分とするメタル膜を形成した時点での前記メタル膜
の銅の純度を99.999%以上とするものである。
【0044】20.本発明は、半導体基板上の絶縁膜に
埋め込み配線溝および接続孔を形成する工程、上記埋め
込み配線溝および接続孔を含む絶縁膜上に導電性バリア
膜を堆積する工程、上記埋め込み配線溝および接続孔を
含む導電性バリア膜上に銅を主成分とするメタル膜を堆
積する工程、上記メタル膜および導電性バリア膜を除去
することにより上記埋め込み配線溝および接続孔内に導
電性バリア膜を介して埋め込みメタル配線層を形成する
工程、上記絶縁膜および埋め込みメタル配線層上にキャ
ップ絶縁膜を形成する工程とを有するデュアルダマシン
配線形成工程を有し、上記半導体基板から形成された半
導体チップの完成時点の上記埋め込みメタル配線層にお
ける銅以外の成分の濃度を0.8At.%以下とし、上
記埋め込みメタル配線層を形成するために、銅を主成分
とするメタル膜を形成した時点での前記メタル膜の銅の
純度を99.999%以上とするものである。
【0045】21.本発明は、上記項20において、上
記埋め込み配線溝および接続孔を形成した後、上記導電
性バリア膜を堆積する工程に先立って、上記半導体基板
に対して、還元性を有する気体の雰囲気中でプラズマ処
理する工程を有するものである。
【0046】22.本発明は、上記項20において、上
記メタル膜を化学機械研磨法により除去して埋め込み配
線層を形成する工程の後、上記キャップ絶縁膜の形成工
程の前に、上記絶縁膜および埋め込み配線層の上面を、
還元性を有する気体の雰囲気中でプラズマ処理する工程
を有するものである。
【0047】
【発明の実施の形態】本願発明の実施の形態を説明する
にあたり、本願における用語の基本的な意味を説明する
と次の通りである。
【0048】1.TDDB(Time Dependence on Diele
ctric Breakdown)寿命とは、所定の温度(たとえば1
40℃)の測定条件下で電極間に比較的高い電圧を加
え、電圧印加から絶縁破壊までの時間を印加電界に対し
てプロットしたグラフを作成し、このグラフから実際の
使用電界強度(たとえば0.2MV/cm)に外挿して
求めた時間(寿命)をいう。図85は、本願のTDDB
寿命測定に使用した試料を示し、(a)は平面図、
(b)および(c)は(a)におけるB−B’線断面お
よびC−C’線断面を各々示す。この試料は実際には半
導体ウエハのTEG(Test Equipment Group)領域に形
成できる。図示するように一対の櫛形配線Lを第2配線
層M2に形成し、最上層のパットP1,P2に各々接続
する。この櫛形配線L間に電界が印加され電流が測定さ
れる。パットP1,P2は測定端子である。櫛形配線L
の配線幅、配線間隔、配線厚さは何れも0.5μmであ
る。また配線対向長は1.58×105μmとした。図
86は、測定の概要を示した概念図である。試料は測定
ステージSに保持され、パッドP1,P2間に電流電圧
測定器(I/V測定器)を接続する。試料ステージSは
ヒータHで加熱され試料温度が140℃に調整される。
図87は電流電圧測定結果の一例である。試料温度14
0℃、電界強度5MV/cmの場合を例示した。TDD
B寿命測定には定電圧ストレス法と低電流ストレス法と
があるが、本願では絶縁膜に印加される平均電界が一定
となる定電圧ストレス法を用いている。電圧印加の後、
時間の経過とともに電流密度は減少し、その後急激な電
流増加(絶縁破壊)が観測される。ここでは、リーク電
流密度が1μA/cm2に達した時間をTDDB寿命
(5MV/cmにおけるTDDB寿命)とした。なお、
本願において、TDDB寿命とは、特に言及しない限り
0.2MV/cmにおける破壊時間(寿命)をいうが、
広義には所定の電界強度に言及したうえで破壊までの時
間としてTDDB寿命の語を用いる場合もある。また、
特に言及しない限り、TDDB寿命は、試料温度140
℃の場合をいう。なお、TDDB寿命は前記の櫛形配線
Lで測定した場合をいうが、実際の配線間の破壊寿命を
反映することはいうまでもない。
【0049】2.プラズマ処理とは、プラズマ状態にあ
る環境に基板表面、あるいは、基板上に絶縁膜、金属膜
等の部材が形成されている時にはその部材表面を暴露
し、プラズマの化学的、機械的(ボンバードメント)作
用を表面に与えて処理することをいう。一般にプラズマ
は特定のガス(処理ガス)に置換した反応室内に必要に
応じて処理ガスを補充しつつ、高周波電界等の作用によ
りガスを電離させて生成するが、現実には完全に処理ガ
スで置換することはできない。よって、本願では、たと
えばアンモニアプラズマと称しても、完全なアンモニア
プラズマを意図するものではなく、そのプラズマ内に含
まれる不純物ガス(窒素、酸素、二酸化炭素、水蒸気
等)の存在を排除するものではない。同様に、言うまで
もないことであるが、プラズマ中に他の希釈ガスや添加
ガスを含むことを排除するものではない。
【0050】還元性雰囲気のプラズマとは、還元作用、
すなわち、酸素を引き抜く作用を有するラジカル、イオ
ン、原子、分子等の反応種が支配的に存在するプラズマ
環境をいい、ラジカル、イオンには、原子あるいは分子
状のラジカルあるいはイオンが含まれる。また、環境内
には単一の反応種のみならず、複数種の反応種が含まれ
ていても良い。たとえば水素ラジカルとNH2ラジカル
とが同時に存在する環境でもよい。
【0051】3.本願でガスの濃度という場合には、質
量流量における流量比を言うものとする。すなわち、ガ
スAとガスBとの混合ガスにおいて、ガスAの濃度が5
%という時には、ガスAの質量流量をFa、ガスBの質
量流量をFbとして、Fa/(Fa+Fb)=0.05
のことをいう。
【0052】4.化学機械研磨(CMP)とは、一般に
被研磨面を相対的に軟らかい布様のシート材料などから
なる研磨パッドに接触させた状態で、スラリを供給しな
がら面方向に相対移動させて研磨を行うことをいい、本
願においてはその他、被研磨面を硬質の砥石面に対して
相対移動させることによって研磨を行うCML(Chemica
l Mechanical Lapping)、その他の固定砥粒を使用する
もの、及び砥粒を使用しない砥粒フリーCMPなども含
むものとする。
【0053】砥粒フリー化学機械研磨は、一般に砥粒の
重量濃度が0.5%以下のスラリを用いた化学機械研磨
をいい、有砥粒化学機械研磨とは、砥粒の重量濃度が
0.5%よりも高濃度のスラリを用いた化学機械研磨を
いう。しかし、これらは相対的なものであり、第1ステ
ップの研磨が砥粒フリー化学機械研磨で、それに続く第
2ステップの研磨が有砥粒化学機械研磨である場合、第
1ステップの研磨濃度が第2ステップの研磨濃度よりも
1桁以上、望ましくは2桁以上小さい場合などには、こ
の第1ステップの研磨を砥粒フリー化学機械研磨という
場合もある。本明細書中において、砥粒フリー化学機械
研磨と言うときは、対象とする金属膜の単位平坦化プロ
セス全体を砥粒フリー化学機械研磨で行う場合の他、主
要プロセスを砥粒フリー化学機械研磨で行い、副次的な
プロセスを有砥粒化学機械研磨で行う場合も含むものと
する。
【0054】5.研磨液(スラリ)とは、一般に化学エ
ッチング薬剤に研磨砥粒を混合した懸濁液をいい、本願
においては発明の性質上、研磨砥粒が混合されていない
ものを含むものとする。
【0055】また、砥粒(スラリ粒子)とは、一般にス
ラリに含まれるアルミナ、シリカなどの粉末をいう。
【0056】6.防食剤とは、金属の表面に耐食性、疎
水性あるいはその両方の性質を有する保護膜を形成する
ことによって、CMPによる研磨の進行を阻止または抑
制する薬剤をいい、一般にベンゾトリアゾール(BT
A)などが使用される(詳しくは特開平8−64594
号公報参照)。
【0057】7.導電性バリア膜とは、一般に銅が層間
絶縁膜内や下層へ拡散するのを防止するために、埋め込
み配線の側面または底面に比較的薄く形成される拡散バ
リア性の導電膜であり、一般に、窒化チタン(Ti
N)、タンタル(Ta)、窒化タンタル(TaN)等の
ような高融点金属またはその窒化物等が使用される。
【0058】8.埋め込み配線または埋め込みメタル配
線とは、一般にシングルダマシン(single damascene)や
デュアルダマシン(dual damascene)などのように、絶縁
膜に形成された溝などの内部に導電膜を埋め込んだ後、
絶縁膜上の不要な導電膜を除去する配線形成技術によっ
てパターニングされた配線をいう。また、一般に、シン
グルダマシンとは、プラグメタルと、配線用メタルとの
2段階に分けて埋め込む、埋め込み配線プロセスを言
う。同様にデュアルダマシンとは、一般にプラグメタル
と、配線用メタルとを一度に埋め込む、埋め込み配線プ
ロセスを言う。一般に、銅埋め込み配線を多層構成で使
用されることが多い。
【0059】9.選択的除去、選択的研磨、選択的エッ
チング、選択的化学機械研磨というときは、いずれも選
択比が5以上のものをいう。
【0060】10.選択比について、「AのBに対す
る」(または「Bに対するAの」)選択比がXというと
きは、研磨レートを例にとった場合、Bに対する研磨レ
ートを基準にしてAに対する研磨レートを計算したとき
にXになることをいう。
【0061】11.本願において半導体集積回路装置と
いうときは、特に単結晶シリコン基板上に作られるもの
だけでなく、特にそうでない旨が明示された場合を除
き、SOI(Silicon On Insulator)基板やTFT(Thin
Film Transistor)液晶製造用基板などといった他の基板
上に作られるものを含むものとする。また、ウエハとは
半導体集積回路装置の製造に用いる単結晶シリコン基板
(一般にほぼ円盤形)、SOS基板、ガラス基板その他
の絶縁、半絶縁または半導体基板などやそれらを複合し
た基板をいう。
【0062】12.半導体集積回路ウエハ(半導体集積
回路基板)または半導体ウエハ(半導体基板)とは、半
導体集積回路の製造に用いるシリコンその他の半導体単
結晶基板(一般にほぼ平面円形状)、サファイア基板、
ガラス基板、その他の絶縁、反絶縁または半導体基板等
並びにそれらの複合的基板を言う。なお、基板表面の一
部または全部あるいはゲート電極の全部または一部を他
の半導体、例えばSiGe等で形成しても良い。
【0063】また、半導体集積回路チップ(半導体集積
回路基板)または半導体チップ(半導体基板)とは、ウ
エハ工程が完了した半導体ウエハを単位回路群に分割し
たものを言う。
【0064】13.シリコンナイトライド、窒化ケイ素
または窒化シリコン膜というときは、Si34のみでは
なく、シリコンの窒化物で類似組成の絶縁膜を含むもの
とする。
【0065】14.キャップ膜は、埋め込み配線の情報
の電気的接続部以外に形成される絶縁性および拡散バリ
ア性の高い絶縁膜で、一般に層間絶縁膜の主要部とは別
の材料、例えば窒化シリコン膜で形成される。
【0066】15.ウエハプロセスとは、前工程とも呼
ばれ、鏡面研磨ウエハ(ミラーウエハ)の状態から出発
し、素子および配線形成工程を経て、表面保護膜を形成
し、最終的にプローブにより電気的試験を行える状態に
するまでの工程をいう。
【0067】16.導電性バリア膜の配線溝(凹部)ま
たは接続孔(凹部)内におけるカバレージは、サイドカ
バレージと、ボトムカバレージとを有している。図88
は、絶縁膜60の上面およびその絶縁膜60に形成され
た配線溝61内に、バリア膜62をスパッタリング法で
堆積した状態を模式的に示している。バリア膜のデポ膜
厚という時は、一般的に絶縁膜60の上面上のバリア膜
62の膜厚D1を言う。サイドカバレージは、配線溝6
1内の側壁部(側面と底面との交差部における角部も含
む)におけるバリア膜62の被覆性をいい、その部分で
の膜厚D2が最も膜厚が薄くなる。また、ボトムカバレ
ージは、配線溝61内の底面におけるバリア膜62の被
覆性をいい、その部分での膜厚D3は上記デポ膜厚の次
に厚くなる。例えば本発明者らの実験結果によれば、例
えばアスペクト比が1の配線溝内に、バリア膜を指向性
を特に考慮しない通常のスパッタリング法で堆積した場
合においては、バリア膜のデポ膜厚が100nmで、サ
イドカバレージが30nm程度、ボトムカバレージが5
0nm程度であった。また、バリア膜をロングスロース
パッタリング法により堆積した場合においては、バリア
膜のデポ膜厚が100nmで、サイドカバレージが20
nm程度、ボトムカバレージが90nm程度であった。
【0068】17.ロングスロースパッタリング(Long
Throw Sputtering)法とは、ボトムカバレージ向上の
一方法であって、スパッタリング粒子の垂直成分だけを
基板に到達させるため、ターゲットと基板との間の距離
を離し、低圧で安定放電させるスパッタリング法を言
う。
【0069】18.コリメートスパッタリング法は、ア
スペクト比の大きな配線溝や接続孔等のような凹部に成
膜する際、底部まで充分な膜厚が得られるように、ター
ゲットと基板との間に格子状の板を挿入し、強制的に垂
直成分を高める機構を有するスパッタリング法を言う。
【0070】以下の実施の形態においては便宜上その必
要があるときは、複数のセクションまたは実施の形態に
分割して説明するが、特に明示した場合を除き、それら
はお互いに無関係なものではなく、一方は他方の一部ま
たは全部の変形例、詳細、補足説明等の関係にある。
【0071】また、以下の実施の形態において、要素の
数等(個数、数値、量、範囲等を含む)に言及する場
合、特に明示した場合および原理的に明らかに特定の数
に限定される場合等を除き、その特定の数に限定される
ものではなく、特定の数以上でも以下でも良い。
【0072】さらに、以下の実施の形態において、その
構成要素(要素ステップ等も含む)は、特に明示した場
合および原理的に明らかに必須であると考えられる場合
等を除き、必ずしも必須のものではないことは言うまで
もない。
【0073】同様に、以下の実施の形態において、構成
要素等の形状、位置関係等に言及するときは、特に明示
した場合および原理的に明らかにそうでないと考えられ
る場合等を除き、実質的にその形状等に近似または類似
するもの等を含むものとする。このことは、上記数値お
よび範囲についても同様である。
【0074】また、実施の形態を説明するための全図に
おいて同一機能を有するものは同一の符号を付し、その
繰り返しの説明は省略する。
【0075】また、本実施の形態においては、電界効果
トランジスタを代表するMISFET(Metal Insulato
r Semiconductor Field Effect Transistor)を単にM
ISと略し、pチャネル型のMISFETをpMISと
略し、nチャネル型のMISFETをnMISと略す。
【0076】(実施の形態1)本実施の形態1において
は、例えば本発明をCMOS(Complementary MOS)−
LSI(Large Scale Integrated circuit)の製造方法
に適用した場合を図1〜図19によって工程順に説明す
る。
【0077】まず、図1に示すように、例えば1〜10
Ωcm程度の比抵抗を有するp型の単結晶シリコンからな
る半導体基板(以下、基板という)1に深さ350nm
程度の素子分離溝2をフォトリソグラフィとドライエッ
チングを用いて形成した後、溝の内部を含む基板1上に
CVD法で酸化シリコン膜3を堆積する。続いて溝の上
部の酸化シリコン膜3を化学機械研磨(CMP)によっ
てその表面を平坦化する。これにより、溝型の素子分離
部2A(トレンチアイソレーション)を形成する。その
後、基板1にp型不純物(ホウ素)およびn型不純物
(例えばリン)をイオン打ち込みすることによって、p
型ウエル4およびn型ウエル5を形成した後、基板1を
スチーム酸化することによって、p型ウエル4およびn
型ウエル5の表面に膜厚6nm程度のゲート絶縁膜6を
形成する。なお、ここでいうゲート絶縁膜6の膜厚と
は、二酸化シリコン換算膜厚であり、実際の膜厚と一致
しない場合もある。
【0078】ゲート絶縁膜6は、酸化シリコン膜に代え
て酸窒化シリコン膜で構成しても良い。酸窒化シリコン
膜は、酸化シリコン膜に比べて膜中における界面準位の
発生を抑制したり、電子トラップを低減したりする効果
が高いので、ゲート絶縁膜6のホットキャリア耐性を向
上でき、絶縁耐性を向上させることができる。酸窒化シ
リコン膜を形成するには、例えば基板1をNO、NO2
またはNH3といった含窒素ガス雰囲気中で熱処理すれ
ば良い。また、p型ウエル4およびn型ウエル5のそれ
ぞれの表面に酸化シリコンからなるゲート絶縁膜6を形
成した後、基板1を上記した含窒素ガス雰囲気中で熱処
理し、ゲート絶縁膜6と基板1との界面に窒素を偏析さ
せることによっても、上記と同様の効果を得ることがで
きる。
【0079】また、ゲート絶縁膜6を、例えば窒化シリ
コン膜あるいは酸化シリコン膜と窒化シリコン膜との複
合絶縁膜で形成しても良い。酸化シリコンからなるゲー
ト絶縁膜6を二酸化シリコン換算膜厚で5nm未満、特
に3nm未満まで薄くすると、直接トンネル電流の発生
やストレス起因のホットキャリア等による絶縁耐圧の低
下が顕在化する。窒化シリコン膜は、酸化シリコン膜よ
りも誘電率が高いためにその二酸化シリコン換算膜厚は
実際の膜厚よりも薄くなる。すなわち、窒化シリコン膜
を有する場合には、物理的に厚くても、相対的に薄い二
酸化シリコン膜と同等の容量を得ることができる。従っ
て、ゲート絶縁膜6を単一の窒化シリコン膜あるいはそ
れと酸化シリコンとの複合膜で構成することにより、そ
の実効膜厚を、酸化シリコン膜で構成されたゲート絶縁
膜よりも厚くすることができるので、トンネル漏れ電流
の発生やホットキャリアによる絶縁耐圧の低下を改善す
ることができる。また、酸窒化シリコン膜は、酸化シリ
コン膜に比べて不純物が貫通し難いので、ゲート絶縁膜
6を酸窒化シリコン膜で構成することにより、ゲート電
極材料中の不純物が半導体基板側に拡散することに起因
するしきい値電圧の変動を抑制することができる。
【0080】ここで、単一絶縁膜または複合絶縁膜の二
酸化シリコン換算膜厚(以下、単に換算膜厚ともいう)
drとは、対象となる絶縁膜の比誘電率をεi、その膜厚
をdi、二酸化シリコンの比誘電率をεsとしたときに、
次式で定義される膜厚である。
【0081】
【数1】 例えば酸化シリコン(SiO2)および窒化シリコン
(Si34)の誘電率は、それぞれ4〜4.2および8
である。そこで、窒化シリコンの誘電率を酸化シリコン
の誘電率の2倍として計算すると、例えば膜厚6nmの
窒化シリコン膜の二酸化シリコン換算膜厚は3nmとな
る。すなわち、膜厚6nmの窒化シリコン膜からなるゲ
ート絶縁膜と膜厚3nmの酸化シリコン膜からなるゲー
ト絶縁膜とは容量が等しい。また、膜厚2nmの酸化シ
リコン膜と膜厚2nmの窒化シリコン膜(換算膜厚=1
nm)との複合膜からなるゲート絶縁膜の容量は、膜厚
3nmの単一酸化シリコン膜からなるゲート絶縁膜の容
量と同じである。
【0082】次に、図2に示すように、ゲート絶縁膜6
の上部に、低抵抗多結晶シリコン膜、WN(窒化タング
ステン)膜およびW(タングステン)膜からなるゲート
電極7を形成する。多結晶シリコン膜はCVD法によ
り、WN膜およびW膜はスパッタ法により形成できる。
ゲート電極7は、これら堆積膜のパターニングにより形
成する。ゲート電極7は、低抵抗多結晶シリコン膜上に
Wシリサイド膜またはコバルト(Co)シリサイド膜を
堆積した積層膜などを使って形成しても良い。また、ゲ
ート電極7の材料として多結晶または単結晶のシリコン
(Si)とゲルマニウム(Ge)との合金を用いても良
い。このようなゲート電極7を形成した後、イオン打ち
込みすることによって、p型ウエル4に低不純物濃度の
-型半導体領域11を、n型ウエル5に低不純物濃度
のp-型半導体領域12を形成する。
【0083】次に、図3に示すように、たとえば窒化シ
リコン膜をCVD法で堆積し、これを異方的にエッチン
グすることによって、ゲート電極7の側壁にサイドウォ
ールスペーサ13を形成する。この後、イオン打ち込み
することによって、p型ウエル4に高不純物濃度のn+
型半導体領域14(ソース、ドレイン)を形成し、n型
ウエル5に高不純物濃度のp+ 型半導体領域15(ソー
ス、ドレイン)を形成する。なお、n型不純物にはリン
またはヒ素を、p型不純物にはボロンを例示できる。そ
の後、チタン、コバルト等の金属膜を堆積し、熱処理の
後に未反応の金属膜を除去するいわゆるサリサイド法を
用いて、n+型半導体領域14(ソース、ドレイン)の
表面およびp+型半導体領域15(ソース、ドレイン)
の表面にシリサイド層9を形成する。ここまでの工程
で、nチャネル型MISFETQnおよびpチャネル型
MISFETQpが完成する。
【0084】次に、図4に示すように、基板1上にCV
D法で酸化シリコン膜18を堆積し、続いてフォトレジ
スト膜をマスクにして酸化シリコン膜18をドライエッ
チングすることにより、n+型半導体領域14(ソー
ス、ドレイン)の上部にコンタクトホール20を形成
し、p+型半導体領域15(ソース、ドレイン)の上部
にコンタクトホール21を形成する。またこのとき、ゲ
ート電極7の上部にもコンタクトホール22を形成す
る。
【0085】酸化シリコン膜18は、ゲート電極7、7
の狭いスペースを埋め込むことのできるリフロー性の高
い膜、例えばBPSG(Boron-doped Phospho Silicate
Glass)膜で構成する。また、スピン塗布法によって形成
されるSOG(Spin On Glass) 膜で構成してもよい。
【0086】次に、コンタクトホール20、21、22
の内部にプラグ23を形成する。プラグ23を形成する
には、例えばコンタクトホール20、21、22の内部
を含む酸化シリコン膜18の上部にCVD法でTiN膜
およびW膜を堆積した後、酸化シリコン膜18の上部の
不要なTiN膜およびW膜を化学機械研磨(CMP)法
またはエッチバック法によって除去し、コンタクトホー
ル20、21、22の内部のみにこれらの膜を残す。
【0087】次に、図5に示すように、酸化シリコン膜
18の上部に第1層目の配線となるW配線24〜30を
形成する。W配線24〜30を形成するには、例えば酸
化シリコン膜18の上部にスパッタリング法でW膜を堆
積した後、フォトレジスト膜をマスクにしてこのW膜を
ドライエッチングする。第1層目のW配線24〜30
は、コンタクトホール20、21、22を通じてnチャ
ネル型MISFETQnのソース、ドレイン(n+ 型半
導体領域)、pチャネル型MISFETQpのソース、
ドレイン(p+ 型半導体領域)あるいはゲート電極7と
電気的に接続される。
【0088】次に、図6(a)、(b)に示すように、
第1層目のW配線24〜30の上部に酸化シリコン膜3
1を堆積し、続いてフォトレジスト膜をマスクにしたド
ライエッチングで酸化シリコン膜31にスルーホール3
2〜36を形成した後、スルーホール32〜36の内部
にプラグ37を形成する。なお、図6(a)は半導体基
板の主面の要部平面図、(b)は(a)のA−A線の断
面図である。
【0089】酸化シリコン膜31は、例えばオゾン(ま
たは酸素)とテトラエトキシシラン(TEOS)とをソ
ースガスに用いたプラズマCVD法で堆積する。また、
プラグ37は、例えばW膜で構成し、前記コンタクトホ
ール20、21、22の内部にプラグ23を形成した方
法と同じ方法で形成する。
【0090】次に、図7(a)、(b)に示すように、
酸化シリコン膜31の上部にプラズマCVD法で膜厚5
0nmの薄い窒化シリコン膜38を堆積し、続いて窒化
シリコン膜38の上部にプラズマCVD法で膜厚450
nm程度の酸化シリコン膜39を堆積する。その後、フ
ォトレジスト膜をマスクにしたドライエッチングでスル
ーホール32〜36の上部の酸化シリコン膜39および
窒化シリコン膜38を除去し、配線溝40〜44を形成
する。なお、図7(a)は半導体基板の主面の要部平面
図、(b)は(a)のA−A線の断面図である。
【0091】配線溝40〜44を形成するには、まず窒
化シリコン膜38をエッチングストッパにして酸化シリ
コン膜39を選択的にエッチングし、その後、窒化シリ
コン膜38をエッチングする。このように、配線溝40
〜44が形成される酸化シリコン膜39の下層に薄い窒
化シリコン膜38を形成しておき、この窒化シリコン膜
38の表面でエッチングを一旦停止した後、窒化シリコ
ン膜38をエッチングすることにより、配線溝40〜4
4の掘り過ぎを生じることなく、その深さを精度良く制
御することができる。
【0092】次に、上記配線溝40〜44の内部に以下
のような方法で第2層目の配線となる埋め込みCu配線
を形成する。
【0093】まず、図8に示すように、配線溝40〜4
4の内部を含む酸化シリコン膜39の上部にスパッタリ
ング法で、薄いTiN(窒化チタン)膜45を堆積した
後、TiN膜45の上部に配線溝40〜44の深さより
も十分に厚い膜厚(例えば800nm程度)のCu膜4
6をスパッタリング法で堆積する。このTiN膜45お
よびCu膜46スパッタリング法としては、通常のスパ
ッタリング法でも良いし、例えばロングスロースパッタ
リング法やコリメートスパッタリング法等のような指向
性の高いスパッタリング法でも良い。
【0094】続いて、例えば475℃程度の非酸化性雰
囲気(例えば水素雰囲気)中で基板1を熱処理すること
によってCu膜46をリフローさせ、配線溝40〜44
の内部に隙間なくCu膜46を埋め込む。なお、ここで
は、スパッタリング法によるCu膜46と、その後のリ
フローによる埋め込みを説明したが、薄いCu膜をスパ
ッタリング法により形成し、その後、Cu膜46に相当
する高純度のCu膜をメッキ法で形成しても良い。
【0095】TiN膜45は、Cuの拡散を防止する機
能を有している。また、TiN膜45は、Cu膜46と
酸化シリコン膜39との密着性を向上させる機能を有し
ている。さらに、TiN膜45は、上記Cu膜46のリ
フロー時にCu膜46の濡れ性を向上させる機能を有し
ている。
【0096】本実施の形態1においては、TiN膜45
の最も厚い部分の厚さが50nmの場合を例示するが、
本発明者らの検討結果によれば、このTiN膜45をさ
らに薄く、または、無くすこともできることが判明し
た。これについては、後の実施の形態6以降において説
明する。
【0097】このような機能を有する膜としては、Ti
Nに代えて、Cuと殆ど反応しないWN、TaN(窒化
タンタル)などの高融点金属窒化物を用いることが好ま
しい。また、そのTiNに代えて、高融点金属窒化物に
Si(シリコン)を添加した材料や、Cuと反応し難い
Ta、Ti、W、TiW合金などの高融点金属を用いる
こともできる。
【0098】次に、このようなCu膜46およびTiN
膜45を上記CMP法等によって研磨する。この研磨工
程に使用するCMP装置の全体構成の一例を図9に示
す。
【0099】このCMP装置100は、上記Cu膜46
の研磨に用いる枚葉式のCMP装置であり、表面にCu
膜46が形成された基板1を複数枚収容するローダ12
0、Cu膜46を研磨、平坦化する研磨処理部130、
研磨が終了した基板1の表面に防蝕処理を施す防蝕処理
部140、防蝕処理が終了した基板1を後洗浄するまで
の間、その表面が乾燥しないように維持しておく浸漬処
理部150、防蝕処理が終了した基板1を後洗浄する後
洗浄処理部160および後洗浄が終了した基板1を複数
枚収容するアンローダ170を備えている。
【0100】図10に示すように、CMP装置100の
研磨処理部130は、上部が開口された筐体101を有
しており、この筐体101に取り付けられた回転軸10
2の上端部には、モータ103によって回転駆動される
研磨盤(プラテン)104が取り付けられている。この
研磨盤104の表面には、多数の気孔を有する合成樹脂
を均一に貼り付けて形成した研磨パッド105が取り付
けられている。
【0101】また、この研磨処理部130は、基板1を
保持するためのウエハキャリア106を備えている。ウ
エハキャリア106を取り付けた駆動軸107は、ウエ
ハキャリア106と一体となってモータ(図示せず)に
より回転駆動され、かつ研磨盤104の上方で上下動さ
れるようになっている。
【0102】基板1は、ウエハキャリア106に設けら
れた真空吸着機構(図示せず)により、その主面すなわ
ち被研磨面を下向きとしてウエハキャリア106に保持
される。ウエハキャリア106の下端部には、基板1が
収容される凹部106aが形成されており、この凹部1
06a内に基板1を収容すると、その被研磨面がウエハ
キャリア106の下端面とほぼ同一か僅かに突出した状
態となる。
【0103】研磨盤104の上方には、研磨パッド10
5の表面と基板1の被研磨面との間に研磨スラリ(S)
を供給するためのスラリ供給管108が設けられてお
り、その下端から供給される研磨スラリ(S)によって
基板1の被研磨面が化学的および機械的に研磨される。
研磨スラリ(S)としては、例えばアルミナなどの砥粒
と過酸化水素水または硝酸第二鉄水溶液などの酸化剤と
を主成分とし、これらを水に分散または溶解させたもの
が使用される。
【0104】また、この研磨処理部130は、研磨パッ
ド105の表面を整形(ドレッシング)するための工具
であるドレッサ109を備えている。このドレッサ10
9は、研磨盤104の上方で上下動する駆動軸110の
下端部に取り付けられ、モータ(図示せず)により回転
駆動されるようになっている。
【0105】研磨が終了した基板1は、防蝕処理部14
0において、その表面に防蝕処理が施される。防蝕処理
部140は、上記した研磨処理部130の構成と類似し
た構成になっており、ここでは、まず研磨盤(プラテ
ン)の表面に取り付けた研磨パッドに基板1の主面が押
し付けられて研磨スラリが機械的に除去された後、例え
ばベンゾトリアゾール(BTA)などの防蝕剤を含んだ
薬液が基板1の主面に供給されることによって、基板1
の主面に形成されたCu配線の表面部分に疎水性保護膜
が形成される。
【0106】研磨スラリの機械的洗浄(前洗浄)は、例
えば図11に示すように、水平面内で回転させた基板1
の両面をPVA(ポリビニルアルコール)のような合成
樹脂の多孔質体からなる円筒状のブラシ121A、12
1Bで挟み、ブラシ121A、121Bを基板1の面に
対して垂直な面内で回転しながら基板1の両面を同時に
洗浄する。また、前洗浄後の防蝕処理に際しては、必要
に応じて純水スクラブ洗浄、純水超音波洗浄、純水流水
洗浄または純水スピン洗浄などを防蝕処理に先行または
並行して行うことにより、研磨処理部130で基板1の
主面に付着した研磨スラリ中の酸化剤を十分に除去し、
酸化剤が実質的に作用しない条件下で疎水性の保護膜を
形成するようにする。
【0107】防蝕処理が終了した基板1は、その表面の
乾燥を防ぐために、浸漬処理部150に一時的に保管さ
れる。浸漬処理部150は、防蝕処理が終了した基板1
を後洗浄するまでの間、その表面が乾燥しないように維
持するためのもので、例えば純水をオーバーフローさせ
た浸漬槽(ストッカ)の中に所定枚数の基板1を浸漬さ
せて保管する構造になっている。このとき、Cu配線2
8〜30の電気化学的腐蝕反応が実質的に進行しない程
度の低温に冷却した純水を浸漬槽に供給することによ
り、Cu配線28〜30の腐蝕をより一層確実に防止す
ることができる。
【0108】基板1の乾燥防止は、例えば純水シャワー
の供給など、少なくとも基板1の表面を湿潤状態に保持
することのできる方法であれば、上記した浸漬槽中での
保管以外の方法で行ってもよい。
【0109】後洗浄処理部160へ搬送された基板1
は、その表面の湿潤状態が保たれた状態で直ちに後洗浄
に付される。ここでは、酸化剤を中和するためにNH4
OHを含む洗浄液などの弱アルカリ薬液を供給しなが
ら、基板1の表面をスクラブ洗浄(またはブラシ洗浄)
した後、フッ酸水溶液を基板1の表面に供給してエッチ
ングによる異物粒子(パーティクル)の除去を行う。ま
た、上記のスクラブ洗浄に先行または並行して、基板1
の表面を純水スクラブ洗浄、純水超音波洗浄、純水流水
洗浄または純水スピン洗浄したり、基板1の裏面を純水
スクラブ洗浄したりしてもよい。
【0110】上記後洗浄処理が終了した基板1は、純水
リンスおよびスピンドライの後、乾燥した状態でアンロ
ーダ170に収容され、複数枚単位で一括して次工程へ
搬送される。
【0111】なお、図12に示すように、防蝕処理が終
了した基板1の表面乾燥を防ぐための浸漬処理部(ウエ
ハ保管部)150を遮光構造にし、保管中の基板1の表
面に照明光などが照射されないようにすることができ
る。これにより、光起電力効果による短絡電流の発生を
防ぐようにできる。浸漬処理部150を遮光構造にする
には、具体的には浸漬槽(ストッカ)の周囲を遮光シー
トなどで被覆することによって、浸漬槽(ストッカ)の
内部の照度を少なくとも500ルクス以下、好ましくは
300ルクス以下、さらに好ましくは100ルクス以下
にする。
【0112】また、図13に示すように、研磨処理の直
後、すなわちその表面に残った研磨スラリ中の酸化剤に
よる電気化学的腐蝕反応が開始される前に直ちに乾燥処
理部に搬送され、研磨スラリ中の水分が強制乾燥によっ
て除去されてもよい。図13に示すCMP装置200
は、表面にCu膜が形成された基板1を複数枚収容する
ローダ220、Cu膜を研磨、平坦化して配線を形成す
る研磨処理部230、研磨が終了した基板1の表面を乾
燥させる乾燥処理部240、基板1を後洗浄する後洗浄
処理部250および後洗浄が終了した基板1を複数枚収
容するアンローダ260を備えている。このCMP装置
200を使ったCu配線形成プロセスでは、研磨処理部
230において研磨処理に付された基板1は、研磨処理
の直後、すなわちその表面に残った研磨スラリ中の酸化
剤による電気化学的腐蝕反応が開始される前に直ちに乾
燥処理部240に搬送され、研磨スラリ中の水分が強制
乾燥によって除去される。その後、基板1は、乾燥状態
が維持されたまま後洗浄処理部250に搬送され、後洗
浄処理に付された後、純水リンスおよびスピンドライを
経てアンローダ260に収容される。この場合、研磨処
理の直後から後洗浄が開始されるまでの間、基板1の表
面が乾燥状態に保たれるために、電気化学的腐蝕反応の
開始が抑制され、これにより、Cu配線の腐蝕を有効に
防止することが可能となる。
【0113】このようなCMP法による研磨工程を経
て、酸化シリコン膜39上のCu膜46およびTiN膜
45を除去し、図14に示すように、配線溝40〜44
内にCu配線46a〜46eを形成する。
【0114】次に、Cu配線46a〜46eと酸化シリ
コン膜39の表面にプラズマ処理を施す。図15は、プ
ラズマ処理に用いる処理装置の一例を示した断面図
(a)および平面図(b)である。なお、このプラズマ
処理については、本願発明者などによる特願平11−2
26876号に記載されている。
【0115】この処理装置には、ロードロック室301
に2つの処理室302a,302bとカセットインタフ
ェイス303が取り付けられている。ロードロック室3
01内には基板1を搬送するロボット304を有する。
ロードロック室301と処理室302a,302bとの
間には、処理中にもロードロック室301内の高真空状
態が保てるようにゲートバルブ305を有する。
【0116】処理室302a,302b内には基板1を
保持するサセプタ306、ガス流を整えるバッフル板3
07、サセプタ306を支持する支持部材308、サセ
プタ306に対向して配置されるメッシュ状の電極30
9、バッフル板307にほぼ対向して配置された絶縁板
310を有する。絶縁板310はサセプタ306と電極
309の間以外の不必要な領域での寄生放電を抑制する
作用がある。サセプタ306の裏面側には反射ユニット
311内に設置されたランプ312が配置され、ランプ
312を発した赤外線313が石英窓314を通過して
サセプタ306および基板1に照射される。これにより
基板1が加熱される。なお、基板1はサセプタ306上
にフェイスアップで設置される。
【0117】処理室302a,302bはその内部を高
真空に排気することが可能であり、処理ガスおよび高周
波電力がガスポート315から供給される。処理ガスは
メッシュ状の電極309を通過して基板1の近傍に供給
される。処理ガスは真空マニホールド316から排出さ
れ、処理ガスの供給流量および排気速度を制御すること
により圧力が制御される。高周波電力は電極309に印
加され、サセプタ306と電極309との間でプラズマ
を生成する。高周波電力はたとえば13.56MHzの
周波数を用いる。
【0118】処理室302aでは、たとえば次に説明す
るアンモニアプラズマ処理が行われる。また、処理室3
02bでは、後に説明するキャップ膜(窒化シリコン
膜)の堆積が行われる。処理室302aと処理室302
bとはロードロック室301を介して接続されているた
め、アンモニアプラズマ処理の後に真空破壊することな
く基板1を処理室302bに搬送することができ、アン
モニアプラズマ処理とキャップ膜の形成を連続的に行う
ことができる。
【0119】次に、上記したプラズマ処理装置を用い
て、基板1にアンモニアプラズマ処理を施す。カセット
インタフェイス303から基板1がロボット304によ
りロードロック室301に搬入される。ロードロック室
301を十分な減圧状態になるまで真空排気し、ロボッ
ト304を用いて処理室302aに基板1を搬送する。
処理室302aのゲートバルブ305を閉じ、処理室3
02a内が十分な真空度になるまで排気した後、処理室
302aにアンモニアガスを導入し、圧力調整を行って
所定の圧力に維持する。その後、高周波電源から電極3
09に電界を印加し、図16に示すように、基板1の表
面をプラズマ処理する。所定時間の経過後高周波電界を
停止し、プラズマを停止する。その後、処理室302a
内を真空排気し、ゲートバルブ305を開いてロボット
304により基板1をロードロック室301に搬出す
る。なお、ロードロック室301は高真空状態に維持さ
れているため、基板1の表面が大気雰囲気に曝されるこ
とがない。
【0120】プラズマ処理条件は、たとえば、基板1の
サイズを8インチ(=20cm程度)とした場合、処理
圧力を5.0Torr(=6.6661×102
a)、RF電力を600W、基板温度を400℃、アン
モニア流量を200sccm、処理時間を10秒とする
ことができる。電極間距離は600milsとした。な
お、プラズマ処理条件は、これら例示した条件に限られ
ないのはもちろんである。本発明者らの検討では、圧力
が高いほどプラズマダメージを低減でき、基板温度が高
いほどTDDB寿命の基板内ばらつきの低減と長寿命化
がはかれる。また、基板温度が高く、RF電力が大き
く、処理時間が長いほどCuの表面にヒロックが発生し
やすい、という知見が得られている。これらの知見と装
置構成による条件のばらつきを考慮すると、処理圧力は
0.5〜6Torr(=0.66661×102〜7.
99932×102Pa)、RF電力は300〜600
W、基板温度は350〜450℃、アンモニア流量は2
0〜500sccm、処理時間は5〜180秒、電極間
距離は300〜600milsの範囲で設定することが
できる。
【0121】このように、Cu配線46a〜46eと酸
化シリコン膜39の表面にプラズマ処理を施すことによ
り、Cu配線46a〜46eおよび酸化シリコン膜39
の表面のごく薄い領域に各々の下地材料の窒化膜を形成
することができる。これにより、次に説明するキャップ
膜(窒化シリコン膜)とCu配線46a〜46eおよび
酸化シリコン膜39との密着性が向上し、TDDB寿命
を著しく向上できる。この点は、本発明者らの実験結果
の解析とともに後に詳しく説明する。
【0122】次に、ロボット304を用いて基板1を処
理室302bに搬送する。処理室302bのゲートバル
ブ305を閉じ、処理室302b内が十分な真空度にな
るまで排気した後、処理室302bにシラン(SiH4
)、アンモニア、窒素の混合ガスを導入し、圧力調整
を行って所定の圧力に維持する。その後、高周波電源か
ら電極309に電界を印加してプラズマを発生し、図1
7に示すように、Cu配線46a〜46eおよび酸化シ
リコン膜39の表面に窒化シリコン膜47(キャップ
膜)を堆積する。所定時間の経過後高周波電界を停止し
プラズマを停止する。その後、処理室302b内を真空
排気し、ゲートバルブ305を開いてロボット304に
より基板1をロードロック室301に搬出する。さら
に、ロボット304を用いてカセットインタフェイス3
03に基板1を排出する。
【0123】窒化シリコン膜47の膜厚は、たとえば5
0nmとする。その後、第3層目の配線と第2層目の配
線(Cu配線46a〜46e)とを接続するプラグを形
成するための酸化シリコン膜を形成し、前記したのと同
様の方法で、第3層目以降の埋め込みCu配線が形成さ
れる。図18は、上述したCu配線46a〜46eの形
成プロセスの全体フロー図である。
【0124】図19に第7層目の配線までを形成したC
MOS−LSIの一例を示す。第1層目の配線(M1)
は、前記した通りタングステン膜からなる。第1層目の
配線の膜厚および配線ピッチ(隣接配線の中心から中心
までの距離)は、例えば0.4μm程度または0.25
μm程度である。
【0125】また、第2層配線(M2)から第5層配線
(M5)までは、前記したCu配線の形成方法で製造す
る。第2層配線(M2)および第3層配線(M3)のT
iN膜の厚さは、例えば0.05μm程度、Cu膜の厚
さは、例えば0.35μm程度、配線幅および配線ピッ
チは、例えば0.5μm程度または0.25μm程度で
ある。第4層配線(M4)および第5層配線(M5)の
TiN膜の厚さは、例えば0.05μm程度、Cu膜の
厚さは、例えば0.95μm程度、配線幅および配線ピ
ッチは、例えば1.0μm程度または0.25μm程度
である。
【0126】また、第6層配線(M6)は、例えばタン
グステン膜、アルミニウム膜およびタングステン膜の3
層構成とされている。また、第7層配線(M7)は、例
えばアルミニウム膜からなる。第7層配線(M7)のパ
ッドには、バンプ電極が形成される、またはボンディン
グワイヤが接続されるが図示を省略している。なお、第
7層配線(M7)をアルミニウムとタングステンとの積
層膜で構成している理由の1つのとして、その積層膜
は、ダマシン配線構造を採用しない通常の半導体集積回
路装置の最上層に使用しており、バンプ電極やボンディ
ングワイヤとの接続上の信頼性を確保できることが経験
的に実証されているからである。
【0127】第1層配線M1と第2層配線M2とを接続
するスルーホールの直径は、例えば0.45μm程度ま
たは0.25μm程度である。第2層配線M2と第3層
配線M3とを接続するスルーホールの直径は、例えば
0.5μm程度または0.25μm程度である。第3層
配線M3と第4層配線M4とを接続するスルーホールの
直径は、例えば0.5μm程度または0.25μm程度
である。第4層配線M4と第5層配線M5とを接続する
スルーホールの直径は、例えば1.0μm程度または
0.25μm程度である。第5層配線M5と第6層配線
M6とを接続するスルーホールの直径は、例えば0.5
μm程度または0.25μm程度である。
【0128】本実施の形態によれば、TDDB寿命が大
幅に改善される。図20は、本実施の形態の第2層配線
M2(Cu配線46a〜46e)と同層に形成されたT
EGサンプルのTDDB寿命を示すグラフであり、本実
施の形態の場合のデータをラインAに示す。比較のため
にアンモニアプラズマ処理をしない場合のTDDB寿命
データ(ラインRef)も同時に示す。図から明らかな
通り、本実施の形態では、比較データと比べて約6桁の
寿命向上が見られる。
【0129】図21は、本実施の形態で適用した酸化シ
リコン膜39をそれよりも緻密で強固な窒化シリコン膜
に置き換えた場合のデータ(ラインB)を示す。絶縁膜
を窒化シリコンに置き換えた場合であってもアンモニア
プラズマ処理を施さなければ絶縁膜を酸化シリコン膜と
した場合と何ら相違はない(ラインRef)。一方、窒
化シリコン膜を絶縁膜に適用し、アンモニアプラズマ処
理を施せば、本実施の形態以上にTDDB寿命が向上す
る。しかし、その向上の割合は大きくなく、アンモニア
プラズマ処理を行うことによる要因の方が支配的である
ことがわかる。これは、TDDB寿命を支配する要因は
絶縁膜のバルクよりは、その界面が支配的であることを
示している。
【0130】そこで、本発明者らは、アンモニアプラズ
マ処理によりTDDB寿命が向上する機構を解析するた
めに銅と酸化シリコン膜の表面分析を行った。以下に解
析の結果を説明する。
【0131】図22〜図24は、Cu配線表面のXPS
(X-ray Photo-electron Spectroscopy )分析の結果を
示したグラフである。各図の(a)、(c)はCu2p
の分光結果を示し、(b)、(d)はN1sの分光結果
を示す。
【0132】図22(a)、(b)はアズデポ状態のC
u膜表面を分析した結果である。Cu2pのピークが観
察され、N1sのピークはノイズレベルであることか
ら、アズデポ状態のCu膜には窒素は存在しないことが
わかる。図22(c)、(d)は、Cu膜にCMPのみ
を施した直後のCu配線表面を分析した結果である。C
u2pのピークとともにN1sのピークが観察される。
前記した通りスラリにはBTAが含まれるため、Cu表
面に残留したBTA内の窒素を観察しているものと推察
できる。図23(a)、(b)は、CMP後に後洗浄ま
で行った状態のCu配線表面を分析した結果である。C
u2pピークに変化は見られないが、N1sピークが低
下している。洗浄によりBTAが除去されたと考えられ
る。図23(c)、(d)は、後洗浄後大気雰囲気に2
4時間放置した状態のCu配線表面を分析した結果であ
る。Cu2pのピークとともにCuOのピークが観察さ
れる。N1sピークには放置による変化は見られない。
放置によりCu表面が酸化され、CuOが生成している
ことがわかる。
【0133】このように酸化されたCu配線にアンモニ
アプラズマ処理を施した状態のCu配線表面を分析した
結果が図24(a)、(b)である。CuOのピークは
ほぼ消失している。一方、N1sピークは強く生じてい
る。Cu表面が還元されて酸素が引き抜かれているとと
もに表面が窒化されていると考えられる。比較のため、
酸化されたCu配線に350℃の水素熱処理を施した状
態のCu配線表面を分析した。結果は図24(c)、
(d)である。Cu2pピークについて、図24(c)
と図24(a)とを比較すれば、よりアズデポ状態(図
22(a))に近いことから、水素熱処理の方が還元性
は強いと考えられる。一方、N1sピークはほとんど観
察されないことから、水素熱処理ではCu表面が還元さ
れるのみである。
【0134】以上の結果から、アンモニアプラズマ処理
によりCu配線46a〜46eの表面は還元されるとと
もに窒化層が形成されてことがわかる。この窒化層は、
アンモニアプラズマ処理の後に窒化シリコン膜を堆積す
る際の原料ガスに含まれるシランと銅との反応を防止
し、銅のシリサイドの形成を抑制する働きを有すると考
えられる。シリサイド形成の防止は配線抵抗の増加を抑
制する効果がある。
【0135】図25は、酸化シリコン膜表面のXPS分
析を行った結果を示すグラフであり、図26および図2
7は、酸化シリコン膜の質量分析(TDS−APIM
S)を行った結果を示すグラフである。酸化シリコン膜
の分析においては、CMP後に洗浄まで行った状態(プ
ロファイルC)、CMP後洗浄後に水素プラズマ処理を
行った状態(プロファイルD)、CMP後洗浄後にアン
モニアプラズマ処理を行った状態(プロファイルE)、
CMP後洗浄後に窒素プラズマ処理を行った状態(プロ
ファイルF)について分析した。なお、プロファイルC
の1eV程度の高エネルギー方向へのずれはチャージア
ップの影響によるものである。
【0136】図25(a)、(b)はともにSi2pス
ペクトルを観察したデータであり、(a)は10nm程
度の深さを、(b)は2nm程度の深さを分析したもの
である。図25(c)、(d)、(e)は各々N1s、
O1s、C1sスペクトルを観察したデータである。
【0137】図25(b)から、水素プラズマ処理(プ
ロファイルD)の低エネルギー側(102eV付近)に
ブロードなピークが見られる。これはSi−H結合が存
在すると考えられ、水素プラズマ処理により酸化シリコ
ン膜表面にSi−Hが形成されると推察される。
【0138】図25(a)から、アンモニアプラズマ処
理(プロファイルE)と窒素プラズマ処理(プロファイ
ルF)の105eVのピークが低エネルギー側に広がっ
た非対称なピークになっている。非対称部分のピーク
(103.5eV)はSi−O−N結合と考えられる。
アンモニアプラズマ処理および窒素プラズマ処理により
酸化シリコン膜の表面が窒化されていると推察される。
また、図25(a)と(b)との比較から、窒化は表面
でより強くされていると考えられる。アンモニアプラズ
マ処理および窒素プラズマ処理による窒化は、図25
(c)でも確認できる。
【0139】図25(e)から、水素プラズマ処理(プ
ロファイルD)では炭素はほとんど検出されない。水素
プラズマ処理により表面の有機物が除去されていること
がわかる。また、CMP後(プロファイルC)の289
eVのピークはC−O結合と考えられる。CMP後では
スラリが残留していると考える。
【0140】図25(f)は、SiピークとNピークと
からそれらの存在比を求め、N量を推定した値を示す。
アンモニアプラズマ処理と窒素プラズマ処理とではほぼ
同等の窒化がなされていると考える。
【0141】図26(a)、(b)、(c)、(d)は
各々質量数41(Ar−H)、質量数27(C2 H3
)、質量数57(C4 H9 )、質量数59(C3
H7 O)を測定したグラフである。また、図27
(a)、(b)、(c)、(d)は各々質量数28(S
i、C2 H4 )、質量数44(SiO、C3 H
6)、質量数29(SiH、C2 H5 )、質量数3
1(SiH3 )を測定したグラフである。
【0142】図26(a)から、プラズマ処理による水
素の脱離量の相違はほとんどないが、水素プラズマ処理
(プロファイルD)の脱離温度が他の場合(560℃)
と比較して520℃と低いことがわかる。
【0143】図26(a)、(b)、(c)から、各プ
ロセスとも有機物の離脱が見られる。一方、図27
(a)〜(d)から、有機物の離脱以外のピークの存在
が見られる。すなわち、300〜400℃のピークは各
々、Si、SiO、SiH、SiH3 と思われる。各
図を比較すると、水素、アンモニア、窒素の各プラズマ
処理で、SiOの離脱は見られるが、アンモニアプラズ
マ処理ではSiH、SiH3 の離脱はほとんど観察さ
れない。すなわち、アンモニアプラズマ処理ではSi−
O−Nが形成され、比較的低いエネルギーで容易に離脱
する。また、離脱に必要なエネルギーは窒素プラズマ処
理の場合が最も高く、水素プラズマ処理とアンモニアプ
ラズマ処理とではほぼ同じと言える。
【0144】これらの結果から、酸化シリコン膜表面の
ダングリングボンドの原因となるSi−OHやSi−O
−は、アンモニアプラズマ処理により、弱い結合のSi
−O−Nで終端されると考えられる。アンモニアプラズ
マ処理の後の窒化シリコン膜の成膜において、ごく表面
のSi−O−Nが離脱し、バルクのSi−O結合と窒化
シリコン膜のSi−Nとが強固に結合し、連続的な界面
を形成する。これが界面の密着性を向上する機構と考え
られる。一方、アンモニアプラズマ処理を行わない場合
には、そもそもSi−OH結合の多い酸化シリコン膜の
表面と窒化シリコン膜の原料ガスであるアンモニアとが
縮合反応し、ダングリングボンドの原因であるSi−O
−結合が多数発生していると考えられる。酸化シリコン
膜と窒化シリコン膜との界面に多数のダングリングボン
ドが存在すれば、そこはリークパスを形成することとな
り配線間のリーク電流、ひいては絶縁破壊の原因となっ
ていると考えられる。
【0145】以上の分析結果から、アンモニアプラズマ
処理により、酸化されたCu配線の表面は還元されてC
u単元素に変換され、イオン化されたCuよりも電気的
に安定な状態になり、かつ、酸化シリコン膜/窒化シリ
コン膜界面は連続的な強固な膜になることから、リーク
電流が減少し、TDDB寿命も大幅に向上すると考えら
れる。
【0146】本発明者らはアンモニアプラズマ処理を行
った場合と、行わなかった場合について、配線層と窒化
シリコン膜(キャップ膜)との界面のTEM写真を撮影
した。その結果、アンモニアプラズマ処理を行った本実
施の形態の場合は、その界面に薄い被膜の存在が確認で
きた。その薄い被膜は、前記した窒化層であると考えら
れる。一方、アンモニアプラズマ処理を行わない場合
は、そのような被膜は確認できない。
【0147】また、本実施の形態では、Cu配線の抵抗
を低減できる。図28は、各種の処理を行った場合の配
線抵抗の測定結果である。処理無し(プラズマ処理な
し)とアンモニアプラズマ処理をした場合とでは、他の
場合(水素プラズマ処理、水素アニール、窒素プラズマ
処理)と比較して有意に低い値となっている。図29お
よび図30は、これら各処理を施した場合のCu配線と
キャップ膜(窒化シリコン膜)との界面を観察したTE
M写真のトレース図面である。
【0148】処理無しとアンモニアプラズマ処理の場合
(図29)では界面に特異ものは見られないが、水素ア
ニール、窒素プラズマ処理の場合(図30)では界面に
銅のシリサイド(CuSi)層が形成されている。この
シリサイド層が抵抗増加の原因と思われる。このような
シリサイド層は、窒化シリコン膜の形成の際のシランガ
スとの反応で形成されるが、アンモニアプラズマ処理を
行っている場合にはCu表面にごく薄い窒化膜が形成さ
れており、この窒化膜がシリサイド化のブロッキング層
として機能していると考えられる。一方、水素アニール
等、単に銅表面を還元するのみでは活性なCu表面が露
出してシリコンとの反応が促進されるため、シリサイド
層が生成され易いと考えられる。また、水素プラズマ処
理(図30(c))の場合には、界面に何らかの生成物
が見られる。ただし、多くの場合にはそのような生成物
が形成されない場合もあり、水素プラズマ処理の場合に
はシリサイド化の程度は小さいと考えられる。
【0149】上記した分析結果から、TDDB寿命の劣
化機構として、以下のようなモデルが考えられることが
本発明者らの検討結果によって初めて見出された。図3
1(a)は、TDDB劣化のメカニズムの概略図を示
し、(b)はそのエネルギーバンドを示している。すな
わち、本実施の形態のアンモニアプラズマ処理を施さな
い場合には、Cu配線の表面には、その後の表面プロセ
スに影響され、酸化銅(CuO)が形成され、また、キ
ャップ膜(窒化シリコン膜47)の形成の際に銅シリサ
イド(Cu化合物)が形成される。このような酸化銅あ
るいは銅シリサイドは、純粋な銅と比較してイオン化さ
れ易く、このようなイオン化された銅は配線間の電界に
よりドリフトされ、配線間の絶縁膜に拡散される。
【0150】また、銅配線を埋め込んで形成する絶縁膜
(酸化シリコン膜39)とキャップ膜(窒化シリコン膜
47)との界面は、本実施の形態のアンモニアプラズマ
処理を施さない場合には、CMPダメージ、有機物また
はダングリングボンドが多く形成され、不連続であり、
密着性にも乏しい。このようなダングリングボンドの存
在は銅イオンの拡散を助長する作用を有し、銅イオンは
界面に沿ってドリフトされ拡散する。すなわち、配線間
の前記界面にリークパスが形成される。リークパスを流
れるリーク電流は、長時間のリーク作用と電流による熱
ストレスも加わり、その後加速度的に電流値が増加して
絶縁破壊に至る(TDDB寿命の低下)。
【0151】これに対し、図32(a),(b)は、上
記アンモニアプラズマ処理を施した場合のTDDB向上
のメカニズムの概略図およびそのエネルギーバンドを示
している。本実施の形態においては、Cu配線46a〜
46eの表面にアンモニアプラズマ処理を施すため、C
u配線46a〜46e表面の酸化層は還元されて消失
し、Cu配線46a〜46eの表面に薄い窒化層が形成
されるため窒化シリコン膜47の形成の際に銅シリサイ
ドが形成されない。このため、リークおよび絶縁破壊の
原因となる銅イオンを支配的に供給する原因物質を生じ
なくすることができる。また、本実施の形態では、酸化
シリコン膜39の表面にアンモニアプラズマ処理を施す
ため、窒化シリコン膜47との接続を連続的にし、ダン
グリングボンドの密度を低減してリークパスの形成を抑
制できる。また、酸化シリコン膜39の表面を清浄な状
態にできる。したがって、本実施の形態においては、T
DDB寿命低下の原因となる銅イオンの発生を抑制し、
かつ、銅の拡散を抑制できるような酸化シリコン膜39
と窒化シリコン膜47との接合界面を形成できる。これ
によりTDDB寿命を向上できるのである。
【0152】また、前記した解析から、水素プラズマ処
理でもTDDB寿命を向上できると考えられる。すなわ
ち、水素プラズマ処理により、Cu表面は還元され、S
i−O−等のダングリングボンドや、その原因となるS
i−OHがSi−Hで終端される。そして、窒化シリコ
ン膜の形成の際に、結合の弱い表面のSi−Hが離脱
し、Si−Nで置換される。これにより連続的な酸化シ
リコン膜と窒化シリコン膜の界面が形成される。ただし
配線抵抗は前記の通り増加する。
【0153】図33は、水素プラズマ処理を行った場合
のTDDB寿命のデータを示したグラフである。参考に
ラインRef(処理無し)とラインA(アンモニアプラ
ズマ処理)を示した。水素プラズマ処理(ラインC)で
は、TDDB寿命が格段に向上することがわかる。水素
プラズマ処理の場合にはプラズマダメージが軽減される
ことが期待されるので、キャップ膜として窒化シリコン
膜にかわる他の材料であってCuとの反応生成物を生じ
ないような材料が適用できるときにはきわめて有効であ
る。なお、窒素プラズマ処理(ラインD)ではTDDB
寿命がかえって低下する。図26,27からもわかるよ
うに、窒素プラズマ処理によってかえって有機物の付着
が増加していることに起因すると思われる。
【0154】本実施の形態では、さらに、Cu配線46
a〜46eおよび酸化シリコン膜39とキャップ膜47
との接着性が向上しているため、界面の剥離強度が増
し、マージンが大きくなるという効果もある。
【0155】なお、アンモニア、水素の単一ガスに限ら
れず、窒素、アルゴン、ヘリウム等の不活性ガスとの混
合ガスプラズマで処理しても良い。すなわち、アンモニ
アと水素、窒素、アルゴンまたはヘリウムとの混合ガ
ス、あるいは、水素とアンモニア、窒素、アルゴンまた
はヘリウムとの混合ガスでも良い。さらに、これらのガ
スから選択された3元系、4元系等多元系の混合ガスで
あっても良い。このとき、水素、アンモニア、あるいは
水素とアンモニアとの和は、総流量(質量流量)に対し
て5%以上混合されていることが必要である。
【0156】(実施の形態2)本発明の他の実施の形態
であるCMOS−LSIの製造方法を図34〜図43を
用いて工程順に説明する。
【0157】本実施の形態の製造方法は、実施の形態1
における図1〜図8までの工程については同様である。
すなわち、Cu膜の堆積工程までは同じである。そこ
で、以下、CMP工程以降の工程を説明する。
【0158】図34は、埋め込みCu配線の形成に用い
るCMP装置の全体構成の一例を示す概略図である。
【0159】図示のように、CMP装置400は、研磨
処理部401とその後段に設けられた後洗浄部402と
によって構成されている。研磨処理部401には、ウエ
ハ(基板)1の研磨処理を行う2台の定盤(第1定盤4
03A、第2定盤403B)、研磨処理が終わった基板
1を予備洗浄し、その表面に防食処理を施すクリーン・
ステーション404、基板1をローダ406、第1定盤
403A、第2定盤403B、クリーン・ステーション
404、アンローダ407間に移動させる回転アーム4
05などが設置されている。
【0160】研磨処理部401の後段には予備洗浄が終
わった基板1の表面をスクラブ洗浄する後洗浄部402
が設けられている。後洗浄部402には、ローダ40
8、第1洗浄部409A、第2洗浄部409B、スピン
ドライヤ410、アンローダ411などが設置されてい
る。また、後洗浄部402は、洗浄中の基板1の表面に
光が照射するのを防ぐために、全体が遮光壁430で囲
まれ、内部が180ルックス、好ましくは100ルック
ス以下の暗室状態となっている。これは、表面に研磨液
が付着した基板1に湿潤状態で光が照射されると、シリ
コンの光起電力によってpn接合に短絡電流が流れ、p
n接合のp側(+側)に接続されたCu配線の表面から
Cuイオンが解離して配線腐食を引き起こすからであ
る。
【0161】図35に示すように、第1定盤403A
は、その下部に設けられた駆動機構412によって水平
面内で回転駆動する。また、第1定盤403Aの上面に
は多数の気孔を有するポリウレタンなどの合成樹脂を均
一に貼り付けて形成した研磨パッド413が取り付けら
れている。第1定盤403Aの上方には、駆動機構41
4によって上下動および水平面内で回転駆動するウエハ
キャリア415が設置されている。基板1は、このウエ
ハキャリア415の下端部に設けられたウエハチャック
416およびリテーナリング417によって、その主面
(被研磨面)を下向きにして保持され、所定の荷重で研
磨パッド413に押し付けられる。研磨パッド413の
表面と基板1の被研磨面との間にはスラリ供給管418
を通じてスラリ(研磨液)Sが供給され、基板1の被研
磨面が化学的および機械的に研磨される。また、第1定
盤403Aの上方には、駆動機構419によって上下動
および水平面内で回転駆動するドレッサ420が設置さ
れている。ドレッサ420の下端部にはダイヤモンド粒
子を電着した基材が取り付けられており、研磨パッド4
13の表面は、研磨砥粒による目詰まりを防ぐために、
この基材によって定期的に切削される。なお、第2定盤
403Bは、2本のスラリ供給管418a、418bが
設けられている点を除き、第1定盤403Aとほぼ同様
の構成になっている。
【0162】上記CMP装置400を使ってCu配線を
形成するには、ローダ406に収容された基板1を回転
アーム405を使って研磨処理部401に搬入し、まず
図36に示すように、第1定盤403Aの上において、
砥粒を含まないスラリを使用した化学機械研磨(砥粒フ
リー化学機械研磨)(第1ステップのCMP)を行い、
前記配線溝40〜44の外部のCu膜46を除去する
(図37)。
【0163】ここで砥粒フリー化学機械研磨とは、アル
ミナ、シリカなどの粉末からなる砥粒の含有量が0.5
重量%以下の研磨液(スラリ)を使用した化学機械研磨
を意味し、研磨液としては、特に砥粒の含有量が0.1
重量%以下のものが好ましく、0.05重量%以下ある
いは0.01重量%以下のものはさらに好ましい。
【0164】また、研磨液としては、Cuの腐食域に属
するようにそのpHが調整されたものが使用され、さら
にTiN膜45(バリア層)に対するCu膜46の研磨
選択比が少なくとも5以上となるようにその組成が調整
されたものが使用される。このような研磨液として、酸
化剤と有機酸とを含んだスラリを例示することができ
る。酸化剤としては、過酸化水素、水酸化アンモニウ
ム、硝酸アンモニウム、塩化アンモニウムなどを例示す
ることができ、有機酸としては、クエン酸、マロン酸、
フマル酸、リンゴ酸、アジピン酸、安息香酸、フタル
酸、酒石酸、乳酸、コハク酸などを例示することができ
る。これらのうち、過酸化水素は金属成分を含まず、か
つ強酸ではないため、研磨液に用いて好適な酸化剤であ
る。また、クエン酸は食品添加物としても一般に使用さ
れており、毒性が低く、廃液としての害も低く、臭いも
なく、水への溶解度も高いため、研磨液に用いて好適な
有機酸である。本実施の形態では、例えば純水に5体積
%の過酸化水素と0.03重量%のクエン酸とを加え、
砥粒の含有量を0.01重量%未満にした研磨液を使用
する。
【0165】上記研磨液で化学機械研磨を行うと、まず
Cu表面が酸化剤によって酸化され、表面に薄い酸化層
が形成される。次に酸化物を水溶性化する物質が供給さ
れると上記酸化層が水溶液となって溶出し、上記酸化層
の厚さか減る。酸化層が薄くなった部分は再度酸化性物
質に晒されて酸化層の厚さが増し、この反応を繰り返し
て化学機械研磨が進行する。なお、このような砥粒フリ
ーの研磨液を使用した化学機械研磨については、本願発
明者などによる日本特願平9−299937号および特
願平10−317233号に詳しく記載されている。
【0166】研磨の条件は、一例として荷重=250g
/cm2、ウエハキャリア回転数=30rpm 、定盤回転数=
25rpm 、スラリ流量=150cc/minとし、研磨パッド
は、米国ロデール(Rodel) 社の硬質パッド(IC140
0)を使用する。研磨の終点は、Cu膜46が除去され
て下地のTiN膜45が露出した時点とし、終点の検出
は、研磨対象がCu膜46からTiN膜45になったと
きに変化する定盤またはウエハキャリアの回転トルク信
号強度を検出することによって行う。また、研磨パッド
の一部に穴を開け、ウエハ表面からの光反射スペクトル
変化に基づいて終点を検出したり、スラリの光学的スペ
クトル変化に基づいて終点を検出したりしてもよい。
【0167】図37に示すように、上記の砥粒フリー化
学機械研磨を行うことにより、配線溝40〜44の外部
のCu膜46は殆ど除去されて下層のTiN膜45が露
出するが、図38(a),(b)に拡大して示すよう
に、下地段差に起因して生じたTiN膜45の窪み(矢
印で示す)などには、この研磨では除去しきれなかった
Cu膜46が残存する。
【0168】次に、配線溝40〜44の外部のTiN膜
45とその上面に局所的に残ったCu膜46とを除去す
るために、基板1を、上記図34〜図36に示した第1
定盤403Aから第2定盤403Bに移し、砥粒を含む
研磨液(スラリ)を使用した化学機械研磨(有砥粒化学
機械研磨)(第2ステップのCMP)を行う。ここで有
砥粒化学機械研磨とは、アルミナ、シリカなどの粉末か
らなる砥粒の含有量が0.5重量%よりも多いの研磨液
を使用した化学機械研磨を意味する。本実施の形態で
は、研磨液として純水に5体積%の過酸化水素、0.0
3重量%のクエン酸および0.5重量%の砥粒を混合し
たものを使用するが、これに限定されるものではない。
この研磨液は、前記のスラリ供給管418aを通じて第
2定盤403Bの研磨パッド413に供給される。
【0169】また、この有砥粒化学機械研磨において
は、TiN膜45の上面に局所的に残ったCu膜46の
除去に引き続いて、配線溝40〜44の外部のTiN膜
45を除去する。そこで、TiN膜45(バリア層)に
対するCu膜46の研磨選択比が前記砥粒フリー化学機
械研磨のそれよりも低い条件、例えば選択比3以下の条
件で研磨を行い、配線溝40〜44の内部のCu膜46
の表面が研磨されるのを抑制する。
【0170】研磨の条件は、一例として荷重=120g
/cm2、ウエハキャリア回転数=30rpm 、定盤回転数=
25rpm 、スラリ流量=150cc/minとし、研磨パッド
は、ロデール社のIC1400を使用する。研磨量はT
iN膜45の膜厚相当分とし、研磨の終点は、TiN膜
45の膜厚および研磨速度から算出した時間によって制
御する。
【0171】図39に示すように、上記の有砥粒化学機
械研磨を行うことにより、配線溝40〜44の外部のT
iN膜45は殆ど除去されて下層の酸化シリコン膜39
が露出するが、図40(a)、(b)に拡大して示すよ
うに、下地段差に起因して生じた酸化シリコン膜39の
窪み(矢印で示す)などには、上記の研磨で除去しきれ
なかったTiN膜45が残存する。
【0172】次に、配線溝40〜44の内部のCu膜4
6の研磨を可能な限り抑制しつつ、配線溝40〜44の
外部の酸化シリコン膜39上に局所的に残ったTiN膜
45(バリア層)を除去するための選択的化学機械研磨
(第3ステップのCMP)を行う。この選択的化学機械
研磨は、Cu膜46に対するTiN膜45の研磨選択比
が少なくとも5以上となる条件で行う。また、この化学
機械研磨は、Cu膜46の研磨速度に対する酸化シリコ
ン膜39の研磨速度の比が1よりも大きくなる条件で行
う。
【0173】上記選択的化学機械研磨を行うには、一般
に前記有砥粒化学機械研磨で使用したような0.5重量
%よりも多いの砥粒を含有する研磨液に防食剤を添加し
たものを使用する。防食剤とは、Cu膜46の表面に耐
食性の保護膜を形成することによって研磨の進行を阻止
または抑制する薬剤をいい、ベンゾトリアゾール(BT
A)、BTAカルボン酸などのBTA誘導体、ドデシル
メルカプタン、トリアゾール、トリルトリアゾールなど
が使用されるが、特にBTAを使用した場合に安定な保
護膜を形成することができる。
【0174】防食剤としてBTAを使用する場合、その
濃度はスラリの種類にもよるが、通常は0.001〜1
重量%、より好ましくは0.01〜1重量%、さらに好
ましくは0.1〜1重量%(3段階)の添加で十分な効
果が得られる。本実施の形態では、研磨液として前記第
2ステップの有砥粒化学機械研磨で使用した研磨液に防
食剤として0.1重量%のBTAを混合したものを使用
するが、これに限定されるものではない。また、防食剤
の添加による研磨速度の低下を避けるために、ポリアク
リル酸、ポリメタクリル酸、これらのアンモニウム塩ま
たはエチレンジアミン四酢酸(EDTA)などを必要に
応じて添加してもよい。なお、このような防食剤を含む
スラリを使用した化学機械研磨については、本願発明者
などによる特願平10−209857号、特願平9−2
99937号および特願平10−317233号に詳し
く記載されている。
【0175】この選択的化学機械研磨(第3ステップの
CMP)は、前記の有砥粒化学機械研磨(第2ステップ
のCMP)が終了した後、引き続いて図34〜図36に
示したCMP装置の第2定盤403Bの上で行われる。
防食剤を添加した研磨液は、前記のスラリ供給管418
bを通じて研磨パッド413の表面に供給される。研磨
の条件は、一例として荷重=120g/cm2、ウエハキャ
リア回転数=30rpm、定盤回転数=25rpm 、スラリ
流量=190cc/minとする。
【0176】図41および図42(a)、(b)に示す
ように、上記の選択的化学機械研磨を行うことにより、
配線溝40〜44の外部のTiN膜45がすべて除去さ
れ、配線溝40〜44の内部に埋め込みCu配線46a
〜46eが形成される。
【0177】埋め込みCu配線46a〜46eの形成が
完了した上記基板1の表面には、砥粒などのパーティク
ルやCu酸化物などの金属粒子を含んだスラリ残渣が付
着している。そこで、このスラリ残渣を除去するため
に、まず、前記図34に示すクリーン・ステーション4
04においてBTAを含む純水で基板1を洗浄する。こ
のとき、洗浄液に800kHz以上の高周波振動を加え
て基板1の表面からスラリ残渣を遊離させるメガソニッ
ク洗浄を併用してもよい。次に、表面の乾燥を防ぐため
に基板1を湿潤状態に保持した状態で研磨処理部401
から後洗浄部402に搬送し、第1洗浄部409Aにお
いて0.1重量%のNH4 OHを含む洗浄液を用いた
スクラブ洗浄を行い、続いて第2洗浄部409Bにおい
て純水を用いたスクラブ洗浄を行う。前記のように、後
洗浄部402は、洗浄中の基板1の表面に光が照射する
ことに起因してCu配線46a〜46eに腐食が発生す
るのを防ぐため、全体が遮光壁430で覆われている。
【0178】上記スクラブ洗浄(後洗浄)が完了した基
板1は、スピンドライヤ410で乾燥された後、次工程
へ搬送される。その後の工程は実施の形態1と同様であ
る。図43は、上述したCu配線46a〜46eの形成
プロセスの全体フロー図である。
【0179】本実施の形態によれば、実施の形態1の場
合よりさらにTDDB寿命を向上できる。図44は本実
施の形態の場合のTDDB寿命を示したグラフである。
本実施の形態の場合のデータはラインEで示している。
参考のため、処理無し(ラインRef)と有砥粒の化学
機械研磨の場合(実施の形態1)のデータ(ラインA)
を同時に示している。なお、アンモニアプラズマ処理を
行わず、砥粒フリーの化学機械研磨のみを行っただけで
もラインFに示すようにTDDB特性が改善する。この
ように砥粒フリーの場合にTDDB寿命が向上するのは
酸化シリコン膜に与えるダメージを低減できるためと考
えられる。有砥粒の場合、スラリには2〜3μmの粒径
(2次粒径)の砥粒(アルミナ等)が含まれる。この砥
粒によりマイクロスクラッチが生じ、酸化シリコン膜3
9の表面にダメージを与える。しかし、砥粒フリーの場
合にはスラリに砥粒が含まれず、あるいは含まれていて
もごく少数であるため、ダメージを大幅に軽減できる。
このため、TDDB特性が改善されたものと考えられ
る。
【0180】なお、次の実施の形態で説明する酸処理
(HF処理)を組み合わせると、さらにTDDB特性が
改善する(ラインG)。酸処理は、CMP後洗浄後、さ
らに酸性水溶液(たとえばHF水溶液)で基板1を処理
し、その後アンモニアプラズマ処理を行うものである。
酸処理により表面のダメージ層が除去されて界面の密着
性が向上しTDDB寿命が改善したものと考えられる。
【0181】(実施の形態3)図45は、本実施の形態
3のCu配線46a〜46eの形成プロセスの全体フロ
ー図である。同図に示すように、HFまたはクエン酸に
よる洗浄工程を挿入した以外は実施の形態1と同様であ
る。
【0182】HF洗浄は、たとえばブラシスクラブ洗浄
を用い、HF濃度を0.5%、洗浄時間を20秒の条件
が選択できる。
【0183】あるいはHF洗浄に代えてクエン酸洗浄を
用いても良い。クエン酸洗浄は、たとえばブラシスクラ
ブ洗浄を用い、クエン酸濃度を5%、洗浄時間を45秒
の条件が選択できる。
【0184】このようにHFまたはクエン酸洗浄を用い
ることにより、CMP等で生じた表面のダメージ層を除
去することができる。これによりTDDB寿命を向上で
きる。図46は、本実施の形態の場合のTDDB寿命を
示したグラフである。本実施の形態の場合のクエン酸を
適用したデータはラインH、HF洗浄を適用したデータ
はラインIで示している。参考のため、処理無し(ライ
ンRef)と実施の形態1のデータ(ラインA)を同時
に示している。なお、アンモニアプラズマ処理を行わ
ず、HF洗浄のみを行っただけでもラインJに示すよう
にTDDB特性が改善する。これは、ダメージ層の除去
により界面の特性を向上できたためと思われる。
【0185】(実施の形態4)図47〜図49は、本発
明の実施の形態4である半導体集積回路装置の製造方法
を示した平面図および断面図である。図47〜図49に
おいては配線部のみ示している。
【0186】図47に示すように、絶縁膜501上に配
線形成用の絶縁膜502を形成し、この絶縁膜502に
埋め込んで銅配線503を形成する。銅配線503の形
成方法は実施の形態1〜3と同様である。
【0187】さらに、窒化シリコン膜504、低誘電率
の酸化シリコン膜505およびTEOSを原料ガスに用
いてプラズマCVD法により形成した酸化シリコン膜
(TEOS酸化膜)506を形成する。
【0188】低誘電率の酸化シリコン膜505は、例え
ば水素シルセスキオキサン(Hydrogen Silsesquioxane)
を原料とする無機系SOG膜、テトラアルコキシシラン
(tetra alkoxy silane) +アルキルアルコキシシラン(a
lkyl alkoxy silane) を原料とする有機系SOG膜とい
った塗布型絶縁膜や、プラズマCVD法で成膜するフロ
ロカーボンポリマー膜など、比誘電率(ε)が3.0以
下の酸化シリコン系絶縁膜によって構成する。このよう
な低誘電率の酸化シリコン膜を用いることによって配線
間寄生容量を低減し、配線遅延の問題を回避できる。
【0189】次に、図48(a)に示すようなパターン
で、図48(b)に示すように、接続孔507を開口す
る。接続孔507の開口にはフォトリソグラフィとエッ
チングを用いる。ところで、低誘電率の酸化シリコン膜
505は、表面が粗な膜構造を有し、Si−OH結合を
多く有する。このためその上層に形成する膜の膜質や界
面状態が良くないことは経験的に判明している。また、
次工程で説明するバリア膜(窒化チタン)をそのまま未
処理で成膜するとTDDB特性が良くないことも経験的
に判明している。そこで、次に、実施の形態1で説明し
たアンモニアプラズマ処理を接続孔507内部の酸化シ
リコン膜505露出部に施す。これにより、表面のSi
−OH結合が改質されて、実施の形態1で説明したよう
にSi−O−N結合に転換される。
【0190】次に、図49に示すように、接続孔507
内に窒化チタンおよびタングステンからなるプラグ50
8を形成する。この窒化チタンの堆積の際、実施の形態
1と同様にSi−O−N結合が離脱し、窒化チタンと低
誘電率の酸化シリコン膜505との界面が改善され接着
性が向上する。
【0191】なお、このような接続孔内のプラズマ処理
は、配線溝にも適用できることはもちろんである。
【0192】また、アンモニアプラズマ処理に代えて水
素プラズマ処理、窒素、アルゴン、ヘリウム等が混合さ
れたプラズマ処理であっても良い。
【0193】なお、接続孔507の開口後にフォトレジ
スト膜を除去するためのアッシング工程に置いて、接続
孔507底部の配線503の表面が酸化される場合があ
る。このような酸化層を除去する技術として、特開平1
1−16912号公報に記載の技術がある。
【0194】また、低誘電率の酸化シリコン膜505
は、パッシベーション膜として形成される保護膜に含ま
れる酸化シリコン膜(たとえばTEOS酸化膜)の誘電
率よりも低い誘電率を有する酸化シリコン膜と定義でき
る。
【0195】(実施の形態5)上記した埋め込みCu配
線46a〜46eの形成方法は、デュアルダマシン法を
用いた埋め込みCu配線の形成に適用することもでき
る。この場合は、第1層目のW配線24〜30を形成し
た後、まず、図50に示すように、第1層目のW配線2
4〜30の上部にプラズマCVD法で膜厚1200nm
程度の酸化シリコン膜31、膜厚50nm程度の薄い窒
化シリコン膜38および膜厚350nm程度の酸化シリ
コン膜39を順次堆積する。
【0196】次に、図51に示すように、フォトレジス
ト膜をマスクにしたドライエッチングで第1層目のW配
線24、26、27、29、30の上部の酸化シリコン
膜39、窒化シリコン膜38および酸化シリコン膜31
を順次除去した後、図52(a)、(b)に示すよう
に、別のフォトレジスト膜をマスクに用い、窒化シリコ
ン膜38をエッチングのストッパしたドライエッチング
で酸化シリコン膜39を除去することによって、スルー
ホールを兼ねた配線溝50〜54を形成する。
【0197】次に、図53に示すように、配線溝50〜
54の内部を含む酸化シリコン膜39の上部に膜厚50
nm程度の薄いTiN膜45を堆積した後、TiN膜4
5の上部に配線溝50〜54の深さよりも十分に厚い膜
厚のCu膜46を堆積する。スルーホールを兼ねた配線
溝50〜54は、前記配線溝40〜44に比べてアスペ
クト比が大きいため、TiN膜45はCVD法で堆積す
る。また、Cu膜46はスパッタリングを2回以上繰り
返すことによって堆積する。また、CVD法、電解メッ
キ法あるいは無電解メッキ法で形成してもよい。メッキ
法でCu膜46を形成する場合には、配線溝50〜54
の下層にCuのシード層をスパッタリング法などで形成
する工程が必要となる。
【0198】次に、図54に示すように、前述した砥粒
フリー化学機械研磨、有砥粒化学機械研磨および選択的
化学機械研磨によって配線溝50〜54の外部のCu膜
46とTiN膜45とを除去し、配線溝50〜54の内
部に埋め込みCu配線46a〜46eを形成する。その
後の工程は、前記シングルダマシン法を用いた埋め込み
Cu配線46a〜46eの形成方法と同じである。
【0199】(実施の形態6)前記したように、Cuを
配線材料に用いた場合は、TDDB寿命が、他の金属材
料(たとえばアルミニウム、タングステン)よりも著し
く短くなることが一般的に知られている。ここで、図5
5は、Cu配線、アルミニウム配線、タングステン配線
のTDDB特性を測定したデータを示すグラフである。
縦軸にTDDB寿命を横軸に電界強度を割り当ててい
る。
【0200】アルミニウム配線の特性(データA)およ
びタングステン配線の特性(データB)を外挿すると、
電界強度が0.2MV/cm(通常使用状態)における
TDDB寿命は、本発明者らの開発目標である3×10
8sec(10年)を優に越える。一方、Cu配線の特
性(データC)を外挿すると、10年の開発目標に対し
てほとんどマージンのない状態であることがわかる。
【0201】この試験において、アルミニウム配線は、
被膜の堆積とフォトリソグラフィを用いたパターニング
により形成しているが、タングステン配線は、Cu配線
と同様にダマシン法を用いて形成している。すなわち、
Cu配線とタングステン配線の相違は材料のみであり、
構造上の相違はない。それにもかかわらずTDDB特性
の顕著な相違があることは、配線材料の相違に起因する
ことが示唆される。なお、ここでのTDDB特性は14
0℃の温度下で行ったデータを示している。
【0202】このようなTDDB寿命の劣化原因は、配
線材料に適用されたCuが周辺に拡散し、これが配線間
の絶縁耐圧を低下させると一般には考えられている。し
たがって、Cu配線の実用化に際しては、Cuの拡散を
防止するためのバリア膜が必須と考えられている。しか
し、配線の微細化に連れて、配線断面積中に占める高抵
抗のバリア膜の断面積が増加することにより配線抵抗が
増加する結果、配線材料として銅を適用したメリットが
薄れるという問題が発生する。
【0203】そこで、本発明者らは、銅の拡散現象につ
いて改めて実験を行い検討した。その結果、本発明者ら
は、前記したように銅の拡散現象について本質的なメカ
ニズムを初めて見出した。すなわち、配線中の銅は原子
状の銅よりも、酸化銅あるいは銅シリサイドから供給さ
れるイオン化銅が配線間の電位でドリフトし拡散する要
因が支配的である。また、銅の拡散経路は銅配線が形成
された絶縁膜とキャップ膜との界面が支配的である。す
なわち、銅配線の表面に酸化銅あるいは銅シリサイドが
形成され、これら銅の化合物から銅イオンが形成され、
イオン化された銅が配線形成用絶縁膜とキャップ膜との
界面に沿って配線間電界によりドリフトして拡散し、こ
の拡散した銅原子がリーク電流を増加させる原因にな
る。そして、そのリーク電流の増加は熱ストレスを増加
させ、最終的にリークパスで絶縁破壊が生じてTDDB
寿命に至る。
【0204】図56は、前記各種の表面処理(アンモニ
アプラズマ処理、水素プラズマ処理、水素アニール処
理、窒素プラズマ処理)および処理なし時のCu配線中
のSiの含有量を示したグラフである。なお、この検査
結果は、前記Cu配線(TiN膜(バリア膜)を含む)
の形成工程、前記洗浄工程、前記各種の表面処理工程、
前記キャップ膜の形成工程および前記層間絶縁膜の形成
工程後に行った検査により作成されたものである。ま
た、酸素や硫黄等のような他の不純物においてもSiと
同じような結果が得られると考えられる。
【0205】各種の表面処理におけるCuシリサイド
は、前記したように主としてキャップ膜(窒化シリコン
等)の成膜時のセットフローによるものである。この検
査時点における水素アニール処理および窒素プラズマ処
理においては、アンモニアプラズマ処理や水素プラズマ
処理に比較して、Cu配線の表面近傍(d=10〜60
nm程度)および内部(d=90〜300nm程度)に
おけるSiの含有量が多い。特に、表面近傍において極
めて多いことが分かる。これらの処理では、前記図33
に示したように、TDDB特性が悪かった。
【0206】一方、この検査時点におけるアンモニアプ
ラズマ処理や水素プラズマ処理においては、水素アニー
ル処理および窒素プラズマ処理に比較して、Cu配線の
表面近傍および内部におけるSiの含有量が少ない。特
に、表面近傍におけるSiの含有量が極めて少ない。す
なわち、これらの処理では、Cu配線における不純物の
含有量が少ない上、配線溝が形成された絶縁膜の表面の
清浄度が高く、また、配線溝が形成された絶縁膜の表面
のダングリングボンド等が少ない。したがって、前記図
33に示したように、TDDB特性が良好であった。こ
のようにTiN膜(導電性バリア膜)がある場合におい
て、TDDB特性は、界面の影響のみで決定される。
【0207】このような新しい見地から本発明者らは、
配線溝内の側壁部および底部にイオン化されていない中
性Cuを成膜すること(銅の純度を上げること)、前記
アンモニアプラズマ処理または水素プラズマ処理を施す
こと、あるいはこれらと前記CMP処理または前記洗浄
処理等とを組み合わせることにより、バリア膜の膜厚を
10nm未満に薄くしても、あるいは、バリア膜自体を
無くしてしまっても、Cu配線を有する半導体集積回路
装置のTDDB寿命を向上させることができることを初
めて見出した。
【0208】ここで、図57に配線抵抗(TiN・x
(膜厚)nm/TiN・50nm比)のTiN膜(バリ
ア膜)厚の依存性を示す。同図は、配線幅が、例えば
0.4μm程度および1.0μm程度、配線溝の深さ
が、例えば0.4μm程度の溝形状に対して、配線抵抗
の実測値と理論値(計算値)とを示している。なお、T
iN膜の膜厚は、配線溝の底部の膜厚とする。
【0209】この図57から、TiN膜(バリア膜)の
厚さが薄くなるに連れて配線抵抗も減少し、計算値と実
測値とがほぼ一致することが分かる。したがって、Ti
Nの膜厚が、50nmの場合の配線抵抗と比較し、Ti
N膜が無い場合は、配線幅が0.4μm程度の場合で1
9%、配線幅が1.0μm程度の場合で15%程度、配
線抵抗を大幅に低減できる。また、TiN膜の膜厚が1
0nm程度の場合でも、配線幅が0.4μm程度の場合
で16%、配線幅が1.0μm程度の場合で12%程
度、配線抵抗を低減できることが分かる。
【0210】また、図58に、Cu配線をロングスロー
スパッタリング法によって形成した場合におけるTDD
B特性のTiN膜依存性を示す。同図からTiN膜の膜
厚が10〜50nm程度のTDDB特性は前述している
のと同等であることが分かる。一方、TiN膜が無い場
合の試料のTDDB特性は、TiN膜が10〜50nm
程度のTDDB特性に比べて、傾きが緩くなるが新制度
目標(例えば0.2MV/cm、110℃、10年=3
×108秒)を充分に越えることが分かる。
【0211】また、図59に、TiN膜が無い場合およ
びTiN膜の厚さが10nm程度で形成した場合におけ
る各々のCu配線における熱処理の有無によるTDDB
特性を示す。同図から、TiN膜が無い試料でも、例え
ば400℃、3時間の熱処理でTDDB特性が劣化しな
いことが分かる。
【0212】この図58および図59の評価結果から、
TiN膜が無い場合、すなわち、Cuのみで配線を構成
した場合でも充分は信頼度を達成することができ、実用
的なCu配線を形成することが可能であることが本発明
者らの実験によって初めて判明した。
【0213】次に、本実施の形態6の半導体集積回路装
置の配線構造の具体的な一例を図60に示す。図60
は、半導体集積回路装置の一部(第1層配線と第2層配
線部分)を抜き出して示した断面図であり、(a)はシ
ングルダマシン法によって形成された箇所、(b)はデ
ュアルダマシン法によって形成された箇所をそれぞれ示
している。なお、窒化シリコン膜47上には、酸化シリ
コン膜48が堆積されている。また、同図(b)におい
ては、酸化シリコン膜31aおよびW配線27上に窒化
シリコン膜49を介して酸化シリコン膜31bが堆積さ
れている。そして、その酸化シリコン膜31bおよび窒
化シリコン膜49には、W配線27の上面の一部が露出
されるようなスルーホール34が形成されている場合が
例示されている。また、以下の説明においては、説明を
容易にするために、第1層配線と第2層配線部分のみを
抜き出して説明するが、本発明は、その部分のみに適用
されることに限定されるものではなく、他の配線層部分
に適用することも可能である。
【0214】配線の幅(配線溝42の幅)および隣接配
線間隔(隣接配線の互いに対向する側面から側面までの
距離)は、例えば0.4μm以下である。本発明者らが
検討している配線幅および隣接配線間隔は、例えば0.
25μm以下、あるいは0.2μm以下の配線構造を有
する半導体集積回路装置である。配線溝42のアスペク
ト比は、例えば1である。
【0215】また、TiN膜45で例示される導電性バ
リア膜の厚さは、例えば10nm未満、好ましくは6〜
7nm程度である。本実施の形態6においては、そのT
iN膜45の膜厚を、例えば5nm以下、またはそれよ
りも薄い3nm以下、あるいはさらに薄い2nm程度と
してもTDDB特性を向上させることができた。ここで
言うTiN膜45の厚さは、最も薄く被着される面部分
を指している。すなわち、ここでは、前述したように配
線溝(配線溝42等)または接続孔(スルーホール34
等)内のTiN膜45の膜厚において側壁部が最も薄く
被着されることから、その側壁部のTiN膜45の厚さ
のことを指している。そして、さらに、その場合に、例
えば次の2通りの構造がある。1つは、配線溝や接続孔
内の側壁部(溝や孔の底部角を含む)において、TiN
膜45が最も薄い部分の厚さが、上記厚さ(例えば10
nm未満、好ましくは6〜7nm程度、5nm以下、3
nm以下、または2nm程度)である。他の1つは、配
線溝や接続孔内の側壁部において、TiN膜45の最も
厚い部分の厚さが、上記厚さ(例えば10nm未満、好
ましくは6〜7nm程度、5nm以下、3nm以下、ま
たは2nm程度)である。
【0216】上記のように厚さ10nm未満のTiN膜
45を形成したことにより、TiN膜45の方が、酸化
シリコン膜39との密着性がCu膜よりも良いので、C
MP処理時にCu膜46が剥離するのを防止できる。ま
た、TiN膜45を設けない場合(後の実施の形態8で
説明)に比べて配線抵抗は上昇するものの、信頼性の高
いCu配線構造を実現できる。また、TiN膜45を設
けない場合に比べてTDDB特性を向上させることがで
きる。これは、TiN膜45が無い場合、Cu膜46の
成膜時にCuが配線溝42の側壁部に衝突しSiO2
反応する結果、Cuイオンが僅かに発生するためと考え
られる。熱処理後であってもTDDB特性は劣化しない
ことから、その僅かなCu/SiO2界面のCuイオン
層が影響していると考えられる。したがって、本実施の
形態によれば、僅か10nm未満のTiN膜45でもイ
オン化したCuに対してバリアとなり、TDDB特性を
向上させることができると考えられる。
【0217】また、Cu配線46cで例示されるCu配
線のCu以外の成分の濃度は、0.8原子%(atom
ic%またはAt.%)以下または0.2atomic
%以下である。また、本発明者らの実測結果では、その
Cu以外の成分の濃度を、例えば0.08atomic
%以下、または、それよりも低い0.05atomic
%以下、あるいはそれよりもさらに低い0.02ato
mic%以下にすることが可能であった。このCu以外
の成分の濃度の値は、半導体チップが完成した時点、す
なわち、ウエハプロセスを経て半導体ウエハから半導体
チップが切り出された時点での値であって、そのCu以
外の成分が、Cu配線形成後の絶縁膜や金属膜の成膜処
理時等の熱(例えばタングステン等では成膜時に450
℃程度の熱が加わる)によってCu配線中に拡散したこ
とを想定して算出した値である。実際のCu配線におい
て、そのCu以外の成分は、Cu配線の上層部(キャッ
プ膜が接する部分)の濃度が高く、Cu配線の中心に向
かって次第に薄くなるような状態で分布していると考え
られる。そのCu以外の成分としては、例えばシリコ
ン、酸素、硫黄(硫黄はCu配線をメッキ法で形成した
場合に考えられる)またはそれらの任意の組合せがあ
る。
【0218】また、酸化シリコン膜31a,31b,3
1,39,48等に代えて、層間絶縁膜の材料を、例え
ばSiOF、有機SOG(Spin On Glass)またはPS
G(Phospho Silicate Glass)膜としても良い。SiO
Fや有機SOG膜等のような誘電率の低い絶縁材料で構
成した場合、配線容量を低減できるので、半導体集積回
路装置のさらに性能を向上させることが可能となる。ま
た、PSG膜を用いた場合、Cuの拡散を防止する機能
を有するので、TDDB寿命をさらに向上させることが
可能となる。したがって、半導体集積回路装置の信頼性
をさらに向上させることが可能となる。
【0219】次に、このようなシングルダマシン法によ
るCu配線構造の形成方法の一例を図61〜図65によ
って説明する。なお、図61〜図65の各々の(a)
は、半導体集積回路装置の製造工程中の要部平面図を示
し、(b)は各図(a)のA−A線の断面図を示してい
る。また、図61〜図64の(a)は平面図であるが、
図面を分かり易くするために金属膜にハッチングを付
す。
【0220】まず、前記実施の形態1等で説明した図1
〜図6の工程を経た後、図7を用いた説明と同様にして
図61に示すように、配線溝42を形成する。配線溝4
2の底面からはプラグ37の上面が露出している。続い
て、図62に示すように、例えばTa膜45a(導電性
バリア膜)を、例えばデポ膜厚で30nm程度、前記実
施の形態1と同様のスパッタリング法等によって堆積す
る。この際、配線溝42の側壁部には、最も厚い箇所
で、または、最も薄い箇所で、例えば10nm未満以
下、6〜7nm程度のTa膜45aが被着される。な
お、ここでは、導電性バリア膜をTaとしているが、前
記したのと同様に、TiNやその他に例示した膜でも良
い。
【0221】その後、Ta膜45a上に、Cu膜46
を、例えばデポ膜厚で300nm程度、前記実施の形態
1と同様のスパッタリング法によって堆積する。この際
の条件は、例えば次の通りである。圧力は、例えば0.
02Pa、直流(DC)パワーは、例えば10kW、タ
ーゲットと基板1との距離は、例えば300〜400m
m、温度は、例えば室温である。
【0222】このように本実施の形態においては、Cu
膜46をスパッタリング法によって堆積することによ
り、CVD法やメッキ法に比べて、化合物の生成を非常
に少なくすることができる。また、その際のターゲット
として、例えば99.999%(5N)以上、好ましく
は、99.9999%(6N)以上の純度の高い無酸素
Cuを用いた。これにより、例えば成膜時のCu膜46
のCuの濃度を99.999%以上、好ましくは、9
9.9999%以上にできる。したがって、さらに純度
の高いCuを堆積できる。
【0223】Ta膜45aおよびCu膜46の堆積に際
しては、通常のスパッタリング法でも良いが、ロングス
ロースパッタリング法やコリメートスパッタリング法等
のような指向性の高いスパッタリング法を用いても良
い。その場合、配線溝42への金属膜のカバレージを向
上させることができる。
【0224】次いで、水素アニール処理を施す。これに
より、Cu膜46を配線溝42内に良好に埋め込む。そ
の際の条件は、例えば475℃、3分、26.6644
×102Pa、500sccm程度である。
【0225】続いて、Cu膜46およびTa膜45a
を、図63に示すように、前記実施の形態1,2で説明
したのと同様のCMP法等によって研磨し余分な部分を
除去することにより、Cu配線46cを形成する。続い
て、前記実施の形態1、2で説明したのと同様の防食プ
ロセスおよび前記実施の形態1〜3で説明したのと同様
の洗浄処理を施す。その後、図64の網掛けのハッチン
グで示すように、絶縁膜39およびCu配線46cの表
面に対して、前記実施の形態1で説明したアンモニアプ
ラズマ処理または水素プラズマ処理を施す。
【0226】アンモニアプラズマ処理を施した場合に
は、酸化シリコン膜39の表面部分に、SiH結合およ
びSiN結合が形成される結果、酸化シリコン膜39の
表面部分の膜質、清浄度および電気的な安定性を向上で
き、Cuの拡散防止性能を向上させることが可能とな
る。また、前記実施の形態1で説明したように、キャッ
プ膜との接着性を向上させることも可能となる。また、
Cu配線46cの表面部分においては、CuNが形成さ
れ、そのCuNが、後の工程でシリコンや酸素の結合を
阻止するように作用する結果、銅シリサイドや酸化銅の
形成を防止でき、銅の純度を向上させることが可能とな
る。したがって、Cuの拡散を防止でき、TDDB寿命
を向上させることが可能となる。また、Cuの純度が高
いので半導体チップとして完成された状態においてCu
配線の抵抗を目的通りに下げることができる。このた
め、半導体集積回路装置の性能を向上させることが可能
となる。
【0227】一方、水素プラズマ処理を施した場合に
は、酸化シリコン膜39の表面部分で、SiH結合が形
成される結果、アンモニアプラズマ処理の場合とほぼ同
じ効果が得られた。また、本発明者らの実験結果によれ
ば、水素プラズマ処理においては、その後のキャップ膜
の形成工程においてCuが数%程度のシリコンと反応す
るが、水素アニール、窒素プラズマ処理あるいは無処理
の場合に比べて、リーク電流を大幅に低減することがで
き、TDDB寿命を向上させることが可能であった。ま
た、Cu配線の抵抗は、アンモニアプラズマ処理に比べ
れば劣るものの、水素アニールまたは窒素プラズマ処理
の場合に比べて低下させることができた。
【0228】その後、図65に示すように、窒化シリコ
ン膜(キャップ膜)47を前記実施の形態1等と同様に
堆積する。その後、図60(a)に示したように、窒化
シリコン膜47上に、例えばTEOS(Tetraethoxysil
ane)ガスを用いたプラズマCVD法等によって酸化シ
リコン膜48を堆積する。
【0229】次に、デュアルダマシン法によるCu配線
構造の形成方法の一例を図66〜図77によって説明す
る。なお、図66〜図77の各々の(a)は、半導体集
積回路装置の製造工程中の要部平面図を示し、(b)は
各図(a)のA−A線の断面図を示している。また、図
73〜図76の(a)は平面図であるが、図面を分かり
易くするために金属膜にハッチングを付す。
【0230】まず、前記実施の形態1等で説明した図1
〜図5の工程を経て、前記実施の形態5の図50の工程
を経た後、図66に示すように、酸化シリコン膜39上
に、反射防止膜65を塗布し、その上にフォトレジスト
パターン66を形成する。フォトレジストパターン66
は、例えば平面円形状の孔を形成するためのマスクパタ
ーンであり、通常のフォトリソグラフィ技術によって形
成されている。続いて、図67に示すように、フォトレ
ジストパターン66をマスクとして、そこから露出する
反射防止膜65をドライエッチング法によってエッチン
グ除去した後、さらに、酸化シリコン膜39,窒化シリ
コン膜38および酸化シリコン膜31bをドライエッチ
ング法によってエッチング除去することにより、スルー
ホール34を形成する。この酸化シリコン膜39,窒化
シリコン膜38および酸化シリコン膜31bのエッチン
グ処理では、最初、非選択で行い、途中から、酸化シリ
コン膜と窒化シリコン膜とのエッチング選択比を大きく
し、酸化シリコン膜の方が窒化シリコン膜よりもエッチ
ング除去され易い条件でエッチングする。これにより、
窒化シリコン膜49をエッチングストッパとしてスルー
ホール34を穿孔する。したがって、この段階では、ス
ルーホール34の底面からは窒化シリコン膜49が露出
されている。
【0231】次いで、フォトレジストパターン66およ
び反射防止膜65をアッシング法等によって図68に示
すように除去した後、再度、図69に示すように、反射
防止膜67をスルーホール34内に埋め込まれるように
酸化シリコン膜39上に全面に塗布する。続いて、図7
0に示すように、反射防止膜67上に、フォトレジスト
パターン68を形成する。フォトレジストパターン68
は、例えば平面帯状の配線溝を形成するためのマスクパ
ターンであり、通常のフォトリソグラフィ技術によって
形成されている。その後、図71に示すように、フォト
レジストパターン68をマスクとして、そこから露出す
る反射防止膜67をドライエッチング法によってエッチ
ング除去した後、さらに、酸化シリコン膜39をドライ
エッチング法によってエッチング除去することにより、
配線溝42を形成する。この酸化シリコン膜39のエッ
チング処理では、酸化シリコン膜と窒化シリコン膜との
エッチング選択比を大きくし、酸化シリコン膜の方が窒
化シリコン膜よりもエッチング除去され易い条件でエッ
チングする。これにより、窒化シリコン膜38をエッチ
ングストッパとして配線溝42を形成する。したがっ
て、この段階では、配線溝42の底面からは窒化シリコ
ン膜38が露出されている。
【0232】次いで、フォトレジストパターン68およ
び反射防止膜67をアッシング法等によって図72に示
すように除去した後、配線溝42およびスルーホール3
4の底部に露出される窒化シリコン膜38,49を選択
的に除去する。このエッチング処理では、酸化シリコン
膜と窒化シリコン膜とのエッチング選択比を大きくし、
窒化シリコン膜の方が酸化シリコン膜よりもエッチング
除去され易い条件でエッチングする。これにより、図7
3に示すように、配線溝42およびスルーホール34の
底面から酸化シリコン膜39およびW配線27の一部を
露出させる。これは、W配線27と上層埋め込み配線と
の電気的な接続をとるためである。また、酸化シリコン
膜よりも誘電率の高い窒化シリコン膜38,49を可能
な限り減らすことで、配線容量の低減を図るためでもあ
る。このようにして、配線溝42およびスルーホール3
4を形成する。
【0233】次いで、図74に示すように、例えばTa
膜45a(導電性バリア膜)を、本実施の形態6で説明
したシングルダマシン法の場合と同様の条件でスパッタ
リング法によって堆積する。この際、配線溝42および
スルーホール34の側壁部には、最も厚い箇所で、また
は、最も薄い箇所で、例えば10nm未満以下、6〜7
nm程度のバリア膜45aが被着される。なお、ここで
も、導電性バリア膜をTaとしているが、前記したのと
同様に、TiNやその他に例示した膜でも良い。
【0234】続いて、Ta膜45a上に、Cu膜46
を、例えばデポ膜厚で150nm程度、本実施の形態6
で説明したシングルダマシン法の場合と同様の条件でス
パッタリング法によって堆積する。その際のターゲット
として、例えば99.999%(5N)以上、好ましく
は、99.9999%(6N)以上の純度の高い無酸素
Cuを用いた。これにより、例えば成膜時のCu膜46
のCuの濃度を99.999%以上、好ましくは、9
9.9999%以上にできる。したがって、Cu配線の
底面および側面部に純度の高いCuを堆積できる。
【0235】その後、電解メッキ法等によってCu膜4
6を形成する。Cu膜46を電解メッキ法によりスルー
ホール34内に埋め込む時の条件は、例えば電流密度が
0.5〜1.0A/dm2、40秒程度である。また、
Cu膜46を配線溝42内に埋め込む時の条件は、例え
ば電流密度が1.0〜2.0A/dm2、140秒程度
である。
【0236】次いで、本実施の形態6で説明したシング
ルダマシン法の場合と同様に水素アニール処理を施す。
なお、この処理は場合によって無くても良い。
【0237】続いて、Cu膜46およびTa膜45a
を、図75に示すように、前記実施の形態1,2で説明
したのと同様のCMP法等によって研磨し余分な部分を
除去することによりCu配線46cを形成した後、前記
実施の形態1、2で説明したのと同様の防食プロセスお
よび前記実施の形態1〜3で説明したのと同様の洗浄処
理を施す。その後、図76の網掛けのハッチングで示す
ように、絶縁膜39およびCu配線46cの表面に対し
て、前記実施の形態1で説明したアンモニアプラズマ処
理または水素プラズマ処理を施す。これにより、本実施
の形態6のシングルダマシン法で説明した場合と同様の
効果を得ることができた。
【0238】その後、図77に示すように、窒化シリコ
ン膜(キャップ膜)47を前記実施の形態1等と同様に
堆積した後、図60(b)に示したように、窒化シリコ
ン膜47上に、例えばTEOSガスを用いたプラズマC
VD法等によって酸化シリコン膜48を堆積する。
【0239】このような本実施の形態6では、上記本実
施の形態6の構成で得られる効果の他、前記実施の形態
1〜5と同じ構成部分については、前記実施の形態1〜
5で説明したのと同じ効果を得ることが可能となる。
【0240】(実施の形態7)本実施の形態7において
は、配線溝や接続孔を穿孔した後に上記アンモニアプラ
ズマ処理または水素プラズマ処理を施すものである。シ
ングルダマシン法でもデュアルダマシン法でも同じなの
で、デュアルダマシン法を一例として本実施の形態7を
図78および図79によって説明する。なお、図78、
79の各々の(a)は、半導体集積回路装置の製造工程
中の要部平面図を示し、(b)は各図(a)のA−A線
の断面図を示している。また、図78、79の(a)は
平面図であるが、図面を分かり易くするために金属膜に
ハッチングを付す。
【0241】本実施の形態7においては、前記実施の形
態6の図66〜図73を用いて説明した製造工程を経た
後、図78の網掛けのハッチングで示すように、上記ア
ンモニアプラズマ処理または水素プラズマ処理を施す。
【0242】アンモニアプラズマ処理を施した場合に
は、酸化シリコン膜39の上面、配線溝42の側壁部の
酸化シリコン膜39の表面、配線溝42の底部の酸化シ
リコン膜31bの上面およびスルーホール34の側壁部
の酸化シリコン膜31bの表面に、SiH結合およびS
iN結合が形成される(例えば厚さ10nm未満の薄い
窒化シリコン膜が形成される)結果、酸化シリコン膜3
9の上面、配線溝42の側壁部の酸化シリコン膜39の
表面、配線溝42の底部の酸化シリコン膜31bの上面
およびスルーホール34の側壁部の酸化シリコン膜31
bの表面部分の膜質、清浄度、電気的な安定性を向上で
き、Cuの拡散防止性能を向上させることが可能とな
る。また、前記実施の形態1で説明したのと同様に、酸
化シリコン膜39とキャップ膜との接着性を向上させる
ことも可能となる。なお、アンモニアプラズマ処理を施
した後、軽くドライエッチング処理を施すことにより、
W配線27の上部に形成された窒化膜(この場合はWN
膜)を除去しても良い。
【0243】一方、水素プラズマ処理を施した場合に
は、酸化シリコン膜39の上面、配線溝42の側壁部の
酸化シリコン膜39の表面、配線溝42の底部の酸化シ
リコン膜31bの上面およびスルーホール34の側壁部
の酸化シリコン膜31bの表面で、SiH結合が形成さ
れる結果、アンモニアプラズマ処理の場合とほぼ同じ効
果が得られる。
【0244】続いて、図79に示すように、前記実施の
形態6と同様にしてTa膜45aおよびCu膜46を下
層から順に堆積する。この後の工程は、前記実施の形態
6で説明したのと同じなので説明を省略する。
【0245】このような本実施の形態7では、前記実施
の形態6で得られた効果の他に、配線溝42およびスル
ーホール34の側壁部に対してもアンモニアプラズマ処
理または水素プラズマ処理を施すことにより、TDDB
寿命をさらに向上させることができるので、半導体集積
回路装置の信頼性および歩留まりをさらに向上させるこ
とできる、という効果が得られる。
【0246】(実施の形態8)本実施の形態8の半導体
集積回路装置の配線構造の具体的な一例を図80に示
す。図80は、半導体集積回路装置の一部を抜き出して
示した断面図であり、(a)はシングルダマシン法によ
って形成された箇所、(b)はデュアルダマシン法によ
って形成された箇所をそれぞれ示している。
【0247】本実施の形態8においては、導電性バリア
膜が形成されていない。すなわち、配線溝42またはス
ルーホール34内には、Cuのみが埋め込まれている。
したがって、Cu配線46cの側壁部および底部は、ほ
ぼ直接に酸化シリコン膜39と直接接した状態とされ
る。ただし、前記実施の形態7で説明した形成方法を採
用した場合には、Cu配線46cの側壁部および底部
は、配線溝42およびスルーホール34内の酸化シリコ
ン膜39の側壁部や底部に形成された薄い窒化シリコン
膜に直接接した状態とされる。
【0248】また、Cu配線46cで例示されるCu配
線のCu以外の成分の濃度や分布は、前記実施の形態6
で説明したのと同じである。また、酸化シリコン膜31
a,31b,31,39,48等に代えて使用する層間
絶縁膜の材料についても同じである。さらに、配線の幅
(配線溝42の幅)および隣接配線間隔(隣接配線の互
いに対向する側面から側面までの距離)等、各寸法は、
前記実施の形態6の図60で説明したのと同じである。
【0249】このような本実施の形態8においても、前
記実施の形態6で説明したように、TDDB寿命を向上
させることができた。したがって、半導体集積回路装置
の歩留まりおよび信頼性を向上させることが可能とな
る。また、本実施の形態8においては、導電性バリア膜
が設けられておらず、配線溝42やスルーホール34内
にはCu膜46のみが埋め込まれているので、配線抵抗
を大幅に向上させることが可能となっている。また、異
層配線間が導電性バリア膜(Ta膜45aやTiN膜4
5等)を介さずに直接接続される(ここでは、Cu配線
46cとW配線27とが直接接続される構造を例示した
が、配線層の異なるCu配線同士が直接接続される場合
もある)ので、その異層配線間の接触抵抗を大幅に低減
させることができ、微細なスルーホールでの抵抗を低減
させることが可能となっている。したがって、配線溝4
2やスルーホール34が微細化されたとしても半導体集
積回路装置の性能を向上させることが可能となる。
【0250】このようなCu配線構造の形成方法は、前
記実施の形態6,7で説明したのと同じである。一例と
して、本実施の形態8のCu配線構造をデュアルダマシ
ン法により形成する方法を図81〜図84によって説明
する。なお、図81〜図84の各々の(a)は、半導体
集積回路装置の製造工程中の要部平面図を示し、(b)
は各図(a)のA−A線の断面図を示している。また、
図81〜図83の(a)は平面図であるが、図面を分か
り易くするために金属膜にハッチングを付す。
【0251】本実施の形態8においては、前記実施の形
態6の図66〜図73を用いて説明した製造工程を経た
後、図81の網掛けのハッチングで示すように、上記ア
ンモニアプラズマ処理または水素プラズマ処理を施す。
【0252】アンモニアプラズマ処理または水素プラズ
マ処理を施すことにより、前記実施の形態7で説明した
ように、酸化シリコン膜39の上面、配線溝42の側壁
部の酸化シリコン膜39の表面、配線溝42の底部の酸
化シリコン膜31bの上面およびスルーホール34の側
壁部の酸化シリコン膜31bの表面部分の膜質、清浄
度、電気的な安定性を向上でき、Cuの拡散防止性能を
向上させることが可能となる。また、前記実施の形態1
で説明したのと同様に、酸化シリコン膜39とキャップ
膜との接着性を向上させることも可能となる。なお、前
記実施の形態7で説明したように、アンモニアプラズマ
処理を施した後、軽くドライエッチング処理を施すこと
により、W配線27の上部に形成された窒化膜(この場
合はWN膜)を除去しても良い。
【0253】続いて、図82に示すように、前記実施の
形態6のCu膜の成膜処理と同様にして純度の高いCu
膜46を堆積する。すなわち、本実施の形態8において
は、導電性バリア膜(Ta膜45aやTiN膜45等)
を堆積せずに、直接的に、純度の高いCu膜46を酸化
シリコン膜39上(配線溝42およびスルーホール34
の内部を含む)に堆積する。配線溝42およびスルーホ
ール34内に埋め込まれたCu膜46は、その側壁部お
よび底部において薄い窒化シリコン膜に直接接している
と考えられる。したがって、そのCu膜46の側壁部お
よび底部においてCuがイオン化され難い構造となって
いる。
【0254】その後、Cu膜46を、前記実施の形態6
で説明したのと同様に、CMP法等によって研磨して除
去した後、洗浄処理を施す。これにより、図83に示す
ように、Cu配線46cを形成する。Cu配線46c
は、基本的にCuで形成されている。
【0255】次いで、図83の網掛けのハッチングで示
すように、酸化シリコン膜39の上面およびCu配線4
6cの上面(露出面)に、上記アンモニアプラズマ処理
または水素プラズマ処理を施す。これにより、前記実施
の形態6で説明したように、Cuの拡散を防止でき、T
DDB寿命を向上させることが可能となる。また、Cu
の純度が高いままとすることができるので半導体チップ
として完成された状態においてCu配線の抵抗を下げる
ことが可能となる。
【0256】続いて、図84に示すように、前記実施の
形態6で説明したのと同様に、窒化シリコン膜(キャッ
プ膜)47を前記実施の形態1等と同様に堆積した後、
その上に、図80(b)に示したように、例えばTEO
Sガスを用いたプラズマCVD法等によって酸化シリコ
ン膜48を堆積する。
【0257】このような本実施の形態8では、上記本実
施の形態1〜7の構成で得られる効果の他、以下の効果
を得ることが可能となる。すなわち、導電性バリア膜を
設けないことにより、Cu配線46cの抵抗を大幅に低
減させることが可能となる。したがって、半導体集積回
路装置の性能を向上させることが可能となる。
【0258】以上、本発明者によってなされた発明を実
施の形態に基づき具体的に説明したが、本発明は前記実
施の形態に限定されるものではなく、その要旨を逸脱し
ない範囲で種々変更可能であることはいうまでもない。
【0259】例えば前記実施の形態1〜8は、各々独立
に適用できることはもちろん、相互に組み合わせて適用
できることは言うまでもない。たとえば実施の形態2の
技術を適用して砥粒フリーで化学機械研磨を施し、その
後実施の形態3を適用して酸処理を施し、さらに実施の
形態1を適用してアンモニアあるいは水素、その他のプ
ラズマ処理を施しても良い。
【0260】また、前記実施の形態1〜8では、アンモ
ニアプラズマ処理後の窒化シリコン膜47の形成を真空
破壊することなく連続的に行ったが、アンモニアプラズ
マ処理の後、一旦真空破壊をして、その後窒化シリコン
膜47を形成しても良い。真空破壊しない方が本発明の
効果をより効果的に奏することができるが、アンモニア
プラズマ処理により薄い窒化層が形成されるため、真空
破壊を行い大気雰囲気に暴露しても酸化層の形成を抑制
できる。よって、真空破壊した場合であっても、本実施
の形態の効果をある程度奏することは可能である。
【0261】また、前記実施の形態1〜8では、Cu膜
をスパッタリング法で形成した場合について説明した
が、Cuの純度を高く確保できる条件でならば、スパッ
タリング法に代えてメッキ法やCVD法を用いても良
い。
【0262】以上の説明では主として本発明者によって
なされた発明をその背景となった利用分野であるCMO
S−LSI技術に適用した場合について説明したが、そ
れに限定されるものではなく、例えばDRAM(Dynami
c Random Access Memory)、SRAM(Static Random
Access Memory)、フラッシュメモリ(EEPROM;E
lectric Erasable Programmable Read Only Memory)ま
たはFRAM(Ferroelectric Random Access Memory)
等のようなメモリ回路を有する半導体集積回路装置、マ
イクロプロセッサ等のような論理回路を有する半導体集
積回路装置または上記メモリ回路と論理回路とを同一半
導体基板に設けている混載型の半導体集積回路装置にも
適用できる。本発明は、少なくとも微細銅配線構造を有
する半導体集積回路装置、半導体装置、電子回路装置ま
たは電子装置等に適用可能である。
【0263】
【発明の効果】本願によって開示される発明のうち、代
表的なものによって得られる効果を簡単に説明すれば、
以下の通りである。 (1).本発明によれば、上記埋め込み配線層中の銅以外の
成分の濃度を半導体チップとして完成した時点において
0.8At.%以下とすることにより、銅を主成分とす
る埋め込み配線の抵抗を低減させることが可能となる。 (2).本発明によれば、上記凹部内の側壁部において、
上記導電性バリア膜の最も厚い部分の膜厚は10nm未
満とすることにより、銅を主成分とする埋め込み配線の
抵抗を低減させることが可能となる。 (3).本発明によれば、上記凹部内に、上記導電性バリア
膜自体が存在しないことにより、銅を主成分とする埋め
込み配線の抵抗を低減させることが可能となる。 (4).本発明によれば、上記埋め込み配線層中の銅以外の
成分の濃度を半導体チップとして完成した時点において
0.8At.%以下とすることにより、銅を主成分とす
る埋め込み配線間の絶縁破壊耐性を向上させることが可
能となる。 (5).本発明によれば、上記メタル膜を化学機械研磨法に
より除去して埋め込み配線層を形成した後、上記絶縁膜
および埋め込み配線層の上面を、還元性を有する気体の
雰囲気中でプラズマ処理する工程、前記プラズマ処理後
の上記絶縁膜および埋め込みメタル配線層上にキャップ
絶縁膜を形成する工程を有することにより、銅を主成分
とする埋め込み配線間の絶縁破壊耐性を向上させること
が可能となる。 (6).本発明によれば、上記メタル膜を化学機械研磨法に
より除去して埋め込み配線層を形成した後、上記絶縁膜
および埋め込み配線層の上面を、還元性を有する気体の
雰囲気中でプラズマ処理する工程、前記プラズマ処理後
の上記絶縁膜および埋め込みメタル配線層上にキャップ
絶縁膜を形成する工程を有することにより、銅を主成分
とする埋め込み配線の配線層とキャップ膜との密着性を
向上させることが可能となる。 (7).上記(1)〜(6)により、銅を主成分とする埋め込み配
線を有する半導体集積回路装置の性能を向上させること
が可能となる。 (8).上記(4)〜(6)により、銅を主成分とする埋め込み配
線を有する半導体集積回路装置の信頼性を向上させるこ
とが可能となる。 (9).上記(4)〜(6)により、銅を主成分とする埋め込み配
線を有する半導体集積回路装置の歩留まりを向上させる
ことが可能となる。
【図面の簡単な説明】
【図1】本発明の一実施の形態(実施の形態1)である
半導体集積回路装置の製造方法を示す半導体基板の要部
断面図である。
【図2】実施の形態1の製造方法を示す半導体基板の要
部断面図である。
【図3】実施の形態1の製造方法を示す半導体基板の要
部断面図である。
【図4】実施の形態1の製造方法を示す半導体基板の要
部断面図である。
【図5】実施の形態1の製造方法を示す半導体基板の要
部断面図である。
【図6】(a)は実施の形態1の製造方法を示す平面
図、(b)は実施の形態1の製造方法を示す要部断面図
である。
【図7】(a)は実施の形態1の製造方法を示す平面
図、(b)は実施の形態1の製造方法を示す要部断面図
である。
【図8】実施の形態1の製造方法を示す半導体基板の要
部断面図である。
【図9】埋め込みCu配線の形成に用いるCMP装置の
全体構成の一例を示す概略図である。
【図10】埋め込みCu配線の形成に用いるCMP装置
の一部を示す概略図である。
【図11】ウエハのスクラブ洗浄方法を示す斜視図であ
る。
【図12】埋め込みCu配線の形成に用いるCMP装置
の全体構成の他の例を示す概略図である。
【図13】埋め込みCu配線の形成に用いるCMP装置
の全体構成のさらに他の例を示す概略図である。
【図14】実施の形態1の製造方法を示す半導体基板の
要部断面図である。
【図15】(a)は、アンモニアプラズマ処理および窒
化シリコン膜の堆積に用いるプラズマ処理装置の概要を
示した断面図であり、(b)は同じく平面図である。
【図16】実施の形態1の製造方法を示す半導体基板の
要部断面図である。
【図17】実施の形態1の製造方法を示す半導体基板の
要部断面図である。
【図18】実施の形態1の半導体集積回路装置の製造方
法を示すフロー図である。
【図19】実施の形態1の半導体集積回路装置の概要を
示す断面図である
【図20】TDDB寿命を示すグラフである。
【図21】TDDB寿命を示すグラフである。
【図22】(a)〜(d)は、XPSデータを示すグラ
フである。
【図23】(a)〜(d)は、XPSデータを示すグラ
フである。
【図24】(a)〜(d)は、XPSデータを示すグラ
フである。
【図25】(a)〜(e)は、XPSデータを示すグラ
フである。(f)は組成比を示す表図である。
【図26】(a)〜(d)は、質量分析結果を示すグラ
フである。
【図27】(a)〜(d)は、質量分析結果を示すグラ
フである。
【図28】配線抵抗を示すグラフである。
【図29】(a)は処理無しの場合における配線部分を
示すTEM写真をトレースした断面図、(b)は実施の
形態1の配線部分を示すTEM写真をトレースした断面
図である。
【図30】(a)〜(c)は、比較として示すTEM写
真をトレースした断面図である。
【図31】(a)および(b)はTDDB劣化のメカニ
ズムを示す説明図である。
【図32】(a)および(b)はTDDB向上のメカニ
ズムを示す説明図である。
【図33】TDDB寿命を示すグラフである。
【図34】本発明の実施の形態2である半導体集積回路
装置の製造方法に用いるCMP装置の全体構成の一例を
示す概略図である。
【図35】埋め込みCu配線の形成に用いるCMP装置
の一部を示す概略図である
【図36】Cu膜の研磨状態を示すCMP装置の概略図
である。
【図37】実施の形態2の半導体集積回路装置の製造方
法を示す半導体基板の要部断面図である。
【図38】(a)は、実施の形態2の半導体集積回路装
置の製造方法を示す半導体基板の要部平面図、(b)は
同じく要部断面図である。
【図39】実施の形態2の半導体集積回路装置の製造方
法を示す半導体基板の要部断面図である。
【図40】(a)は、実施の形態2の半導体集積回路装
置の製造方法を示す半導体基板の要部平面図、(b)は
同じく要部断面図である。
【図41】実施の形態2の半導体集積回路装置の製造方
法を示す半導体基板の要部断面図である。
【図42】(a)は、実施の形態2の半導体集積回路装
置の製造方法を示す半導体基板の要部平面図、(b)は
同じく要部断面図である。
【図43】実施の形態2の半導体集積回路装置の製造方
法を示すフロー図である。
【図44】TDDB寿命を示すグラフである。
【図45】実施の形態3の半導体集積回路装置の製造方
法を示すフロー図である。
【図46】TDDB寿命を示すグラフである。
【図47】実施の形態4の半導体集積回路装置の製造方
法を示す半導体基板の要部断面図である。
【図48】(a)は、実施の形態4の半導体集積回路装
置の製造方法を示す半導体基板の要部平面図、(b)は
同じく要部断面図である。
【図49】実施の形態4の半導体集積回路装置の製造方
法を示す半導体基板の要部断面図である。
【図50】本発明の他の実施の形態の半導体集積回路装
置の製造方法を示す半導体基板の要部断面図である。
【図51】本発明の他の実施の形態の半導体集積回路装
置の製造方法を示す半導体基板の要部断面図である。
【図52】(a)は、他の実施の形態の半導体集積回路
装置の製造方法を示す半導体基板の要部平面図、(b)
は同じく要部断面図である。
【図53】本発明の他の実施の形態の半導体集積回路装
置の製造方法を示す半導体基板の要部断面図である。
【図54】本発明の他の実施の形態の半導体集積回路装
置の製造方法を示す半導体基板の要部断面図である。
【図55】銅配線、アルミニウム配線、タングステン配
線のTDDB特性を測定したデータを示すグラフであ
る。
【図56】各処理を行った際の銅配線中に含まれるシリ
コンの量を示すグラフである。
【図57】埋め込み銅配線の抵抗における導電性バリア
膜厚さ依存性を示すグラフである。
【図58】TDDB特性の導電性バリア膜厚さ依存性を
示すグラフである。
【図59】導電性バリア膜が無い場合および厚さ10n
m未満の場合におけるアニール処理後のTDDB特性を
示すグラフである。
【図60】(a)および(b)は、本発明の他の実施の
形態である半導体集積回路装置の銅埋め込み配線層の要
部断面図である。
【図61】(a)は本発明の実施の形態である半導体集
積回路装置の製造工程中における要部平面図、(b)は
(a)のA−A線の断面図である。
【図62】(a)は図61に続く半導体集積回路装置の
製造工程中における要部平面図、(b)は(a)のA−
A線の断面図である。
【図63】(a)は図62に続く半導体集積回路装置の
製造工程中における要部平面図、(b)は(a)のA−
A線の断面図である。
【図64】(a)は図63に続く半導体集積回路装置の
製造工程中における要部平面図、(b)は(a)のA−
A線の断面図である。
【図65】(a)は図64に続く半導体集積回路装置の
製造工程中における要部平面図、(b)は(a)のA−
A線の断面図である。
【図66】(a)は本発明の実施の形態である半導体集
積回路装置の製造工程中における要部平面図、(b)は
(a)のA−A線の断面図である。
【図67】(a)は図66に続く半導体集積回路装置の
製造工程中における要部平面図、(b)は(a)のA−
A線の断面図である。
【図68】(a)は図67に続く半導体集積回路装置の
製造工程中における要部平面図、(b)は(a)のA−
A線の断面図である。
【図69】(a)は図68に続く半導体集積回路装置の
製造工程中における要部平面図、(b)は(a)のA−
A線の断面図である。
【図70】(a)は図69に続く半導体集積回路装置の
製造工程中における要部平面図、(b)は(a)のA−
A線の断面図である。
【図71】(a)は図70に続く半導体集積回路装置の
製造工程中における要部平面図、(b)は(a)のA−
A線の断面図である。
【図72】(a)は図71に続く半導体集積回路装置の
製造工程中における要部平面図、(b)は(a)のA−
A線の断面図である。
【図73】(a)は図72に続く半導体集積回路装置の
製造工程中における要部平面図、(b)は(a)のA−
A線の断面図である。
【図74】(a)は図73に続く半導体集積回路装置の
製造工程中における要部平面図、(b)は(a)のA−
A線の断面図である。
【図75】(a)は図74に続く半導体集積回路装置の
製造工程中における要部平面図、(b)は(a)のA−
A線の断面図である。
【図76】(a)は図75に続く半導体集積回路装置の
製造工程中における要部平面図、(b)は(a)のA−
A線の断面図である。
【図77】(a)は図76に続く半導体集積回路装置の
製造工程中における要部平面図、(b)は(a)のA−
A線の断面図である。
【図78】(a)は本発明の実施の形態である半導体集
積回路装置の製造工程中における要部平面図、(b)は
(a)のA−A線の断面図である。
【図79】(a)は図78に続く半導体集積回路装置の
製造工程中における要部平面図、(b)は(a)のA−
A線の断面図である。
【図80】(a)および(b)は、本発明のさらに他の
実施の形態である半導体集積回路装置の銅埋め込み配線
層の要部断面図である。
【図81】(a)は本発明の実施の形態である半導体集
積回路装置の製造工程中における要部平面図、(b)は
(a)のA−A線の断面図である。
【図82】(a)は図81に続く半導体集積回路装置の
製造工程中における要部平面図、(b)は(a)のA−
A線の断面図である。
【図83】(a)は図82に続く半導体集積回路装置の
製造工程中における要部平面図、(b)は(a)のA−
A線の断面図である。
【図84】(a)は図83に続く半導体集積回路装置の
製造工程中における要部平面図、(b)は(a)のA−
A線の断面図である。
【図85】本願のTDDB寿命測定に使用した試料を示
し、(a)は平面図、(b)および(c)は(a)にお
けるB−B’線断面およびC−C’線断面を各々示す説
明図である。
【図86】測定の概要を示した概念図である。
【図87】電流電圧測定結果の一例である。
【図88】導電性バリア膜の配線溝または接続孔内にお
けるカバレージの説明図である。
【符号の説明】
1 基板 2 素子分離溝 3 酸化シリコン膜 4 p型ウエル 5 n型ウエル 6 ゲート絶縁膜 7 ゲート電極 9 シリサイド層 11 n- 型半導体領域 12 p- 型半導体領域 13 サイドウォールスペーサ 14 n+ 型半導体領域 15 p+ 型半導体領域 18 酸化シリコン膜 20〜22 コンタクトホール 23 プラグ 24〜30 W配線 28〜30 Cu配線 31 酸化シリコン膜 31a 酸化シリコン膜 31b 酸化シリコン膜 32〜36 スルーホール 37 プラグ 38 窒化シリコン膜 39 酸化シリコン膜 40〜44 配線溝 45 TiN膜 46 Cu膜 46a〜46e Cu配線 47 窒化シリコン膜(キャップ膜) 48 酸化シリコン膜 49 窒化シリコン膜 50〜54 配線溝 60 絶縁膜 61 配線溝 62 バリア膜 65 反射防止膜 66 フォトレジストパターン 67 反射防止膜 68 フォトレジストパターン 100 CMP装置 101 筐体 102 回転軸 103 モータ 104 研磨盤 105 研磨パッド 106 ウエハキャリア 106a 凹部 107 駆動軸 108 スラリ供給管 109 ドレッサ 110 駆動軸 120 ローダ 121A ブラシ 130 研磨処理部 140 防蝕処理部 150 浸漬処理部 160 後洗浄処理部 170 アンローダ 200 CMP装置 220 ローダ 230 研磨処理部 240 乾燥処理部 250 後洗浄処理部 260 アンローダ 301 ロードロック室 302a 処理室 302b 処理室 303 カセットインタフェイス 304 ロボット 305 ゲートバルブ 306 サセプタ 307 バッフル板 308 支持部材 309 電極 310 絶縁板 311 反射ユニット 312 ランプ 313 赤外線 314 石英窓 315 ガスポート 316 真空マニホールド 400 CMP装置 401 研磨処理部 402 後洗浄部 403A 第1定盤 403B 第2定盤 404 クリーン・ステーション 405 回転アーム 406 ローダ 407 アンローダ 408 ローダ 409A 第1洗浄部 409B 第2洗浄部 410 スピンドライヤ 411 アンローダ 412 駆動機構 413 研磨パッド 414 駆動機構 415 ウエハキャリア 416 ウエハチャック 417 リテーナリング 418 スラリ供給管 418a スラリ供給管 418b スラリ供給管 419 駆動機構 420 ドレッサ 430 遮光壁 501 絶縁膜 502 絶縁膜 503 配線 504 窒化シリコン膜 505 酸化シリコン膜 507 接続孔 508 プラグ Qn nチャネル型MISFET Qp pチャネル型MISFET
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01L 21/8238 H01L 27/08 321F 27/092 (72)発明者 齋藤 達之 東京都青梅市新町六丁目16番地の3 株式 会社日立製作所デバイス開発センタ内 Fターム(参考) 5F033 HH04 HH11 HH18 HH19 HH21 HH23 HH25 HH28 HH32 HH33 HH34 JJ11 JJ18 JJ33 KK01 KK11 KK19 LL01 LL03 MM01 MM02 MM05 MM12 MM13 NN40 PP06 PP15 PP22 PP26 PP33 QQ08 QQ09 QQ10 QQ11 QQ16 QQ21 QQ25 QQ31 QQ37 QQ48 QQ50 QQ58 QQ65 QQ73 QQ75 QQ90 QQ91 QQ98 RR04 RR06 RR09 RR11 RR14 RR15 RR24 RR25 SS04 SS15 TT02 TT08 VV06 WW01 WW02 WW04 XX10 XX31 5F048 AA05 AA07 AC03 BF01 BF07 BF12 BG07 BG14

Claims (45)

    【特許請求の範囲】
  1. 【請求項1】 以下の構成を含むことを特徴とする半導
    体集積回路装置; (a)第1の主面を有する半導体チップ; (b)上記半導体チップの上記第1の主面上に形成された
    第1の絶縁膜; (c)上記第1の絶縁膜表面に形成された埋め込み配線
    溝; (d)上記埋め込み配線溝の底面に設けられ、下層の導電
    層と接続するための接続孔; (e)上記埋め込み配線溝および上記接続孔の底面および
    側面の表面領域に形成された導電性バリア膜; (f)上記導電性バリア膜が形成された上記配線溝及び上
    記接続孔内に埋め込まれた銅を主成分とする埋め込みメ
    タル配線層; (g)上記埋め込みメタル配線層及び上記第1の絶縁膜の
    上面を覆うように形成されたキャップ絶縁膜; (h)上記キャップ絶縁膜上に形成された上層絶縁膜; 上記半導体チップが完成した時点の上記埋め込みメタル
    配線層の銅以外の成分の濃度は、0.8At.%以下で
    ある。
  2. 【請求項2】 請求項1記載の半導体集積回路装置にお
    いて、上記銅以外の成分はシリコンを主要な不純物成分
    として含むことを特徴とする半導体集積回路装置。
  3. 【請求項3】 請求項1記載の半導体集積回路装置にお
    いて、上記銅以外の成分は酸素を主要な不純物成分とし
    て含むことを特徴とする半導体集積回路装置。
  4. 【請求項4】 請求項1記載の半導体集積回路装置にお
    いて、上記銅以外の成分は硫黄を主要な不純物成分とし
    て含むことを特徴とする半導体集積回路装置。
  5. 【請求項5】 請求項1記載の半導体集積回路装置にお
    いて、上記銅以外の主要な不純物成分は、シリコン、酸
    素または硫黄またはそれらの任意の組合せであることを
    特徴とする半導体集積回路装置。
  6. 【請求項6】 請求項1記載の半導体集積回路装置にお
    いて、上記銅以外の成分の濃度は0.2At.%以下で
    あることを特徴とする半導体集積回路装置。
  7. 【請求項7】 請求項1記載の半導体集積回路装置にお
    いて、上記銅以外の成分の濃度は0.08At.%以下
    であることを特徴とする半導体集積回路装置。
  8. 【請求項8】 請求項1記載の半導体集積回路装置にお
    いて、上記銅以外の成分の濃度は0.05At.%以下
    であることを特徴とする半導体集積回路装置。
  9. 【請求項9】 請求項1記載の半導体集積回路装置にお
    いて、上記銅以外の成分の濃度は0.02At.以下で
    あることを特徴とする半導体集積回路装置。
  10. 【請求項10】 請求項1記載の半導体集積回路装置に
    おいて、上記埋め込み配線溝および上記接続孔内の側壁
    部において、上記導電性バリア膜の最も薄い部分の膜厚
    は10nm未満であることを特徴とする半導体集積回路
    装置。
  11. 【請求項11】 請求項1記載の半導体集積回路装置に
    おいて、上記埋め込み配線溝および上記接続孔内の側壁
    部において、上記導電性バリア膜の最も薄い部分の膜厚
    は5nm以下であることを特徴とする半導体集積回路装
    置。
  12. 【請求項12】 請求項1記載の半導体集積回路装置に
    おいて、上記埋め込み配線溝および上記接続孔内の側壁
    部において、上記導電性バリア膜の最も薄い部分の膜厚
    は3nm以下であることを特徴とする半導体集積回路装
    置。
  13. 【請求項13】 請求項1記載の半導体集積回路装置に
    おいて、上記埋め込み配線溝および上記接続孔内の側壁
    部において、上記導電性バリア膜の最も厚い部分の膜厚
    は10nm未満であることを特徴とする半導体集積回路
    装置。
  14. 【請求項14】 請求項1記載の半導体集積回路装置に
    おいて、上記埋め込み配線溝および上記接続孔内の側壁
    部において、上記導電性バリア膜の最も厚い部分の膜厚
    は5nm以下であることを特徴とする半導体集積回路装
    置。
  15. 【請求項15】 請求項1記載の半導体集積回路装置に
    おいて、上記埋め込み配線溝および上記接続孔内の側壁
    部において、上記導電性バリア膜の最も厚い部分の膜厚
    は3nm以下であることを特徴とする半導体集積回路装
    置。
  16. 【請求項16】 請求項1記載の半導体集積回路装置に
    おいて、上記埋め込み配線溝および上記接続孔内の側壁
    部において、上記導電性バリア膜の最も厚い部分の膜厚
    は2nm以下であるか、または上記導電性バリア膜自体
    が存在しないことを特徴とする半導体集積回路装置。
  17. 【請求項17】 請求項1記載の半導体集積回路装置に
    おいて、上記埋め込み配線溝の幅は0.4μm以下であ
    ることを特徴とする半導体集積回路装置。
  18. 【請求項18】 請求項1記載の半導体集積回路装置に
    おいて、上記埋め込み配線溝の幅は0.25μm以下で
    あることを特徴とする半導体集積回路装置。
  19. 【請求項19】 請求項1記載の半導体集積回路装置に
    おいて、上記埋め込み配線溝の幅は0.2μm以下であ
    ることを特徴とする半導体集積回路装置。
  20. 【請求項20】 (a)第1の主面を有する半導体基板; (b)上記半導体基板の上記第1の主面上に形成された第
    1の絶縁膜; (c)上記第1の絶縁膜表面に形成された埋め込み配線
    溝; (d)上記埋め込み配線溝の底面に設けられ、下層の導電
    層と接続するための接続孔; (e)上記埋め込み配線溝および上記接続孔の底面および
    側面の表面領域に形成された導電性バリア膜; (f)上記導電性バリア膜が形成された上記埋め込み配線
    溝および上記接続孔内に埋め込まれた銅を主成分とする
    埋め込みメタル配線層; (g)上記メタル配線層および上記第1の絶縁膜の上面を
    覆うように形成されたキャップ絶縁膜を有し、 上記半導体基板から形成された半導体チップの完成時点
    の上記埋め込みメタル配線層における銅以外の成分の濃
    度が0.8At.%以下であり、 上記埋め込みメタル配線層を形成するために、銅を主成
    分とする埋め込みメタル膜を形成した時点での前記メタ
    ル膜の銅の純度は99.999%以上にすることを特徴
    とする半導体集積回路装置の製造方法。
  21. 【請求項21】 請求項20記載の半導体集積回路装置
    の製造方法において、上記銅の純度は99.9999%
    以上であることを特徴とする半導体集積回路装置の製造
    方法。
  22. 【請求項22】 請求項20記載の半導体集積回路装置
    の製造方法において、上記メタル膜の形成は、銅の純度
    が99.999%以上であるターゲットを用いたスパッ
    タリングによって行われることを特徴とする半導体集積
    回路装置の製造方法。
  23. 【請求項23】 請求項21記載の半導体集積回路装置
    の製造方法において、上記メタル膜の形成は、銅の純度
    は99.9999%以上であるターゲットを用いたスパ
    ッタリングによって行われることを特徴とする半導体集
    積回路装置の製造方法。
  24. 【請求項24】 請求項20記載の半導体集積回路装置
    の製造方法において、上記形成されたメタル膜を化学機
    械研磨により平坦化した後、上記キャップ絶縁膜を形成
    する前に、上記半導体基板の上記第1の主面を、還元性
    を有する気体の雰囲気中でプラズマ処理することを特徴
    とする半導体集積回路装置の製造方法。
  25. 【請求項25】 請求項24記載の半導体集積回路装置
    の製造方法において、上記気体雰囲気は水素を主要な構
    成要素として含むことを特徴とする半導体集積回路装置
    の製造方法。
  26. 【請求項26】 請求項24記載の半導体集積回路装置
    の製造方法において、上記気体雰囲気は更に窒化作用を
    有することを特徴とする半導体集積回路装置の製造方
    法。
  27. 【請求項27】 請求項26記載の半導体集積回路装置
    の製造方法において、上記気体雰囲気はアンモニアを主
    要な構成要素として含むことを特徴とする半導体集積回
    路装置の製造方法。
  28. 【請求項28】 請求項20記載の半導体集積回路装置
    の製造方法において、上記埋め込みメタル配線層を形成
    するための化学機械研磨は砥粒フリー化学機械研磨で行
    われることを特徴とする半導体集積回路装置の製造方
    法。
  29. 【請求項29】 請求項28記載の半導体集積回路装置
    の製造方法において、上記砥粒フリー化学機械研磨に用
    いられるスラリー中の砥粒の割合は質量比で0.5%以
    下であることを特徴とする半導体集積回路装置の製造方
    法。
  30. 【請求項30】 請求項28記載の半導体集積回路装置
    の製造方法において、上記砥粒フリー化学機械研磨に用
    いられるスラリー中の砥粒の割合は質量比で0.1%以
    下であることを特徴とする半導体集積回路装置の製造方
    法。
  31. 【請求項31】 請求項28記載の半導体集積回路装置
    の製造方法において、上記砥粒フリー化学機械研磨に用
    いられるスラリー中の砥粒の割合は質量比で0.05%
    以下であることを特徴とする半導体集積回路装置の製造
    方法。
  32. 【請求項32】 請求項20記載の半導体集積回路装置
    の製造方法において、上記銅以外の成分の濃度は0.2
    At.%以下であることを特徴とする半導体集積回路装
    置の製造方法。
  33. 【請求項33】 請求項20記載の半導体集積回路装置
    の製造方法において、上記銅以外の成分の濃度は0.0
    8At.%以下であることを特徴とする半導体集積回路
    装置の製造方法。
  34. 【請求項34】 請求項20記載の半導体集積回路装置
    の製造方法において、上記銅以外の成分の濃度は0.0
    5At.%以下であることを特徴とする半導体集積回路
    装置の製造方法。
  35. 【請求項35】 請求項20記載の半導体集積回路装置
    の製造方法において、上記銅以外の成分の濃度は0.0
    2At.%以下であることを特徴とする半導体集積回路
    装置の製造方法。
  36. 【請求項36】 請求項20記載の半導体集積回路装置
    の製造方法において、上記埋め込み配線溝および上記接
    続孔内の側壁部において、上記導電性バリア膜の最も薄
    い部分の膜厚は10nm未満であることを特徴とする半
    導体集積回路装置の製造方法。
  37. 【請求項37】 請求項20記載の半導体集積回路装置
    の製造方法において、上記埋め込み配線溝および上記接
    続孔内の側壁部において、上記導電性バリア膜の最も薄
    い部分の膜厚は5nm以下であることを特徴とする半導
    体集積回路装置の製造方法。
  38. 【請求項38】 請求項20記載の半導体集積回路装置
    の製造方法において、上記埋め込み配線溝および上記接
    続孔内の側壁部において、上記導電性バリア膜の最も薄
    い部分の膜厚は3nm以下であることを特徴とする半導
    体集積回路装置の製造方法。
  39. 【請求項39】 請求項20記載の半導体集積回路装置
    の製造方法において、上記埋め込み配線溝および上記接
    続孔内の側壁部において、上記導電性バリア膜の最も厚
    い部分の膜厚は10nm未満であることを特徴とする半
    導体集積回路装置の製造方法。
  40. 【請求項40】 請求項20記載の半導体集積回路装置
    の製造方法において、上記埋め込み配線溝および上記接
    続孔内の側壁部において、上記導電性バリア膜の最も厚
    い部分の膜厚は5nm以下であることを特徴とする半導
    体集積回路装置の製造方法。
  41. 【請求項41】 請求項20記載の半導体集積回路装置
    の製造方法において、上記埋め込み配線溝および上記接
    続孔内の側壁部において、上記導電性バリア膜の最も厚
    い部分の膜厚は3nm以下であることを特徴とする半導
    体集積回路装置の製造方法。
  42. 【請求項42】 請求項20記載の半導体集積回路装置
    の製造方法において、上記埋め込み配線溝および上記接
    続孔内の側壁部において、上記導電性バリア膜の最も厚
    い部分の膜厚は2nm以下であるか、または上記導電性
    バリア膜自体が存在しないことを特徴とする半導体集積
    回路装置の製造方法。
  43. 【請求項43】 請求項20記載の半導体集積回路装置
    の製造方法において、上記埋め込み配線溝の幅は0.4
    μm以下であることを特徴とする半導体集積回路装置の
    製造方法。
  44. 【請求項44】 請求項20記載の半導体集積回路装置
    の製造方法において、上記埋め込み配線溝の幅は0.2
    5μm以下であることを特徴とする半導体集積回路装置
    の製造方法。
  45. 【請求項45】 請求項20記載の半導体集積回路装置
    の製造方法において、上記埋め込み配線溝の幅は0.2
    μm以下であることを特徴とする半導体集積回路装置の
    製造方法。
JP2000104015A 2000-04-05 2000-04-05 半導体集積回路装置および半導体集積回路装置の製造方法 Pending JP2001291720A (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2000104015A JP2001291720A (ja) 2000-04-05 2000-04-05 半導体集積回路装置および半導体集積回路装置の製造方法
TW090107703A TW531892B (en) 2000-04-05 2001-03-30 Semiconductor integrated circuit device and fabrication method for semiconductor integrated circuit device
KR1020010018003A KR100698987B1 (ko) 2000-04-05 2001-04-04 반도체 집적 회로 장치의 제조 방법
US09/825,946 US6764950B2 (en) 2000-04-05 2001-04-05 Fabrication method for semiconductor integrated circuit device
US10/811,927 US7232757B2 (en) 2000-04-05 2004-03-30 Semiconductor integrated circuit device and fabrication method for semiconductor integrated circuit device
US11/790,760 US20080132059A1 (en) 2000-04-05 2007-04-27 Semiconductor integrated circuit device and fabrication method for semiconductor integrated circuit device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000104015A JP2001291720A (ja) 2000-04-05 2000-04-05 半導体集積回路装置および半導体集積回路装置の製造方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2007310344A Division JP2008141204A (ja) 2007-11-30 2007-11-30 半導体集積回路装置の製造方法

Publications (2)

Publication Number Publication Date
JP2001291720A true JP2001291720A (ja) 2001-10-19
JP2001291720A5 JP2001291720A5 (ja) 2006-03-23

Family

ID=18617635

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000104015A Pending JP2001291720A (ja) 2000-04-05 2000-04-05 半導体集積回路装置および半導体集積回路装置の製造方法

Country Status (4)

Country Link
US (3) US6764950B2 (ja)
JP (1) JP2001291720A (ja)
KR (1) KR100698987B1 (ja)
TW (1) TW531892B (ja)

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002246391A (ja) * 2001-02-21 2002-08-30 Nec Corp 半導体装置の製造方法
JP2004363516A (ja) * 2003-06-09 2004-12-24 Sony Corp 埋め込み配線の形成方法
US6858549B2 (en) 2001-12-27 2005-02-22 Matsushita Electric Industrial Co., Ltd. Method for forming wiring structure
US6881660B2 (en) 2001-12-27 2005-04-19 Matsushita Electric Industrial Co., Ltd. Method for forming wiring structure
US6919267B2 (en) 2001-12-27 2005-07-19 Matsushita Electric Industrial Co., Ltd. Method for forming wiring structure
US7323781B2 (en) 2003-03-25 2008-01-29 Renesas Technology Corp. Semiconductor device and manufacturing method thereof
JP2008193120A (ja) * 2008-04-23 2008-08-21 Fujitsu Ltd 絶縁膜形成方法
JP2008545253A (ja) * 2005-05-10 2008-12-11 ラム リサーチ コーポレーション 通常の低k誘電性材料および/または多孔質の低k誘電性材料の存在下でのレジスト剥離のための方法
US7687917B2 (en) 2002-05-08 2010-03-30 Nec Electronics Corporation Single damascene structure semiconductor device having silicon-diffused metal wiring layer
US8012871B2 (en) 2002-10-17 2011-09-06 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
JP2012060149A (ja) * 2007-07-27 2012-03-22 Siltronic Ag 半導体材料から構成された基板を研磨する方法
JP2014112692A (ja) * 2013-12-27 2014-06-19 Jx Nippon Mining & Metals Corp 半導体用銅合金配線及びスパッタリングターゲット並びに半導体用銅合金配線の形成方法
JP2015128174A (ja) * 2002-05-17 2015-07-09 株式会社半導体エネルギー研究所 半導体装置
JP2016219620A (ja) * 2015-05-21 2016-12-22 ルネサスエレクトロニクス株式会社 半導体装置の製造方法およびそれに用いられるfoup
JP2017126802A (ja) * 2017-04-24 2017-07-20 ルネサスエレクトロニクス株式会社 半導体装置

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4554011B2 (ja) 1999-08-10 2010-09-29 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
US7034402B1 (en) * 2000-06-28 2006-04-25 Intel Corporation Device with segmented ball limiting metallurgy
TW462085B (en) * 2000-10-26 2001-11-01 United Microelectronics Corp Planarization of organic silicon low dielectric constant material by chemical mechanical polishing
US6727158B2 (en) * 2001-11-08 2004-04-27 Micron Technology, Inc. Structure and method for forming a faceted opening and a layer filling therein
JP4340040B2 (ja) * 2002-03-28 2009-10-07 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
JP2003318140A (ja) * 2002-04-26 2003-11-07 Applied Materials Inc 研磨方法及び装置
DE10224167B4 (de) 2002-05-31 2007-01-25 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer Kupferleitung mit erhöhter Widerstandsfähigkeit gegen Elektromigration in einem Halbleiterelement
JP2005050903A (ja) * 2003-07-30 2005-02-24 Toshiba Corp 半導体装置およびその製造方法
US20050054206A1 (en) * 2003-09-04 2005-03-10 Nanya Technology Corporation Etching method and recipe for forming high aspect ratio contact hole
US20060172526A1 (en) * 2003-10-16 2006-08-03 United Microelectronics Corp. Method for preventing edge peeling defect
US7341946B2 (en) * 2003-11-10 2008-03-11 Novellus Systems, Inc. Methods for the electrochemical deposition of copper onto a barrier layer of a work piece
US7180195B2 (en) * 2003-12-17 2007-02-20 Intel Corporation Method and apparatus for improved power routing
SG157226A1 (en) * 2004-02-24 2009-12-29 Taiwan Semiconductor Mfg A method for improving time dependent dielectric breakdown lifetimes
US20050230354A1 (en) * 2004-04-14 2005-10-20 Hardikar Vishwas V Method and composition of post-CMP wetting of thin films
JP4703129B2 (ja) * 2004-05-06 2011-06-15 富士通セミコンダクター株式会社 半導体装置およびその製造方法、設計方法
US7465595B2 (en) 2004-11-09 2008-12-16 Fujitsu Limited Quantum device, manufacturing method of the same and controlling method of the same
US7332422B2 (en) * 2005-01-05 2008-02-19 Chartered Semiconductor Manufacturing, Ltd. Method for CuO reduction by using two step nitrogen oxygen and reducing plasma treatment
US20060194427A1 (en) * 2005-02-25 2006-08-31 Yi-Ching Wu Interconnecting process and method for fabricating complex dielectric barrier layer
US7348276B2 (en) * 2005-03-30 2008-03-25 Fujitsu, Limited Fabrication process of semiconductor device and polishing method
JP4956919B2 (ja) * 2005-06-08 2012-06-20 株式会社日立製作所 半導体装置およびその製造方法
KR101168728B1 (ko) * 2005-07-15 2012-07-26 삼성전자주식회사 배선 구조와 배선 형성 방법 및 박막 트랜지스터 기판과 그제조 방법
JP4964442B2 (ja) * 2005-08-10 2012-06-27 三菱電機株式会社 薄膜トランジスタおよびその製造方法
US7348238B2 (en) * 2005-08-22 2008-03-25 Micron Technology, Inc. Bottom electrode for memory device and method of forming the same
KR100741882B1 (ko) * 2005-12-29 2007-07-23 동부일렉트로닉스 주식회사 고전압 소자 및 그 제조방법
US7378339B2 (en) * 2006-03-30 2008-05-27 Freescale Semiconductor, Inc. Barrier for use in 3-D integration of circuits
US7510967B2 (en) * 2006-05-29 2009-03-31 Nec Electronics Corporation Method for manufacturing semiconductor device
EP2124250A4 (en) 2007-03-13 2014-06-25 Fujitsu Ltd SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME
US20080299780A1 (en) * 2007-06-01 2008-12-04 Uv Tech Systems, Inc. Method and apparatus for laser oxidation and reduction
US7758403B2 (en) * 2007-11-16 2010-07-20 Hitachi Global Storage Technologies Netherlands B.V. System, method and apparatus for lapping workpieces with soluble abrasives
US9026370B2 (en) * 2007-12-18 2015-05-05 Hospira, Inc. User interface improvements for medical devices
US8138041B2 (en) * 2008-06-12 2012-03-20 International Business Machines Corporation In-situ silicon cap for metal gate electrode
WO2010001998A1 (ja) * 2008-07-03 2010-01-07 株式会社神戸製鋼所 配線構造、薄膜トランジスタ基板およびその製造方法、並びに表示装置
WO2010049881A1 (en) * 2008-10-27 2010-05-06 Nxp B.V. Biocompatible electrodes
US8513119B2 (en) 2008-12-10 2013-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming bump structure having tapered sidewalls for stacked dies
US20100171197A1 (en) 2009-01-05 2010-07-08 Hung-Pin Chang Isolation Structure for Stacked Dies
US20110052797A1 (en) * 2009-08-26 2011-03-03 International Business Machines Corporation Low Temperature Plasma-Free Method for the Nitridation of Copper
US8791549B2 (en) 2009-09-22 2014-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer backside interconnect structure connected to TSVs
US8466059B2 (en) 2010-03-30 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer interconnect structure for stacked dies
US8900994B2 (en) 2011-06-09 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for producing a protective structure
JP5859758B2 (ja) * 2011-07-05 2016-02-16 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8525339B2 (en) 2011-07-27 2013-09-03 International Business Machines Corporation Hybrid copper interconnect structure and method of fabricating same
JP2014027012A (ja) * 2012-07-24 2014-02-06 Toshiba Corp 半導体装置の製造方法および半導体装置の製造装置
KR20140028735A (ko) * 2012-08-30 2014-03-10 에스케이하이닉스 주식회사 반도체 메모리 소자 및 그 제조방법
US9312203B2 (en) 2013-01-02 2016-04-12 Globalfoundries Inc. Dual damascene structure with liner
JP6255728B2 (ja) 2013-06-17 2018-01-10 富士通セミコンダクター株式会社 半導体装置、半導体装置の製造方法及び設計プログラム
US9887160B2 (en) * 2015-09-24 2018-02-06 International Business Machines Corporation Multiple pre-clean processes for interconnect fabrication
US20180134546A1 (en) * 2016-11-14 2018-05-17 Amkor Technology, Inc. Semiconductor device and manufacturing method thereof
US11195748B2 (en) * 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
KR102492733B1 (ko) 2017-09-29 2023-01-27 삼성디스플레이 주식회사 구리 플라즈마 식각 방법 및 디스플레이 패널 제조 방법
US11139242B2 (en) * 2019-04-29 2021-10-05 International Business Machines Corporation Via-to-metal tip connections in multi-layer chips
US11152455B2 (en) 2019-09-23 2021-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method to reduce breakdown failure in a MIM capacitor
US11810857B2 (en) * 2020-08-25 2023-11-07 Taiwan Semiconductor Manufacturing Co., Ltd. Via for semiconductor device and method
CN112038228B (zh) * 2020-08-27 2022-08-09 上海华力集成电路制造有限公司 改善TiN薄膜连续性的表面处理方法
US11430729B2 (en) 2020-09-16 2022-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. MIM capacitor with a symmetrical capacitor insulator structure

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3072807B2 (ja) 1992-07-15 2000-08-07 日本電信電話株式会社 半導体装置の製造方法
JP3156886B2 (ja) 1993-01-26 2001-04-16 日本電信電話株式会社 半導体装置の製造方法
KR0161883B1 (ko) * 1995-05-23 1999-02-01 문정환 반도체장치의 금속배선 형성방법
JPH0922896A (ja) * 1995-07-07 1997-01-21 Toshiba Corp 金属膜の選択的形成方法
JP3517802B2 (ja) * 1995-09-01 2004-04-12 富士通株式会社 埋め込み導電層の形成方法
JPH0982798A (ja) 1995-09-12 1997-03-28 Toshiba Corp 半導体装置およびその製造方法
JPH10154709A (ja) 1996-09-25 1998-06-09 Toshiba Corp 半導体装置の製造方法
US5990008A (en) * 1996-09-25 1999-11-23 Kabushiki Kaisha Toshiba Semiconductor device with pure copper wirings and method of manufacturing a semiconductor device with pure copper wirings
US6537621B1 (en) * 1996-10-01 2003-03-25 Tokyo Electron Limited Method of forming a titanium film and a barrier film on a surface of a substrate through lamination
JPH10125782A (ja) * 1996-10-15 1998-05-15 Sony Corp 半導体装置の製造方法
US5954997A (en) * 1996-12-09 1999-09-21 Cabot Corporation Chemical mechanical polishing slurry useful for copper substrates
JP3150095B2 (ja) 1996-12-12 2001-03-26 日本電気株式会社 多層配線構造の製造方法
US6048789A (en) * 1997-02-27 2000-04-11 Vlsi Technology, Inc. IC interconnect formation with chemical-mechanical polishing and silica etching with solution of nitric and hydrofluoric acids
US6191007B1 (en) * 1997-04-28 2001-02-20 Denso Corporation Method for manufacturing a semiconductor substrate
JPH1116912A (ja) 1997-06-25 1999-01-22 Hitachi Ltd 半導体集積回路装置の製造方法および半導体集積回路装置の製造装置
JP3463979B2 (ja) * 1997-07-08 2003-11-05 富士通株式会社 半導体装置の製造方法
JPH1187349A (ja) 1997-07-16 1999-03-30 Mitsubishi Electric Corp 半導体装置の製造方法及び半導体装置
US6171957B1 (en) * 1997-07-16 2001-01-09 Mitsubishi Denki Kabushiki Kaisha Manufacturing method of semiconductor device having high pressure reflow process
US5904565A (en) 1997-07-17 1999-05-18 Sharp Microelectronics Technology, Inc. Low resistance contact between integrated circuit metal levels and method for same
JPH11204523A (ja) * 1998-01-07 1999-07-30 Toshiba Corp 半導体装置の製造方法
JPH11220023A (ja) 1998-02-02 1999-08-10 Sharp Corp 半導体装置及びその製造方法
JP3955386B2 (ja) * 1998-04-09 2007-08-08 富士通株式会社 半導体装置及びその製造方法
US6181012B1 (en) * 1998-04-27 2001-01-30 International Business Machines Corporation Copper interconnection structure incorporating a metal seed layer
JP3080071B2 (ja) * 1998-06-12 2000-08-21 日本電気株式会社 半導体装置及びその製造方法
JP2000077435A (ja) * 1998-08-31 2000-03-14 Hitachi Ltd 半導体装置及びその製造方法
US6355571B1 (en) * 1998-11-17 2002-03-12 Applied Materials, Inc. Method and apparatus for reducing copper oxidation and contamination in a semiconductor device
US6177364B1 (en) * 1998-12-02 2001-01-23 Advanced Micro Devices, Inc. Integration of low-K SiOF for damascene structure
US6242349B1 (en) * 1998-12-09 2001-06-05 Advanced Micro Devices, Inc. Method of forming copper/copper alloy interconnection with reduced electromigration
US6153523A (en) * 1998-12-09 2000-11-28 Advanced Micro Devices, Inc. Method of forming high density capping layers for copper interconnects with improved adhesion
JP3974284B2 (ja) * 1999-03-18 2007-09-12 株式会社東芝 半導体装置の製造方法
US6169036B1 (en) * 1999-03-25 2001-01-02 Lucent Technologies Inc. Method for cleaning via openings in integrated circuit manufacturing
US6177347B1 (en) * 1999-07-02 2001-01-23 Taiwan Semiconductor Manufacturing Company In-situ cleaning process for Cu metallization
US6159857A (en) * 1999-07-08 2000-12-12 Taiwan Semiconductor Manufacturing Company Robust post Cu-CMP IMD process
US6521532B1 (en) * 1999-07-22 2003-02-18 James A. Cunningham Method for making integrated circuit including interconnects with enhanced electromigration resistance
US6136680A (en) * 2000-01-21 2000-10-24 Taiwan Semiconductor Manufacturing Company Methods to improve copper-fluorinated silica glass interconnects
US6284657B1 (en) * 2000-02-25 2001-09-04 Chartered Semiconductor Manufacturing Ltd. Non-metallic barrier formation for copper damascene type interconnects
US6348410B1 (en) * 2000-11-02 2002-02-19 Advanced Micro Devices, Inc. Low temperature hillock suppression method in integrated circuit interconnects

Cited By (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4535629B2 (ja) * 2001-02-21 2010-09-01 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2002246391A (ja) * 2001-02-21 2002-08-30 Nec Corp 半導体装置の製造方法
US6858549B2 (en) 2001-12-27 2005-02-22 Matsushita Electric Industrial Co., Ltd. Method for forming wiring structure
US6881660B2 (en) 2001-12-27 2005-04-19 Matsushita Electric Industrial Co., Ltd. Method for forming wiring structure
US6919267B2 (en) 2001-12-27 2005-07-19 Matsushita Electric Industrial Co., Ltd. Method for forming wiring structure
US8642467B2 (en) 2002-05-08 2014-02-04 Renesas Electronics Corporation Semiconductor device having silicon-diffused metal wiring layer and its manufacturing method
US8115318B2 (en) 2002-05-08 2012-02-14 Renesas Electronics Corporation Semiconductor device having silicon-diffused metal wiring layer and its manufacturing method
US7842602B2 (en) 2002-05-08 2010-11-30 Renesas Electronics Corporation Semiconductor device having silicon-diffused metal wiring layer and its manufacturing method
US7687917B2 (en) 2002-05-08 2010-03-30 Nec Electronics Corporation Single damascene structure semiconductor device having silicon-diffused metal wiring layer
US7737555B2 (en) 2002-05-08 2010-06-15 Nec Electronics Corporation Semiconductor method having silicon-diffused metal wiring layer
JP2015128174A (ja) * 2002-05-17 2015-07-09 株式会社半導体エネルギー研究所 半導体装置
US9847355B2 (en) 2002-05-17 2017-12-19 Semiconductor Energy Laboratory Co., Ltd. Silicon nitride film, and semiconductor device
JP2015216406A (ja) * 2002-05-17 2015-12-03 株式会社半導体エネルギー研究所 半導体装置
US8012871B2 (en) 2002-10-17 2011-09-06 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US7323781B2 (en) 2003-03-25 2008-01-29 Renesas Technology Corp. Semiconductor device and manufacturing method thereof
US8053893B2 (en) 2003-03-25 2011-11-08 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US7777343B2 (en) 2003-03-25 2010-08-17 Renesas Technology Corp. Semiconductor device and manufacturing method thereof
US8431480B2 (en) 2003-03-25 2013-04-30 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US8617981B2 (en) 2003-03-25 2013-12-31 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US9659867B2 (en) 2003-03-25 2017-05-23 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US10121693B2 (en) 2003-03-25 2018-11-06 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US10304726B2 (en) 2003-03-25 2019-05-28 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US8810034B2 (en) 2003-03-25 2014-08-19 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US9064870B2 (en) 2003-03-25 2015-06-23 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US9818639B2 (en) 2003-03-25 2017-11-14 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
US9490213B2 (en) 2003-03-25 2016-11-08 Renesas Electronics Corporation Semiconductor device and manufacturing method thereof
JP2004363516A (ja) * 2003-06-09 2004-12-24 Sony Corp 埋め込み配線の形成方法
JP2008545253A (ja) * 2005-05-10 2008-12-11 ラム リサーチ コーポレーション 通常の低k誘電性材料および/または多孔質の低k誘電性材料の存在下でのレジスト剥離のための方法
JP2012060149A (ja) * 2007-07-27 2012-03-22 Siltronic Ag 半導体材料から構成された基板を研磨する方法
US8647985B2 (en) 2007-07-27 2014-02-11 Siltronic Ag Method for polishing a substrate composed of semiconductor material
JP2008193120A (ja) * 2008-04-23 2008-08-21 Fujitsu Ltd 絶縁膜形成方法
JP2014112692A (ja) * 2013-12-27 2014-06-19 Jx Nippon Mining & Metals Corp 半導体用銅合金配線及びスパッタリングターゲット並びに半導体用銅合金配線の形成方法
JP2016219620A (ja) * 2015-05-21 2016-12-22 ルネサスエレクトロニクス株式会社 半導体装置の製造方法およびそれに用いられるfoup
JP2017126802A (ja) * 2017-04-24 2017-07-20 ルネサスエレクトロニクス株式会社 半導体装置

Also Published As

Publication number Publication date
US6764950B2 (en) 2004-07-20
US20010030367A1 (en) 2001-10-18
KR100698987B1 (ko) 2007-03-26
TW531892B (en) 2003-05-11
US20080132059A1 (en) 2008-06-05
US20040180534A1 (en) 2004-09-16
US7232757B2 (en) 2007-06-19
KR20010095332A (ko) 2001-11-03

Similar Documents

Publication Publication Date Title
JP4554011B2 (ja) 半導体集積回路装置の製造方法
JP2001291720A (ja) 半導体集積回路装置および半導体集積回路装置の製造方法
US7084063B2 (en) Fabrication method of semiconductor integrated circuit device
JP2003051481A (ja) 半導体集積回路装置の製造方法
JP2003188254A (ja) 半導体装置の製造方法および半導体装置
JP2003332426A (ja) 半導体装置の製造方法および半導体装置
JP2003142579A (ja) 半導体装置の製造方法および半導体装置
JP2008141204A (ja) 半導体集積回路装置の製造方法
KR100746895B1 (ko) 반도체 집적 회로 장치의 제조 방법
JP2003347299A (ja) 半導体集積回路装置の製造方法
JP2002329780A (ja) 半導体装置の製造方法および半導体装置
JP2007005840A (ja) 半導体集積回路装置の製造方法
JP2003124311A (ja) 半導体装置の製造方法および半導体装置

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040423

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040423

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060207

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070903

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20071002

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071130

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080108

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080229

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20080422