JP2008545253A - 通常の低k誘電性材料および/または多孔質の低k誘電性材料の存在下でのレジスト剥離のための方法 - Google Patents

通常の低k誘電性材料および/または多孔質の低k誘電性材料の存在下でのレジスト剥離のための方法 Download PDF

Info

Publication number
JP2008545253A
JP2008545253A JP2008511272A JP2008511272A JP2008545253A JP 2008545253 A JP2008545253 A JP 2008545253A JP 2008511272 A JP2008511272 A JP 2008511272A JP 2008511272 A JP2008511272 A JP 2008511272A JP 2008545253 A JP2008545253 A JP 2008545253A
Authority
JP
Japan
Prior art keywords
substrate
photoresist material
low
stripping process
range
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2008511272A
Other languages
English (en)
Inventor
チュー・ヘレン
サジャディ・レザ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2008545253A publication Critical patent/JP2008545253A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70858Environment aspects, e.g. pressure of beam-path gas, temperature
    • G03F7/70866Environment aspects, e.g. pressure of beam-path gas, temperature of mask or workpiece
    • G03F7/70875Temperature, e.g. temperature control of masks or workpieces via control of stage temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Health & Medical Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Toxicology (AREA)
  • Atmospheric Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

【解決手段】基板からフォトレジスト材料を剥離させるための2段階のプロセスが開示される。ここで、基板は、フォトレジスト材料の下にある低k誘電性材料と、フォトレジスト材料および低k誘電性材料の両者の上を覆うポリマ膜とを含む。2段階のプロセスの第1の段階は、ポリマ膜を除去するために酸素プラズマを使用する。2段階のプロセスの第2の段階は、フォトレジスト材料を除去するためにアンモニアプラズマを使用する。第2の段階は、第2の段階の完了後に開始する。2段階のフォトレジスト剥離プロセスの各段階は、化学剤、温度、圧力、ガス流速、無線周波数の電力および周波数、並びに継続期間を含む、特定のプロセスパラメータの値によってそれぞれ定められる。
【選択図】なし

Description

半導体の製造中は、シリコン等の材料からなる半導体ウエハ(「ウエハ」)上に集積回路が作成される。ウエハ上に集積回路を作成するためには、各種のレジスタ、ダイオード、コンデンサ、およびトランジスタ等の多数(例:何百万)の電子デバイスを製造する必要がある。電子デバイスの製造は、ウエハ上の正確な位置での材料の堆積、除去、および注入を伴う。ウエハ上の正確な位置での材料の堆積、除去、および注入を促進するために、通常、フォトリソグラフィと称されるプロセスが使用される。
フォトリソグラフィプロセスでは、先ず、ウエハ上にフォトレジスト材料が堆積される。フォトレジスト材料は、次いで、レチクルによるフィルタリングを経た光に暴露される。レジクルは、概して、光の通過を遮る代表的な幾何的特徴のパターンを有するガラス板である。レチクルを通過した後、光は、フォトレジスト材料の表面に接触する。光は、露光されたフォトレジスト材料の化学組成を変化させる。ポジ型フォトレジスト材料の場合、露光は、露光されたフォトレジスト材料が現像液に溶けないようにする。反対に、ネガ型フォトレジスト材料の場合、露光は、露光されたフォトレジスト材料が現像液に溶けるようにする。露光後は、フォトレジスト材料の可溶部分が除去され、パターン形成されたフォトレジスト層が残される。
ウエハは、次いで、パターン形成されたフォトレジスト層に覆われていないウエハ領域で材料を除去、堆積、または注入するために処理される。ウエハの処理後、パターン形成されたフォトレジスト層は、フォトレジスト剥離と称されるプロセスによって除去される。ウエハ表面上に残留するフォトレジストは、集積回路の欠陥を生じうるので、フォトレジスト剥離プロセスでは、フォトレジスト材料を完全に除去することが重要である。また、フォトレジスト剥離プロセスは、注意深く実施することによって、ウエハ上に存在する下の材料を化学的に改質させる、または物理的に損傷させる事態を回避することが望まれる。
1つの実施形態では、基板からフォトレジスト材料を除去するための方法が開示される。この方法は、低k誘電性材料の上を覆うフォトレジスト材料を有する基板を用意する動作を含む。ここで、フォトレジスト材料および低k誘電性材料は、ともに、両者の上を覆うポリマ膜を有する。基板は、フォトレジスト材料と低k誘電性材料との間にハードマスク層を伴うように、または伴わないように形成することができる。この方法は、また、ポリマ膜を除去するために酸素プラズマを使用して第1の剥離プロセスを実施する動作を提供する。この方法は、更に、第1の剥離プロセスの完了後に第2の剥離プロセスを実施する動作を提供する。第2の剥離プロセスは、フォトレジスト材料を除去するためにアンモニアプラズマを使用する。第1および第2の剥離プロセスは、ともに、下層の低k誘電性材料を損傷または除去することなく、且つもしハードマスクが存在するならばハードマスクをファセットすることなく実施される。
もう1つの実施形態では、基板からフォトレジスト材料を除去するための方法が開示される。この方法は、低k誘電性材料の上を覆うフォトレジスト材料を有する基板を用意することを含む。フォトレジスト材料および低k誘電性材料は、ともに、両者の上を覆う、フルオロカーボン成分またはヒドロフルオロカーボン成分のいずれかを含むポリマ膜を有する。また、基板は、フォトレジスト材料と低k誘電性材料との間にハードマスク層を伴うように、または伴わないように形成することができる。この方法は、また、基板の上に酸素ガスを提供すること、およびその酸素ガスを反応性の形態に変換することを含む。反応性の形態の酸素ガスは、ポリマ膜の除去を行う。ポリマ膜のほぼ完全な除去時に、基板の上への酸素ガスの提供が停止される。この方法は、更に、基板の上への酸素ガスの提供を停止させた後に、基板の上にアンモニアガスを提供することを含む。アンモニアガスは、反応性の形態に変換される。反応性の形態のアンモニアは、下にある低k誘電性材料の不利な損傷、または除去を生じることなく、且つもしハードマスクが存在するならばハードマスクをファセットすることなく基板からのフォトレジスト材料の除去を行う。
もう1つの実施形態では、フォトレジスト材料の下に低k誘電性材料を有する基板からフォトレジスト材料を除去するための方法が開示される。フォトレジスト材料および低k誘電性材料は、ともに、両者の上を覆う、フルオロカーボン成分またはヒドロフルオロカーボン成分のいずれかを含むポリマ膜を有する。この方法の第1の動作では、ポリマ膜をちょうど除去するために必要とされる継続期間に渡ってポリマ膜が酸素プラズマに暴露される。ポリマ膜の除去に続いて、この方法の第2の動作が実施される。この第2の動作では、フォトレジスト材料を完全に除去するために必要とされる継続期間に渡ってフォトレジスト材料がアンモニアプラズマに暴露される。
本発明を例示した添付の図面と併せて行われる以下の詳細な説明から、本発明のその他の態様および利点がより明らかになるであろう。
以下の説明では、本発明の完全な理解を可能にするために、多くの詳細な事項が説明されている。しかしながら、当業者ならば明らかなように、本発明は、これらの一部または全部の詳細事項を伴わなくても実施可能である。また、本発明が不必要に不明瞭になるのを避けるため、周知のプロセス動作の詳細な説明は省略される。
高度な集積回路は、隣り合う導体線間の電気絶縁体として、即ち層間絶縁材料として低k誘電性材料を使用することが多い。低k誘電性材料は、SiO2より小さいk値、即ち約3.9未満のk値を有する絶縁性材料として定義される。議論を目的として、約2.5未満の誘電率、即ちk値を有する低k誘電性材料は、「多孔質の」低k材料であると見なされる。また、約2.5以上の誘電率、即ちk値を有する低k誘電性材料は、「高密度な」または「通常の」低k材料であると見なされる。低k誘電性材料の使用は、デバイス速度を増大させると同時に、隣り合う導体線間の望ましくない容量結合、即ちクロストークを低減させる。本明細書で使用される「低k誘電性材料」という総括的な名称は、多孔質のまたは高密度/通常のいずれのタイプの低k誘電性材料も指すものとする。
ウエハ上に集積回路構造を形成するためには、低k誘電性材料にプラズマエッチングプロセスを施すことがしばしば必要とされる。ウエハ上の低k誘電体層のプラズマエッチングでは、低k誘電体層の上にマスクパターンを形成するために、通常、フォトレジストマスク層が使用される。マスクパターンは、プラズマエッチングプロセス中、下層の低k材料が除去されないように保護する働きをする。プラズマエッチングプロセスが完了し、対応するマスクパターンが低k誘電体層内に形成されると、ウエハからフォトレジスト材料および関連の残渣を除去する必要がある。また、プラズマエッチングプロセスが完了すると、残留する低k誘電性材料が部分的に露出されることがわかる。ウエハからのフォトレジスト材料の除去は、ウエハ上でフォトレジスト剥離プロセスを実施することによって達成することができる。ただし、フォトレジスト剥離プロセスは、下層の、または露出された低k誘電性材料に悪影響を及ぼさず、且つフォトレジスト材料と低k誘電性材料との間に存在するハードマスク材料のファセットを引き起こさないように実施する必要がある。
本発明は、基板からフォトレジスト材料を剥離させる、即ち除去するための2段階のプロセスを提供する。基板は、フォトレジスト材料の下に低k誘電性材料を含む。1つの実施形態では、基板は、半導体ウエハとして表される。なお、基板、半導体ウエハ、およびウエハという用語は、本明細書の説明では同義であることが理解されるべきである。低k誘電性材料を含むことに加えて、基板は、フォトレジスト材料および低k誘電性材料の両方を覆うポリマ膜またはポリマ層を有するものとしても特徴付けられる。ポリマ膜は、フォトレジスト剥離プロセスに先立って実施される、例えばプラズマエッチングプロセス等のウエハ製造プロセスの副生成物である。1つの実施形態では、ポリマ膜は、フルオロカーボン成分またはヒドロフルオロカーボン成分のいずれかを含むものとして特徴付けられる。
フォトレジスト材料を剥離させるための2段階プロセスの第1の段階は、下層の低k誘電性材料を不利に損傷させる、または除去することなくポリマ膜を除去するために、酸素プラズマを使用する。2段階プロセスの第2の段階は、下層の低k誘電性材料を不利に損傷、または除去することなくフォトレジスト材料を除去するために、アンモニアプラズマを使用する。なお、第2の段階は、第1の段階の完了後に開始することが理解されるべきである。また、2段階フォトレジスト剥離プロセスの各段階は、化学剤、温度、圧力、ガス流速、無線周波数電力、および継続期間を含む、特定のプロセスパラメータの値によってそれぞれ定められることが理解されるべきである。2段階フォトレジスト剥離プロセスでは、前掲のプロセスパラメータは、以下の要件を同時に満たすように定められる。
・下にある低k誘電性材料を不利に損傷させることなくフォトレジスト材料を均一に除去する。
・商業的に競争力のある速度でフォトレジスト材料を除去する。
・基本的にいかなる残渣も残留させることなくフォトレジスト材料を完全に除去する。
・ハードマスク材料が存在する場合、基本的にそのハードマスク材料のいかなる物理的損傷またはファセットも生じることなくフォトレジスト材料を除去する。
2段階フォトレジスト剥離プロセスを詳細に説明する前に、2段階フォトレジスト剥離プロセスを中で行うことができるチャンバを説明することが有益である。図1は、本発明の1つの実施形態にしたがった、代表的なプラズマエッチングチャンバ(「チャンバ」)100を示した説明図である。チャンバ100は、無線周波数(RF)駆動電極101と、受動電極103とを含む。RF駆動電極101は、チャンバ100内で生成されるプラズマに暴露されるウエハ105をサポートするように構成される。導電接続111を介してRF駆動電極101に低周波RF電流および高周波RF電流をそれぞれ提供するために、低周波RF発生器113および高周波RF発生器115が用意される。1つの実施形態では、低周波RF電流は、2MHzの周波数を有するように生成され、高周波RF電流は、27MHzの周波数を有するように生成される。しかしながら、本発明は、2MHzおよび27MHzとは異なる周波数で生成されるRF電力を使用しても実施可能であることが理解されるべきである。例えば、低密度のプラズマチャンバでは、キロヘルツ(kHz)レベルでRF電力を生成することができる。反対に、高密度のプラズマチャンバでは、ギガヘルツ(GHz)レベルでRF電力を生成することができる。更に、本発明の2段階フォトレジスト剥離プロセスは、図1に関連して説明されるような複数周波数のチャンバ、または単一周波数のチャンバのいずれを使用しても実施可能であることが理解されるべきである。
図1について、動作中、高周波RF電流および低周波RF電流は、矢印117で示されるように、RF駆動電極101からチャンバ100のプラズマ領域を通って受動電極103へと送られる。なお、チャンバのプラズマ領域は、ウエハ105と、ウエハ105の外側のRF駆動電極101と、受動電極103と、閉じ込めリング121との間に形成されることに注意するべきである。また、高周波RF電流および低周波RF電流は、矢印119で示されるように、RF駆動電極101からプラズマ領域を通って接地延長107,109へも送られる。
動作中、プロセスガスは、ガス供給口(不図示)およびガス排出口(不図示)を介してチャンバ100のプラズマ領域を流れる。プラズマ領域を通って送られる高周波RF電流および低周波RF電流は、プロセスガスを、その材料成分のイオンおよびラジカルの両方を含む反応性の形態に変換する働きをする。なお、反応性の形態のプロセスガスとは、プラズマの特性を有するものとして定義されることが理解されるべきである。高周波RF電流は、チャンバ100内のプラズマの密度に影響を及ぼし、低周波RF電流は、チャンバ100内の電圧に影響を及ぼす。そして、電圧は、プラズマ中のイオンエネルギに影響する。低周波RF発生器113および高周波RF発生器115に提供される電力は、プラズマ密度およびプラズマ中のイオンエネルギを増減させるように調整することができる。プラズマ中のイオンおよびラジカルは、ウエハ105の材料と相互に作用することよって、プラズマに暴露されているウエハ105表面の性質に変化を生じさせる。
また、RF駆動電極101と受動電極103との間の幾何的関係は、ウエハ105に存在するバイアス電圧に影響を及ぼす。例えば、RF駆動電極101の上面の面積が受動電極103の底面の面積に対して減少すると、RF駆動電極101におけるバイアス電圧は増大する。逆の場合もまた同様である。ウエハ105は、RF駆動電極101によってサポートされているので、RF駆動電極101におけるバイアス電圧の増大は、相応して、ウエハ105におけるバイアスの増大を引き起こす。ウエハ105におけるバイアスが増大すると、ウエハ105に衝突するイオン速度および指向性が増大する。イオン速度および指向性の増大は、高アスペクト比エッチング等の特定のプロセスでは好まれるが、トレンチエッチング等の他のプロセスは、より小さいイオン速度および指向性によって最適化される。
本発明の2段階フォトレジスト剥離プロセスと併せて使用されるプラズマ処理チャンバは、プロセスガス流速の制御、温度の制御、圧力の制御、RF電力の制御、およびプロセス継続時間の制御を可能にする。図1に関連して説明されるような二重周波数プラズマ処理チャンバ100は、本発明の2段階フォトレジスト剥離プロセスを中で行うことができる代表的なチャンバを表している。しかしながら、本発明の2段階フォトレジスト剥離プロセスは、当業者に知られているように、基本的に、半導体ウエハの製造に適した任意のプラズマ処理チャンバ内で実施可能であることを理解されるべきである。
図2Aは、本発明の2段階フォトレジスト剥離プロセスにしたがって処理される基板上に形成される、理想的な特徴200Aを示した説明図である。特徴200Aは、低k誘電性材料の上を覆うフォトレジスト材料201を含む。なお、低k誘電性材料は、多孔性または高密度/通常のいずれかでありうることが理解されるべきである。図2Aの例では、フォトレジスト材料201と低k誘電性材料207との間に、SiCハードマスク材料205と、底部反射防止膜(BARC)材料203とが設けられる。また、低k誘電性材料207は、SiC材料209の上に形成される。特徴全体200Aは、Si基板211の上に形成される。更に、フォトレジスト材料201および低k誘電性材料207の両者の上に、ポリマ膜とも称されるポリマ層213が形成される。ポリマ層213は、先行するエッチングプロセスの副生成物を表している。1つの実施形態では、ポリマ層213は、フルオロカーボン成分またはヒドロフルオロカーボン成分のいずれかを含むものとして定義される。特徴200Aから半導体の製造を進めるためには、ポリマ層213、フォトレジスト層201、およびBARC層203を除去する必要がある。図2Bは、ポリマ層213、フォトレジスト層201、およびBARC層203を除去された、特徴200Aに対応する理想的な特徴200Bを示した説明図である。
図3は、本発明の1つの実施形態にしたがった、基板からフォトレジスト材料201を除去するための方法の流れ図を示した説明図である。図3に関連して説明されるこの方法は、前述の、基板からフォトレジスト材料を剥離させるための2段階プロセスの1つの実施形態を表していることを理解されるべきである。この方法は、低k誘電性材料の上を覆うフォトレジスト材料を有する基板を用意するための、動作301を含む。フォトレジスト材料および低k誘電性材料は、ともに、両者の上を覆うポリマ膜を有する。1つの実施形態では、ポリマ膜は、フルオロカーボン成分またはヒドロフルオロカーボン成分のいずれかを含む。
この方法は、また、下側にある低k誘電性材料を不利に損傷させる、または除去することなくポリマ膜を除去するために、酸素プラズマを使用する第1の剥離プロセスを実施するための、動作303を含む。第1の剥離プロセスは、基板の上に酸素(O2)を提供することを含む。1つの実施形態では、酸素ガスは、約50立方センチメートル毎分(sccm)から約1000sccmに到る範囲内の流速で提供される。本明細書で使用される「約」という表現は、具体的な値の±10%以内を意味する。もう1つの実施形態では、酸素ガスは、約100sccmから約500sccmに到る範囲内の流速で提供される。更にもう1つの実施形態では、酸素ガスは、約200sccmの流速で提供される。
第1の剥離プロセスは、また、基板の上に提供された酸素ガスに無線周波数(RF)電力を印加することを含む。1つの実施形態では、酸素ガスに印加されるRF電力は、約50ワット(W)から約2000Wに到る範囲内である。もう1つの実施形態では、酸素ガスに印加されるRF電力は、約100Wから約1000Wに到る範囲内である。更にもう1つの実施形態では、酸素ガスに印加されるRF電力は、約200Wから約1000Wに到る範囲内である。印加されるRF電力は、酸素ガスを酸素プラズマに変換する働きをする。酸素プラズマは、ポリマ膜を除去することができる反応性の形態の酸素を表している。
第1の剥離プロセスは、更に、基板および酸素プラズマを含む領域内の圧力を維持することを含む。1つの実施形態では、第1の剥離プロセス中の圧力は、約5ミリトール(mT)から約500mTに到る範囲内に維持される。もう1つの実施形態では、第1の剥離プロセス中の圧力は、約5mTから約100mTに到る範囲内に維持される。更にもう1つの実施形態では、第1の剥離プロセス中の圧力は、約5mTから約20mTに到る範囲内に維持される。
ポリマ膜がフルオロカーボン成分またはヒドロフルオロカーボン成分のいずれかを含む実施形態では、第1の剥離プロセスの終点を検出するために、酸素プラズマとポリマとの反応から得られるフッ素成分を監視することができる。例えば、第1の剥離プロセスの終点は、酸素プラズマ中のフッ素濃度が横ばい状態となる時期と一致するであろう。ポリマ膜のほぼ完全な除去が達成されたことが決定されると、第1の剥離プロセスは、基板の上への酸素ガスの提供を停止することによって終了される。
方法は、更に、下層の低k誘電性材料を不利に損傷させる、または除去することなくフォトレジスト材料を除去するために、アンモニアプラズマを使用する第2の剥離プロセスを実施するための、動作305を含む。なお、第2の剥離プロセスは、第1の剥離プロセスの完了後に開始することを理解されるべきである。第2の剥離プロセスは、基板の上にアンモニア(NH3)ガスを提供することを含む。1つの実施形態では、アンモニアガスは、約50sccmから約2000sccmに到る範囲内の流速で提供される。もう1つの実施形態では、アンモニアガスは、約100sccmから約1000sccmに到る範囲内の流速で提供される。更にもう1つの実施形態では、アンモニアガスは、約200sccmから約800sccmに到る範囲内の流速で提供される。
第2の剥離プロセスは、また、基板の上に提供されたアンモニアガスにRF電力を印加することを含む。1つの実施形態では、アンモニアガスに印加されるRF電力は、約50Wから約2000Wに到る範囲内である。もう1つの実施形態では、アンモニアガスに印加されるRF電力は、約100Wから約1000Wに到る範囲内である。更にもう1つの実施形態では、アンモニアガスに印加されるRF電力は、約200Wから約1000Wに到る範囲内である。印加されるRF電力は、アンモニアガスをアンモニアプラズマに変換する働きをする。アンモニアプラズマは、フォトレジスト材料を除去することができる反応性の形態のアンモニア成分を表している。
第2の剥離プロセスは、更に、基板およびアンモニアプラズマを含む領域内の圧力を維持することを含む。第2の剥離プロセス中に維持される圧力は、低k誘電性材料の誘電率に依存する。低k誘電性材料が約2.5未満の誘電率を有する場合、即ち多孔質の低k誘電性材料である場合は、1つの実施形態にしたがって、圧力は、第2の剥離プロセス中、約5mTから約500mTに到る範囲内に維持される。低k誘電性材料が約2.5未満の誘電率を有する場合のもう1つの実施形態では、圧力は、第2の剥離プロセス中、約5mTから約100mTに到る範囲内に維持される。低k誘電性材料が約2.5未満の誘電率を有する場合の更にもう1つの実施形態では、圧力は、第2の剥離プロセス中、約5mTから約20mTに到る範囲内に維持される。
しかしながら、低k誘電性材料が約2.5以上の誘電率を有する場合、即ち高密度/通常の低k誘電性材料である場合は、1つの実施形態にしたがって、圧力は、第2の剥離プロセス中、約5mTから約1000mTに到る範囲内に維持される。低k誘電性材料が約2.5以上の誘電率を有する場合のもう1つの実施形態では、圧力は、第2の剥離プロセス中、約100mTから約500mTに到る範囲内に維持される。低k誘電性材料が約2.5以上の誘電率を有する場合の更にもう1つの実施形態では、圧力は、第2の剥離プロセス中、約200mTに維持される。
また、動作303および動作305の第1および第2の剥離プロセスのそれぞれの間、ウエハを上に配されたサポートの温度は、1つの実施形態にしたがって、摂氏約−40度から摂氏約60度に到る範囲内に維持される。もう1つの実施形態では、ウエハを上に配されたサポートの温度は、摂氏約0度から摂氏約40度に到る範囲内に維持される。更にもう1つの実施形態では、ウエハを上に配されたサポートの温度は、摂氏約20度に維持される。
1つの実施形態では、この方法は、第2の剥離プロセスの完了に続いてオーバー剥離プロセスを実施することを含む。オーバー剥離プロセスは、第2の剥離プロセスと同様に実施される。なお、第2の剥離プロセスの完了は、プラズマスペクトル解析等の終点検出技術を使用して決定可能であることを理解されるべきである。例えば、1つの実施形態では、第2の剥離プロセスの終点は、約420ナノメートルの波長のCN輝線を観測することによって特定することができる。終点検出によって決定される第2の剥離プロセスの完了に続いて、オーバー剥離プロセスは、終点に達するまでの第2の剥離プロセスの継続期間の約10%から終点に達するまでの第2の剥離プロセスの継続期間の約200%に到る範囲内の継続期間に渡って実施される。もう1つの実施形態では、オーバー剥離プロセスは、終点に達するまでの第2の剥離プロセスの継続期間の約50%から終点に達するまでの第2の剥離プロセスの継続期間の約100%に到る範囲内の継続期間に渡って実施される。更にもう1つの実施形態では、オーバー剥離プロセスは、終点に達するまでの第2の剥離プロセスの継続期間の約50%に相当する継続期間に渡って実施される。
上述された方法によって実現されるフォトレジスト材料の剥離速度は、上記のとおり、剥離プロセスのパラメータに依存する。各種の実施形態では、本発明の2段階剥離プロセスに対して、約5000Å毎分(Å/分)を上回るフォトレジスト材料剥離速度を見込むことができる。また、本発明の2段階剥離プロセスは、ウエハの全面で均一な剥離速度を実現する。例えば、本発明の2段階剥離プロセスは、300ミリメートルのウエハの全面で、標準偏差が5%未満の3種類の均一な剥離速度を実現することを見込むことができる。
図4Aは、本発明の1つの実施形態にしたがった、2段階剥離プロセスの実施前におけるサンプルウエハの表面の画像を示した説明図である。サンプルウエハの表面は、低k誘電性材料層207の上を覆うフォトレジスト材料層201を各自有する複数の特徴によって形成される。ここで、フォトレジスト材料と低k誘電性材料との間には、SiCハードマスク層が形成されている。フォトレジスト材料201、SiCハードマスク、および低k誘電性材料207の上には、ポリマ膜213が設けられる。図4Bは、2段階剥離プロセスの実施前におけるサンプルウエハの表面の、もう1つの画像を示した説明図である。図4Bの画像内には、フォトレジスト材料層201、SiCハードマスク、低k誘電体層207、およびそれらの上を覆うポリマ膜213が、それぞれ示されている。表1は、図4A〜4Bのサンプルウエハに対して2段階剥離プロセスを実施するために使用される剥離プロセスパラメータの値を説明している。
Figure 2008545253
図5Aおよび図5Bは、表1で説明されるような2段階剥離プロセスの実施後における図4Aよび図4Bのサンプルウエハの画像を示した説明図である。図5A〜5Bに示されるように、本発明の2段階剥離プロセスは、基本的に残渣を残留させない。図6Aおよび図6Bは、フッ化水素酸(HF)への浸漬の実施後における図5Aおよび図5Bのサンプルウエハの画像を示した説明図である。HFへの浸漬は、剥離プロセスによって変質された任意の残留材料を除去する働きをする。図6A〜6Bに示されるように、本発明の2段階剥離プロセスは、基本的に低k誘電体の損傷を招くことがないことを理解されるべきである。また、本発明の2段階剥離プロセスは、各特徴の上隅が切り取られる、即ちファセットされるコーナーファセットによって特徴付けられるタイプの特徴損傷を阻止する。したがって、本発明によって提供される、基板からフォトレジスト材料を除去するための方法は、ハードマスク材料をファセットすることなく高い剥離速度および優れたウエハ全面の均一性を提供しつつ、基板上に存在する低k誘電性材料が損傷される事態を回避する。
本発明は、いくつかの実施形態の観点から説明されているが、当業者ならば、本明細書および図面を吟味することによって各種の代替、追加、置換、および等価の形態に想到することが理解されるであろう。したがって、本発明は、このようなあらゆる代替、追加、置換、および等価の形態を、本発明の真の趣旨および範囲に含まれるものとして含むものとする。
本発明の1つの実施形態にしたがった、代表的なプラズマエッチングチャンバを示した説明図である。 本発明の2段階剥離プロセスにしたがって処理される基板上に形成された、理想的な特徴を示した説明図である。 ポリマ層、フォトレジスト層、およびBARC層を除去された、図2Aの特徴に対応する理想的な特徴を示した説明図である。 本発明の1つの実施形態にしたがった、基板からフォトレジスト材料を除去するための方法の流れ図を示した説明図である。 本発明の1つの実施形態にしたがった、2段階剥離プロセスの実施前におけるサンプルウエハの表面の画像を示した説明図である。 2段階剥離プロセスの実施前におけるサンプルウエハの表面の、もう1つの画像を示した説明図である。 表1で説明されるような2段階剥離プロセスの実施後における図4Aのサンプルウエハの画像を示した説明図である。 表1で説明されるような2段階剥離プロセスの実施後における図4Bのサンプルウエハの画像を示した説明図である。 フッ化水素酸への浸漬の実施後における図5Aのサンプルウエハの画像を示した説明図である。 フッ化水素酸への浸漬の実施後における図5Bのサンプルウエハの画像を示した説明図である。

Claims (20)

  1. 基板からフォトレジスト材料を除去するための方法であって、
    低k誘電性材料の上を覆うフォトレジスト材料を有する基板を用意し、前記フォトレジスト材料および前記低k誘電性材料は、ともに、両者の上を覆うポリマ膜を有し、
    前記下にある低k誘電性材料を不利に損傷させる、または除去することなく前記ポリマ膜を除去するために、酸素プラズマを使用して第1の剥離プロセスを実施し、
    前記下層の低k誘電性材料を損傷または除去することなく前記フォトレジスト材料を除去するために、アンモニアプラズマを使用して第2の剥離プロセスを実施し、前記第2の剥離プロセスは、前記第1の剥離プロセスの完了後に実施される、
    ことを備える方法。
  2. 請求項1に記載の基板からフォトレジスト材料を除去するための方法であって、
    前記ポリマ膜は、フルオロカーボン成分またはヒドロフルオロカーボン成分を含み、前記ポリマ膜と前記酸素プラズマとの反応から得られるフッ素成分が、前記第1の剥離プロセスの終点を検出するために監視され、前記第1の剥離プロセスの終点は、前記酸素プラズマ中のフッ素濃度の横ばい状態と一致する、方法。
  3. 請求項1に記載の基板からフォトレジスト材料を除去するための方法であって、更に、
    前記第2の剥離プロセスの終点を検出し、
    前記第2の剥離プロセスの終点に続いてオーバー剥離プロセスを実施し、前記オーバー剥離プロセスは、前記終点に達するまでの前記第2の剥離プロセスの継続期間の約10%から前記終点に達するまでの前記第2の剥離プロセスの継続期間の約200%に到る範囲内の継続期間を有し、前記オーバー剥離プロセスは、前記第2の剥離プロセスと同様に実施される、
    ことを備える方法。
  4. 請求項1に記載の基板からフォトレジスト材料を除去するための方法であって、
    前記第1の剥離プロセスは、前記基板の上に、約50立方センチメートル毎分(sccm)から約1000sccmに到る範囲内の流速で酸素ガスを提供することと、前記酸素ガスを前記酸素プラズマに変換するために、前記酸素ガスに、約50ワット(W)から約2000Wに到る範囲内の無線周波数(RF)電力を印加することと、を含む、方法。
  5. 請求項1に記載の基板からフォトレジスト材料を除去するための方法であって、
    前記フォトレジスト材料と前記低k誘電性材料との間にハードマスク材料が形成される、方法。
  6. 請求項5に記載の基板からフォトレジスト材料を除去するための方法であって、
    前記第1および第2の剥離プロセスは、それぞれ、前記ハードマスク材料をファセットすることなく実施される、方法。
  7. 請求項1に記載の基板からフォトレジスト材料を除去するための方法であって、
    前記第2の剥離プロセスは、前記基板の上に、約50立方センチメートル毎分(sccm)から約2000sccmに到る範囲内の流速でアンモニアガスを提供することと、前記アンモニアガスを前記アンモニアプラズマに変換するために、前記アンモニアガスに、約50ワット(W)から約2000Wに到る範囲内の無線周波数(RF)電力を印加することと、を含む、方法。
  8. 請求項1に記載の基板からフォトレジスト材料を除去するための方法であって、
    前記第1の剥離プロセスは、前記基板および前記酸素プラズマを含む領域内の圧力を、約5ミリトール(mT)から約500mTに到る範囲内に維持することを含む、方法。
  9. 請求項1に記載の基板からフォトレジスト材料を除去するための方法であって、
    前記第2の剥離プロセスは、前記低k誘電性材料が2.5未満の誘電率を有する場合に、前記基板および前記酸素プラズマを含む領域内の圧力を、約5ミリトール(mT)から約500mTに到る範囲内に維持することを含み、
    前記第2の剥離プロセスは、前記低k誘電性材料が2.5以上の誘電率を有する場合に、前記基板および前記酸素プラズマを含む領域内の圧力を、約5mTから約1000mTに到る範囲内に維持することを含む、方法。
  10. 請求項1に記載の基板からフォトレジスト材料を除去するための方法であって、更に、
    前記基板をサポートしているチャックの温度を、摂氏約−40度から摂氏約60度に到る範囲内に維持することを備える方法。
  11. 基板からフォトレジスト材料を除去するための方法であって、
    低k誘電性材料の上を覆うフォトレジスト材料を有する基板を用意し、前記フォトレジスト材料および前記低k誘電性材料は、ともに、両者の上を覆う、フルオロカーボン成分またはヒドロフルオロカーボン成分のいずれかを含むポリマ膜を有し、
    前記基板の上に酸素ガスを提供し、
    前記酸素ガスを反応性の形態に変換し、前記反応性の形態の酸素ガスは、前記ポリマ膜の除去を行ない、
    前記ポリマ膜のほぼ完全な除去時に、前記基板の上への酸素ガスの提供を停止し、
    前記基板の上への酸素ガスの提供を停止した後に、前記基板の上にアンモニアガスを提供し、
    前記アンモニアガスを反応性の形態に変換し、前記反応性の形態のアンモニアは、前記下にある低k誘電性材料の実質的な損傷または除去を生じることなく前記基板からの前記フォトレジスト材料の除去を行う、
    ことを備える方法。
  12. 請求項11に記載の基板からフォトレジスト材料を除去するための方法であって、更に、
    前記基板の上への酸素ガスの提供中に、前記基板の付近に存在するフッ素濃度を監視し、前記ポリマ膜のほぼ完全な除去は、前記フッ素濃度の横ばい状態に一致する、ことを備える方法。
  13. 請求項11に記載の基板からフォトレジスト材料を除去するための方法であって、
    前記酸素ガスは、前記基板の上に、約50立方センチメートル毎分(sccm)から約1000sccmに到る範囲内の流速で提供される、方法。
  14. 請求項11に記載の基板からフォトレジスト材料を除去するための方法であって、
    前記アンモニアガスは、前記基板の上に、約50立方センチメートル毎分(sccm)から約2000sccmに到る範囲内の流速で提供される、方法。
  15. 請求項11に記載の基板からフォトレジスト材料を除去するための方法であって、
    前記酸素ガスおよび前記アンモニアガスは、それぞれ、約50ワット(W)から約2000Wに到る範囲内の無線周波数(RF)電力をそれぞれのガスに印加することによって、反応性の形態に変換される、方法。
  16. 請求項11に記載の基板からフォトレジスト材料を除去するための方法であって、
    前記基板の上への酸素ガスの提供中、前記基板を含む領域内の圧力を、約5ミリトール(mT)から約500mTに到る範囲内に維持するし、
    前記低k誘電性材料が2.5未満の誘電率を有する場合に、前記基板の上へのアンモニアガスの提供中、前記基板を含む領域内の圧力を、約5mTから約500mTに到る範囲内に維持し、
    前記低k誘電性材料が2.5以上の誘電率を有する場合に、前記基板の上へのアンモニアガスの提供中、前記基板を含む領域内の圧力を、約5mTから約1000mTに到る範囲内に維持する、
    ことを備える方法。
  17. 請求項11に記載の基板からフォトレジスト材料を除去するための方法であって、
    前記フォトレジスト材料と前記低k誘電性材料との間にハードマスク材料が形成され、前記反応性の形態の酸素およびアンモニアは、それぞれ、前記ハードマスク材料をファセットすることなく前記基板から前記ポリマ膜および前記フォトレジスト材料の除去を行う、方法。
  18. フォトレジスト材料の下に低k誘電性材料を有する基板から前記フォトレジスト材料を除去するための方法であって、前記フォトレジスト材料および前記低k誘電性材料は、ともに、両者の上を覆う、フルオロカーボン成分またはヒドロフルオロカーボン成分のいずれかを含むポリマ膜を有し、前記方法は
    前記ポリマ膜をちょうど除去するために必要とされる継続期間に渡って前記ポリマ膜を酸素プラズマに暴露し、
    前記ポリマ膜の除去に続いて、前記フォトレジスト材料を完全に除去するために必要とされる継続期間に渡って前記フォトレジスト材料をアンモニアプラズマに暴露する、
    ことを備える方法。
  19. 請求項18に記載の方法であって、
    前記ポリマ膜の前記酸素プラズマに対する暴露は、
    前記基板の上に、約50立方センチメートル毎分(sccm)から約1000sccmに到る範囲内の流速で酸素ガスを提供し、
    前記酸素プラズマを生成するために、前記酸素ガスに、約50ワット(W)から約2000Wに到る範囲内の無線周波数(RF)電力を印加し、
    前記基板および前記酸素プラズマを含む領域内の圧力を、約5ミリトール(mT)から約500mTに到る範囲内に維持することと、
    を含む、方法。
  20. 請求項18に記載の方法であって、
    前記ポリマ膜の前記アンモニアプラズマに対する暴露は、
    前記基板の上に、約50立方センチメートル毎分(sccm)から約2000sccmに到る範囲内の流速でアンモニアガスを提供し、
    前記アンモニアプラズマを生成するために、前記アンモニアガスに、約50ワット(W)から約2000Wに到る範囲内のRF電力を印加し、
    前記低k誘電性材料が2.5未満の誘電率を有する場合に、前記基板および前記アンモニアプラズマを含む領域内の圧力を、約5ミリトール(mT)から約500mTに到る範囲内に維持し、
    前記低k誘電性材料が2.5以上の誘電率を有する場合に、前記基板および前記アンモニアプラズマを含む領域内の圧力を、約5mTから約1000mTに到る範囲内に維持すること、
    を含む、方法。
JP2008511272A 2005-05-10 2006-05-08 通常の低k誘電性材料および/または多孔質の低k誘電性材料の存在下でのレジスト剥離のための方法 Pending JP2008545253A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/126,648 US7288488B2 (en) 2005-05-10 2005-05-10 Method for resist strip in presence of regular low k and/or porous low k dielectric materials
PCT/US2006/017917 WO2006122119A2 (en) 2005-05-10 2006-05-08 Method for resist strip in presence of regular low k and/or porous low k dielectric materials

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2013260166A Division JP2014090192A (ja) 2005-05-10 2013-12-17 通常の低k誘電性材料および/または多孔質の低k誘電性材料の存在下でのレジスト剥離のための方法

Publications (1)

Publication Number Publication Date
JP2008545253A true JP2008545253A (ja) 2008-12-11

Family

ID=37397238

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2008511272A Pending JP2008545253A (ja) 2005-05-10 2006-05-08 通常の低k誘電性材料および/または多孔質の低k誘電性材料の存在下でのレジスト剥離のための方法
JP2013260166A Pending JP2014090192A (ja) 2005-05-10 2013-12-17 通常の低k誘電性材料および/または多孔質の低k誘電性材料の存在下でのレジスト剥離のための方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2013260166A Pending JP2014090192A (ja) 2005-05-10 2013-12-17 通常の低k誘電性材料および/または多孔質の低k誘電性材料の存在下でのレジスト剥離のための方法

Country Status (8)

Country Link
US (1) US7288488B2 (ja)
EP (1) EP1880414B1 (ja)
JP (2) JP2008545253A (ja)
KR (1) KR101299661B1 (ja)
CN (1) CN100568472C (ja)
IL (1) IL186729A (ja)
TW (1) TWI347642B (ja)
WO (1) WO2006122119A2 (ja)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100685903B1 (ko) * 2005-08-31 2007-02-26 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법
US7244313B1 (en) * 2006-03-24 2007-07-17 Applied Materials, Inc. Plasma etch and photoresist strip process with intervening chamber de-fluorination and wafer de-fluorination steps
US7790047B2 (en) * 2006-04-25 2010-09-07 Applied Materials, Inc. Method for removing masking materials with reduced low-k dielectric material damage
US8283255B2 (en) * 2007-05-24 2012-10-09 Lam Research Corporation In-situ photoresist strip during plasma etching of active hard mask
JP2008311258A (ja) * 2007-06-12 2008-12-25 Applied Materials Inc 低誘電率の誘電材料の損傷を低減したマスキング材料の除去方法
WO2009039551A1 (en) * 2007-09-26 2009-04-02 Silverbrook Research Pty Ltd Method of removing photoresist
US20090078675A1 (en) * 2007-09-26 2009-03-26 Silverbrook Research Pty Ltd Method of removing photoresist
CN101770975B (zh) * 2008-12-31 2012-08-22 中芯国际集成电路制造(上海)有限公司 接触孔形成方法
US8691701B2 (en) * 2009-05-08 2014-04-08 Lam Research Corporation Strip with reduced low-K dielectric damage
WO2012129122A1 (en) * 2011-03-18 2012-09-27 Tokyo Electron Limited Method for controlling dangling bonds in fluorocarbon films
CN106887387B (zh) * 2015-12-15 2019-09-27 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
CN109994375A (zh) * 2018-01-03 2019-07-09 联华电子股份有限公司 去除图案化光致抗蚀剂的方法
CN109616405A (zh) * 2018-12-05 2019-04-12 上海华力微电子有限公司 半导体刻蚀工艺真空腔体设备及刻蚀方法
CN110727182A (zh) * 2019-10-31 2020-01-24 湖北兴福电子材料有限公司 一种剥离光刻胶的方法

Citations (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11145111A (ja) * 1997-11-05 1999-05-28 Matsushita Electron Corp 半導体装置の製造方法
JPH11219926A (ja) * 1997-11-20 1999-08-10 Interuniv Micro Electronica Centrum Vzw 半導体基板表面からの有機汚染物の除去方法
JPH11307512A (ja) * 1998-04-23 1999-11-05 Sony Corp エッチング方法
JP2001291720A (ja) * 2000-04-05 2001-10-19 Hitachi Ltd 半導体集積回路装置および半導体集積回路装置の製造方法
JP2001308175A (ja) * 2000-04-21 2001-11-02 Nec Corp 半導体装置及びその製造方法
JP2002203852A (ja) * 2001-01-05 2002-07-19 Mitsubishi Electric Corp 絶縁膜の形成方法及び絶縁膜
JP2003243335A (ja) * 2002-02-19 2003-08-29 Sony Corp レジスト除去方法およびレジスト除去装置
WO2003090270A1 (en) * 2002-04-16 2003-10-30 Tokyo Electron Limited Method for removing photoresist and etch residues
WO2003090267A1 (en) * 2002-04-16 2003-10-30 Tokyo Electron Limited Method for removing photoresist and etch residues
JP2004505464A (ja) * 2000-08-01 2004-02-19 アプライド マテリアルズ インコーポレイテッド 半導体構造物から有機残留物を除去する方法
WO2004019134A1 (ja) * 2002-08-22 2004-03-04 Daikin Industries, Ltd. 剥離液
JP2004071732A (ja) * 2002-08-05 2004-03-04 Ulvac Japan Ltd エッチング方法
JP2004103747A (ja) * 2002-09-09 2004-04-02 Renesas Technology Corp 半導体装置の製造方法
JP2004111779A (ja) * 2002-09-20 2004-04-08 Fujitsu Ltd 有機系絶縁膜のエッチング方法及び半導体装置の製造方法
JP2004128252A (ja) * 2002-10-03 2004-04-22 Ulvac Japan Ltd 多孔質絶縁膜のプラズマ処理方法
JP2004247417A (ja) * 2003-02-12 2004-09-02 Renesas Technology Corp 半導体装置の製造方法
JP2004306184A (ja) * 2003-04-04 2004-11-04 Mitsubishi Electric Corp p型シリコン基板への穴溝形成方法
WO2004107418A1 (en) * 2003-05-30 2004-12-09 Psk, Inc. Method for removing photoresist in semiconductor manufacturing process
JP2004363558A (ja) * 2003-05-13 2004-12-24 Toshiba Corp 半導体装置の製造方法およびプラズマエッチング装置のクリーニング方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6551939B2 (en) * 1998-03-17 2003-04-22 Anneal Corporation Plasma surface treatment method and resulting device
US6599829B2 (en) * 1998-11-25 2003-07-29 Texas Instruments Incorporated Method for photoresist strip, sidewall polymer removal and passivation for aluminum metallization
US6242350B1 (en) * 1999-03-18 2001-06-05 Taiwan Semiconductor Manufacturing Company Post gate etch cleaning process for self-aligned gate mosfets
US6316354B1 (en) * 1999-10-26 2001-11-13 Lsi Logic Corporation Process for removing resist mask of integrated circuit structure which mitigates damage to underlying low dielectric constant silicon oxide dielectric layer
US6440864B1 (en) 2000-06-30 2002-08-27 Applied Materials Inc. Substrate cleaning process
US6777344B2 (en) * 2001-02-12 2004-08-17 Lam Research Corporation Post-etch photoresist strip with O2 and NH3 for organosilicate glass low-K dielectric etch applications
US6647994B1 (en) * 2002-01-02 2003-11-18 Taiwan Semiconductor Manufacturing Company Method of resist stripping over low-k dielectric material
US6806038B2 (en) * 2002-07-08 2004-10-19 Lsi Logic Corporation Plasma passivation
US7029992B2 (en) * 2004-08-17 2006-04-18 Taiwan Semiconductor Manufacturing Company Low oxygen content photoresist stripping process for low dielectric constant materials
US7115440B1 (en) * 2004-10-01 2006-10-03 Advanced Micro Devices, Inc. SO2 treatment of oxidized CuO for copper sulfide formation of memory element growth

Patent Citations (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11145111A (ja) * 1997-11-05 1999-05-28 Matsushita Electron Corp 半導体装置の製造方法
JPH11219926A (ja) * 1997-11-20 1999-08-10 Interuniv Micro Electronica Centrum Vzw 半導体基板表面からの有機汚染物の除去方法
JPH11307512A (ja) * 1998-04-23 1999-11-05 Sony Corp エッチング方法
JP2001291720A (ja) * 2000-04-05 2001-10-19 Hitachi Ltd 半導体集積回路装置および半導体集積回路装置の製造方法
JP2001308175A (ja) * 2000-04-21 2001-11-02 Nec Corp 半導体装置及びその製造方法
JP2004505464A (ja) * 2000-08-01 2004-02-19 アプライド マテリアルズ インコーポレイテッド 半導体構造物から有機残留物を除去する方法
JP2002203852A (ja) * 2001-01-05 2002-07-19 Mitsubishi Electric Corp 絶縁膜の形成方法及び絶縁膜
JP2003243335A (ja) * 2002-02-19 2003-08-29 Sony Corp レジスト除去方法およびレジスト除去装置
WO2003090267A1 (en) * 2002-04-16 2003-10-30 Tokyo Electron Limited Method for removing photoresist and etch residues
WO2003090270A1 (en) * 2002-04-16 2003-10-30 Tokyo Electron Limited Method for removing photoresist and etch residues
JP2004071732A (ja) * 2002-08-05 2004-03-04 Ulvac Japan Ltd エッチング方法
WO2004019134A1 (ja) * 2002-08-22 2004-03-04 Daikin Industries, Ltd. 剥離液
JP2004103747A (ja) * 2002-09-09 2004-04-02 Renesas Technology Corp 半導体装置の製造方法
JP2004111779A (ja) * 2002-09-20 2004-04-08 Fujitsu Ltd 有機系絶縁膜のエッチング方法及び半導体装置の製造方法
JP2004128252A (ja) * 2002-10-03 2004-04-22 Ulvac Japan Ltd 多孔質絶縁膜のプラズマ処理方法
JP2004247417A (ja) * 2003-02-12 2004-09-02 Renesas Technology Corp 半導体装置の製造方法
JP2004306184A (ja) * 2003-04-04 2004-11-04 Mitsubishi Electric Corp p型シリコン基板への穴溝形成方法
JP2004363558A (ja) * 2003-05-13 2004-12-24 Toshiba Corp 半導体装置の製造方法およびプラズマエッチング装置のクリーニング方法
WO2004107418A1 (en) * 2003-05-30 2004-12-09 Psk, Inc. Method for removing photoresist in semiconductor manufacturing process

Also Published As

Publication number Publication date
WO2006122119A3 (en) 2007-06-21
JP2014090192A (ja) 2014-05-15
TWI347642B (en) 2011-08-21
US7288488B2 (en) 2007-10-30
TW200705127A (en) 2007-02-01
CN101171673A (zh) 2008-04-30
CN100568472C (zh) 2009-12-09
EP1880414A2 (en) 2008-01-23
US20060258148A1 (en) 2006-11-16
IL186729A0 (en) 2008-02-09
KR101299661B1 (ko) 2013-09-03
EP1880414A4 (en) 2008-07-16
EP1880414B1 (en) 2012-12-19
IL186729A (en) 2012-06-28
KR20080014773A (ko) 2008-02-14
WO2006122119A2 (en) 2006-11-16

Similar Documents

Publication Publication Date Title
JP2008545253A (ja) 通常の低k誘電性材料および/または多孔質の低k誘電性材料の存在下でのレジスト剥離のための方法
JP5414179B2 (ja) フォトレジスト及びエッチング残余物の低圧除去
US7344993B2 (en) Low-pressure removal of photoresist and etch residue
KR102023784B1 (ko) 질화규소막 에칭 방법
IL186629A (en) The process of forming a conversion coating appears chromate-free to magnesium and magnesium alloys
KR101032831B1 (ko) 챔버 탈불화 및 웨이퍼 탈불화 단계들을 방해하는 플라즈마에칭 및 포토레지스트 스트립 프로세스
KR20140016900A (ko) 질화규소막에 피처를 에칭하는 방법
KR20080106474A (ko) 낮은 유전상수 물질에 대한 인-시튜 후면 폴리머 제거를 포함하는 플라즈마 유전체 식각 프로세스
WO1999033097A1 (en) Improved techniques for etching an oxide layer
JP2006013190A (ja) 半導体装置の製造方法
JP2013030778A (ja) 二層レジストプラズマエッチングの方法
JP2008218959A (ja) エッチング方法および記憶媒体
JP4351806B2 (ja) フォトレジストマスクを使用してエッチングするための改良技術
US6955177B1 (en) Methods for post polysilicon etch photoresist and polymer removal with minimal gate oxide loss
JP2003059911A (ja) 半導体装置の製造方法
JP2004259819A (ja) 試料の表面処理装置及び表面処理方法
US6399509B1 (en) Defects reduction for a metal etcher
KR100607761B1 (ko) 반도체 장치 제조용 식각 챔버의 시즈닝 방법
KR100607760B1 (ko) 반도체 소자의 식각 챔버 클리닝 방법
KR100576439B1 (ko) 반도체 소자의 식각 챔버 클리닝 방법
KR20040009506A (ko) 반도체 소자의 금속배선 형성 후의 감광막 제거방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090424

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110905

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110913

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111209

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120814

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121112

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130820

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131217

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20131218

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20140117

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20140228

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150227

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150601