CN100568472C - 存在常规低k和/或多孔低k介电材料时的光刻胶剥除方法 - Google Patents

存在常规低k和/或多孔低k介电材料时的光刻胶剥除方法 Download PDF

Info

Publication number
CN100568472C
CN100568472C CNB2006800157232A CN200680015723A CN100568472C CN 100568472 C CN100568472 C CN 100568472C CN B2006800157232 A CNB2006800157232 A CN B2006800157232A CN 200680015723 A CN200680015723 A CN 200680015723A CN 100568472 C CN100568472 C CN 100568472C
Authority
CN
China
Prior art keywords
substrate
photoresist material
dielectric
strip process
low
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB2006800157232A
Other languages
English (en)
Other versions
CN101171673A (zh
Inventor
海伦·朱
R·萨亚迪
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN101171673A publication Critical patent/CN101171673A/zh
Application granted granted Critical
Publication of CN100568472C publication Critical patent/CN100568472C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70858Environment aspects, e.g. pressure of beam-path gas, temperature
    • G03F7/70866Environment aspects, e.g. pressure of beam-path gas, temperature of mask or workpiece
    • G03F7/70875Temperature, e.g. temperature control of masks or workpieces via control of stage temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Atmospheric Sciences (AREA)
  • Toxicology (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

公开了一种用于从基片剥除光刻胶材料的两步处理方法,其中基片包括位于光刻胶材料之下的低k介电材料以及覆盖在光刻胶材料和低k介电材料上的聚合物膜。两步处理的第一步骤使用氧等离子体以去除聚合物膜。两步处理的第二步骤使用氨等离子体以去除光刻胶材料,其中第二步骤在第一步骤完成后开始。两步光刻胶剥除处理中的每个步骤分别由特定的处理参数值限定,这些处理参数包括化学成分、温度、压力、气流率、射频功率、以及频率和持续时间。

Description

存在常规低k和/或多孔低k介电材料时的光刻胶剥除方法
技术领域
本发明涉及从基片去除光刻胶材料的方法。更具体而言,本发明涉及在常规低k和/或多孔低k介电材料存在时从基片剥除光刻胶的方法。
背景技术
在半导体制造过程中,集成电路形成于由诸如硅等材料组成的半导体晶片上(简称“晶片”)。为了在该晶片上形成集成电路,有必要制备出大量(例如,数百万)的电子器件,例如不同类型的电阻、二极管、电容以及晶体管。这些电子器件的制造过程包括在晶片精确位置上沉积、去除和注入材料。通常使用一种称为光刻的处理方法,以便于在晶片的精确位置上进行材料的沉积、去除和注入。
在光刻处理中,光刻胶材料首先被沉积到晶片上。然后该光刻胶材料曝光于由中间掩模(reticle)过滤的光线。该中间掩模通常为玻璃面板,其形成有示例特征几何形状图案,该几何形状可以阻止光线穿过中间掩模。当穿过中间掩模后,光线接触光刻胶材料的表面。该光线改变曝光的光刻胶材料的化学组成。使用正型光刻胶材料,曝光使得曝光的光刻胶材料不溶于显影液。相反,使用负型光刻胶材料,曝光使得曝光的光刻胶材料可溶于显影液。当曝光后,光刻胶材料的可溶部分被去除,而剩下图案化的光刻胶层。
然后,晶片被处理,以在没有被该图案化的光刻胶层覆盖的晶片区域中去除、沉积或注入材料。在该晶片处理后,在被称作光刻胶剥除的处理中,该图案化的光刻胶层从晶片被去除。在光刻胶剥除处理中完全去除光刻胶材料是重要的,这是因为,保留在晶片表面上的光刻胶材料可导致集成电路的缺陷。另外,该光刻胶剥除处理应当谨慎地进行,以避免化学上改变或物理上损坏晶片上的底层材料。
发明内容
在一个实施例中,公开了一种用于从基片去除光刻胶材料的方法。该方法包括提供具有覆盖低k介电材料的光刻胶材料的基片的操作,其中该光刻胶材料以及该低k介电材料均具有上覆的聚合物膜。该基片可使用或不使用在光刻胶材料以及低k介电材料之间的硬掩膜层限定。该方法还提供了用于进行第一剥除处理的操作,该剥除处理使用氧等离子体以去除聚合物膜。该方法进一步提供了在完成第一剥除处理后进行第二剥除处理的操作。第二剥除处理使用氨等离子体以去除光刻胶材料。执行第一剥除处理和第二剥除处理时,均不会不利地损坏或去除下面的低k介电材料,并且不会磨蚀(faceting)硬掩膜(hardmask)(如果存在的话)。
在另一个实施例中,公开了一种用于从基片去除光刻胶材料的方法。该方法包括提供具有覆盖低k介电材料的光刻胶材料的基片的操作,该光刻胶材料以及低k介电材料均具有上覆的聚合物膜,该聚合物膜包括碳氟化合物成分或氢氟烃(hydrofluorocarbon)成分。另外,该基片可使用或不使用在光刻胶材料以及低k介电材料之间的硬掩膜层限定。该方法还包括在基片上配置氧气,并将氧气转化为活性形式,其中,氧气的活性形式影响聚合物膜的去除。当大体上完全去除聚合物膜后,终止基片上的氧气配置(dispose)。该方法进一步包括在终止基片上的氧气配置后,在基片上配置氨气。氨气被转化为活性形式,其中,氨气的活性形式影响光刻胶材料从基片的去除,并且不会导致下面的低k介电材料的实质性损坏或去除,并且不会磨蚀硬掩膜(如果存在的话)。
在另一个实施例中,提供了一种用于从基片去除光刻胶材料的方法,该基片具有位于光刻胶材料下的低k介电材料,该光刻胶材料以及该低k介电材料均具有上覆有聚合物膜,该聚合物膜包括碳氟化合物成分或氢氟烃成分。在该方法的第一操作中,在刚好去除聚合物膜所必需的持续时间内将聚合物膜暴露于氧等离子体。聚合物膜去除后,进行该方法的第二操作,在第二操作中,在完全去除光刻胶材料所必需的持续时间内将光刻胶材料暴露于氨等离子体。
本发明的其它方面和优点将会从以下结合附图并通过本发明的实例说明的具体的描述而更加明显。
附图说明
图1是示出根据本发明的一个实施例的示例性等离子体蚀刻腔室的示意图;
图2A是示出根据本发明的两步剥除处理的在待处理的基片上限定的理想结构的示意图;
图2B是示出对应于图2A中的聚合物层、光刻胶层和BARC层被去除后的结构的理想结构的示意图;
图3是示出根据本发明的一个实施例的用于从基片去除光刻胶材料的方法的流程图的示意图;
图4A是示出根据本发明的一个实施例的在进行两步剥除处理之前的样品晶片表面的图像的示意图;
图4B是示出在进行两步剥除处理之前的样品晶片表面的另一个图像的示意图;
图5A和图5B是示出在如表1中描述的进行两步剥除处理之后,图4A和图4B中的样品晶片图像的示意图;以及
图6A和6B是示出在进行氢氟酸浸渍后,图5A和图5B中的样品晶片图像的示意图。
具体实施方式
在以下描述中,将阐明多个特别的细节,以提供对本发明的透彻的理解。但是,明显地,对于本领域的技术人员而言,本发明可不使用这些特定细节中的某些或全部而被实施。在另一些情形下,熟知的处理操作未具体描述,以避免不必要地混淆本发明。
先进的集成电路通常使用低k介电材料作为相邻导线间的电绝缘体,即作为中间层介电材料。低k介电材料被定义为具有比SiO2的k值小(即小于约3.9的k值)的绝缘材料。为了论述的目的,具有小于约2.5的介电常数值(即k值)的低k介电材料被认为是“多孔”低k材料。另外,具有大于或等于约2.5的介电常数值(即k值)的低k介电材料被认为是“密集”或“常规”低k材料。使用低k介电材料减少了在增加器件速度时在相邻导线之间的不期望的电容耦合(即串扰)。本文中,通用术语“低k介电材料”指任何类型的低k介电材料(即多孔型或密集/常规型)。
为了在晶片上形成集成电路结构,通常有必要使低k介电材料经受等离子体蚀刻处理。在晶片上的低k介电层的等离子体蚀刻中,通常使用光刻胶掩模层以在低k介电层上形成掩模图案。该掩模图案用于在等离子体蚀刻处理过程中保护下面的低k材料不被去除。一旦该等离子体蚀刻处理完成,并且对应的掩模图案在该低k介电层中形成,则光刻胶材料以及相关联的残留物需要从该晶片去除。另外,应当理解,一旦等离子体蚀刻处理完成,则保留的低k介电材料的部分将会被暴露。光刻胶材料从晶片的去除可通过在晶片上进行光刻胶剥除处理而完成。但是,光刻胶剥除处理需要以这样的方式进行,即其不会不利地影响下面的/暴露的低k介电材料,也不会导致磨蚀存在于光刻胶材料以及低k介电材料之间的硬掩膜材料。
本发明提供了一种用于将光刻胶材料从基片剥除(即去除)的两步处理,其中,基片包括位于光刻胶材料之下的低k介电材料。在一个实施例中,基片表现为半导体晶片。应当理解,术语基片、半导体晶片和晶片在本发明中为同意用语。除了包括低k介电材料之外,该基片的特征在于其还具有覆盖光刻胶材料及低k介电材料的聚合物膜或聚合物层。该聚合物膜为在光刻胶剥除处理之前执行的晶片制造处理(例如,等离子体蚀刻处理)的副产品。在一个实施例中,聚合物膜的特征在于其包括碳氟化合物成分或氢氟烃成分。
用于剥除光刻胶材料的两步处理中的第一步骤使用氧等离子体以去除聚合物膜,而不会不利地损坏或去除下面的低k介电材料。该两步处理中的第二步骤使用氨等离子体以去除光刻胶材料,而不会不利地损坏或去除下面的低k介电材料。应当理解,第二步骤在第一步骤完成后开始。另外,还应当理解,该两步光刻胶剥除处理中的每个步骤分别由特定的处理参数值限定,这些处理参数包括化学性质、温度、压力、气流率、射频功率、以及持续时间。在该两步光刻胶剥除处理中,上述处理参数被限定为同时满足以下要求:
·均一地去除该光刻材料,而不会不利地损坏下面的低k介电材料,
·以商业上地竞争性速率去除光刻胶材料,
·完全去除光刻胶材料,基本上不留任何残留物,以及
·去除光刻胶材料,并且不会在实质上导致硬掩膜材料(如存在的话)的任何物理损坏或磨蚀。
在详细描述该两步光刻胶剥除处理之前,描述可在其中进行该两步光刻胶剥除处理的腔室是有益的。图1是示出根据本发明的一个实施例的示例性等离子体蚀刻腔室(“腔室”)100的示意图。该腔室100包括射频(RF)驱动电极101和被动电极(passive electrode)103。RF驱动电极101配置为支持暴露于将要在腔室100内产生的等离子体的晶片105。提供了低频RF发生器113和高频RF发生器115,以通过导电连接体111分别向RF驱动电极101供应低频以及高频的RF电流。在一个实施例中,产生的低频RF电流具有2MHz的频率,而产生的高频RF电流具有27MHz的频率。但是,应当理解,本发明可使用以2MHz和27MHz以外的频率产生的RF功率实施。例如,在低密度等离子体腔室中,RF功率可以千赫兹(kHz)的量级产生。相反地,在高密度等离子体腔室中,RF功率可以千兆赫兹(GHz)的量级产生。另外,应当理解,本发明的两步光刻胶剥除处理可使用多频率腔室(如参照图1所描述)或者单频率腔室实施。
对于图1,在操作中,高频和低频RF电流通过腔室100的等离子体区域,由RF驱动电极101传输到被动电极103,如箭头117所示。应当注意,该腔室的等离子体区域被限定在晶片105、晶片105外的RF驱动电极101、被动电极103以及限制环121之间。另外,高频和低频RF电流通过等离子体区域由RF驱动电极101传输到接地延伸部107和109,如箭头119所示。
在操作中,处理气体通过气体供应口(未示出)和气体排放口(图未示),流经穿过腔室100的等离子体区域。通过等离子体区域传输的高频和低频RF电流用于将处理气体转化为活性形式,该活性形式包括该处理气体材料组分的离子和自由基(radical)。应当理解,该处理气体的活性形式被定义为具有等离子体的特征。高频RF电流影响腔室100中的等离子体密度,而低频RF电流影响腔室100中的电压,其中,电压影响等离子体中的离子能量。可以调整提供给低频RF发生器113和高频RF发生器115的电力,以增加或减少等离子体内的等离子体密度和离子能量。等离子体内的离子和自由基与晶片105材料相互作用,以使暴露于该等离子体的晶片105表面的性质产生变化。
另外,RF驱动电极101和被动电极103之间的几何关系影响存在于晶片105的偏置电压。例如,当RF驱动电极101的上表面区域相对于被动电极103的底表面区域减小时,RF驱动电极101的偏置电压将增加,反之亦然。因为晶片105由RF驱动电极101支撑,因此RF驱动电极101的偏置电压的增加会导致晶片105的偏置电压的相应增加。当晶片105的偏置电压增加时,与晶片105撞击时的离子速率和定向性增加。增加的离子速率和定向性在某些处理中是优选的,例如高长宽比蚀刻。但是,另一些处理,例如沟槽蚀刻使用减小的离子速率和定向性是最优的。
用于本发明的两步光刻胶剥除处理的等离子体处理腔室提供了处理气体流动率控制、温度控制、压力控制、RF功率控制以及处理持续时间控制。如参照图1所描述的,该双频率等离子体处理腔室100表示一种示例性的腔室,在该腔室中可进行本发明的两步光刻胶剥除处理。但是,应当理解,本发明的两步光刻胶处理可以在实质上本领域技术人员熟知的任何适合于半导体晶片制造的等离子体处理腔室中进行。
图2A是示出根据本发明的两步剥除处理的限定于待处理的基片上的理想结构(feature)200A的示意图。该结构200A包括覆盖低k介电材料207的光刻胶材料201。应当理解,该低k介电材料可以是多孔的或密集/常规的。在图2A的示例中,SiC硬掩膜材料205以及底部防反射涂覆(BARC)材料203设置于光刻胶材料201与低k介电材料207之间。另外,低k介电材料207限定在SiC材料209上。整个结构200A被限定在Si基片211上。另外,聚合物层213,也被称为聚合物膜,被限定在光刻胶材料201和低k介电材料207上。该聚合物层213代表先前蚀刻处理的副产品。在一个实施例中,聚合物层213被限定为包括碳氟化合物成分或氢氟烃成分。为了从该结构200A继续半导体制造,有必要去除聚合物层213、光刻胶层201以及BARC层203。图2B是示出对应于聚合物层213、光刻胶层201和BARC层203被去除后的结构200A的理想结构200B的示意图。
图3是示出了根据本发明的一个实施例的用于从基片去除光刻胶材料201的方法的流程图的示意图。应当理解,根据图3描述的方法代表了一种上述的用于从基片剥除光刻胶材料的两步处理的一个实施例。该方法包括用于提供具有覆盖低k介电材料的光刻胶材料的基片的操作301。该光刻胶材料和低k介电材料均具有上覆的聚合物膜。在一个实施例中,该聚合物膜包括碳氟化合物成分或氢氟烃成分。
该方法还包括用于进行第一剥除处理的操作303,该第一剥除处理使用氧等离子体去除聚合物膜,而不会不利地损伤或去除下面的低k介电材料。该第一剥除处理包括在基片上配置氧(O2)气。在一个实施例中,氧气以从约50标准立方厘米每分钟(sccm)到约1000sccm范围内的流率配置。本文中,术语“约”意指特定值±10%内。在另一个实施例中,氧气以从约100sccm到约500sccm范围内的流率配置。在再一个实施例中,氧气以约200sccm的流率配置。
该第一剥除处理还包括对配置在基片上的氧气施加射频(RF)功率。在一个实施例中,施加于氧气的RF功率在从约50瓦特(W)到约2000W的范围内。在另一个实施例中,施加于氧气的RF功率在从约100W到约1000W的范围内。在再一个实施例中,施加于氧气的RF功率在从约200W到约1000W的范围内。该施加的RF功率用于将氧气转化为氧等离子体,其中,氧等离子体代表能够去除聚合物膜的氧的活性形式。
该第一剥除处理进一步包括在包括基片和氧等离子体的区域内保持压力。在一个实施例中,在第一剥除处理期间,压力保持在从约5毫托(mT)到约500mT的范围内。在另一个实施例中,在第一剥除处理期间的压力保持在从约5mT到约100mT范围内。在再一个实施例中,在第一剥除处理期间的压力保持在从约5mT到约20mT的范围内。
在聚合物膜包括碳氟化合物成分或氢氟烃成分的实施例中,来自氧等离子体与聚合物的反应的氟成分可被监控,以检测第一剥除处理的终点。例如,第一剥除处理的终点将与氧等离子体中氟浓度的稳态同时发生。当确定已经大体上完全去除了聚合物膜后,通过终止在基片上配置氧气而结束第一剥除处理。
该方法进一步包括用于进行第二剥除处理的操作305,该第二剥除处理使用氨等离子体以去除光刻胶材料,而不会不利地损坏或去除下面的低k介电材料。应当理解,该第二剥除处理在第一剥除处理完成后开始。该第二剥除处理包括在基片上配置氨(NH3)气。在一个实施例中,氨气以从约50sccm到约2000sccm范围内的流率配置。在另一个实施例中,氨气以从约100sccm到约1000sccm范围内的流率配置。在再一个实施例中,氨气以从约200sccm到约800sccm范围内的流率配置。
该第二剥除处理还包括对配置在基片上的氨气施加RF功率。在一个实施例中,施加于氨气的RF功率在从约50W到约2000W的范围内。在另一个实施例中,施加于氨气的RF功率在从约100W到约1000W的范围内。在再一个实施例中,施加于氨气的RF功率在从约200W到约1000W的范围内。该施加的RF功率用于将氨气转化为氨等离子体,其中,氨等离子体代表能够去除光刻胶材料的氨组分的活性形式。
该第二剥除处理进一步包括:在包括基片和氨等离子体的区域内保持压力。在第二剥除处理中保持的压力取决于低k介电材料的介电常数。如果该低k介电材料具有小于约2.5的介电常数值(即多孔低k介电材料),根据一个实施例,在第二剥除处理中的压力保持在从约5mT到约500mT的范围内。在低k介电材料的介电常数小于约2.5的另一个实施例中,在第二剥除处理中,压力保持在从约5mT到约100mT的范围内。在低k介电材料的介电常数小于约2.5的再一个实施例中,在第二剥除处理中,压力保持在从约5mT到约20mT的范围内。
但是,如果低k介电材料具有大于或等于约2.5的介电常数值(即密集/常规低k介电材料),根据一个实施例,在第二剥除处理中,压力保持在从约5mT到约1000mT的范围内。在低k介电材料的介电常数值大于或等于约2.5的另一个实施例中,在第二剥除处理中,压力保持在从约100mT到约500mT的范围内。在低k介电材料的介电常数值大于或等于约2.5的再一个实施例中,在第二剥除处理中,压力保持在约200mT。
另外,根据一个实施例,在操作303的第一剥除处理和操作305的第二剥除处理期间,其上设置有晶片的支撑件的温度保持在从约-40摄氏度(℃)到约60℃的范围内。在另一个实施例中,其上设置有晶片的支持件的温度保持在从约0℃到约40℃的范围内。在再一个实施例中,其上设置有晶片的支持件的温度保持在约20℃。
在一个实施例中,该方法包括在第二剥除处理完成后进行过剥除(overstrip)处理。该过剥除处理以与第二剥除处理相同的方式进行。应当理解,第二剥除处理的完成可以使用终点检测技术(例如等离子体光谱分析)确定。例如,在一个实施例中,第二剥除处理的终点可通过观察约420纳米波长的CN发射谱线而确定。在根据终点检测确定完成第二剥除处理后,进行过剥除处理,其持续时间为第二剥除处理持续至其终点的持续时间的约10%到第二剥除处理持续至其终点的持续时间的约200%的范围内。在另一个实施例中,进行过剥除处理的持续时间为第二剥除处理持续至其终点的持续时间的约50%到第二剥除处理持续至其终点的持续时间的约100%的范围内。在再一个实施例中,进行过剥除处理的持续时间对应于第二剥除处理持续至其终点的持续时间的约50%。
由上述方法提供的光刻胶材料剥除率取决于上述的剥除处理参数。在不同的实施例中,可期望从本发明的两步剥除处理中获得大于约
Figure C20068001572300181
每分钟
Figure C20068001572300182
的光刻胶材料剥除率。另外,本发明的两步剥除处理跨越晶片提供了均一的剥除率。例如,可期望本发明的两步剥除处理在跨越300毫米的晶片上提供小于5%的剥除率的三标准偏差均一性。
图4A是示出了根据本发明的一个实施例的在进行两步剥除处理之前的样品晶片表面图像的示意图。该样品晶片表面由数个结构限定,每个结构均具有覆盖低k介电材料层207的光刻胶材料层201,其中SiC硬掩膜层限定于光刻胶材料和低k介电材料之间。聚合物膜213设置在光刻胶材料201、SiC硬掩膜和低k介电材料207上。图4B是示出在进行两步剥除处理之前的样品晶片表面另一个图像的示意图。光刻胶材料层201、SiC硬掩膜、低k介电材料207和上覆的聚合物膜213均出现于图4B的图像中。表1描述了用于在图4A-4B的样品晶片上执行两步剥除处理的剥除处理参数值。
表1用于样品晶片的剥除处理参数值
步骤 化学成分   流率(sccm)   压力(mT)   RF功率 时间(秒)
  1   O<sub>2</sub>   200   10   600W   15
  2   NH<sub>3</sub>   600   200   600W   45
过剥除 NH<sub>3</sub> 600 200 600W   步骤2时间的150%
图5A和5B是示出在进行了如表1所述的两步剥除处理之后,图4A和图4B中样品晶片图像的示意图。如图5A-5B所示,应该理解,本发明的两步剥除处理大体上不留有残留物。图6A和6B是显示出在进行氢氟酸(HF)浸渍后,图5A和图5B中样品晶片图像的示意图。HF浸渍用于去除由剥除处理改变的剩余材料。如图6A-6B所示,应该理解,本发明的两步剥除处理基本上不产生低k材料损坏。另外,本发明的两步剥除处理防止了一种以角部磨蚀(corner faceting)为特征的形体破坏。因此,本发明提供的用于从基片上去除光刻胶材料的方法避免了对存在于该基片上的低k介电材料的损伤,并提供了高剥除率以及跨越晶片的优良的均一性,并且不磨蚀硬掩膜材料。
尽管已经根据数个实施例描述了本发明,但应该理解,通过阅读前述说明和研究附图,本领域的技术人员可实现多种改变、添附、置换及其等同方式。因此,本发明包括所有落入本发明主旨和范围内的改变、添附、置换及其等同方式。

Claims (20)

1.一种用于从基片去除光刻胶材料的方法,包括:
提供基片,所述基片具有覆盖低k介电材料的光刻胶材料,其中,所述光刻胶材料和所述低k介电材料均具有上覆的聚合物膜;
使用氧等离子体执行第一剥除处理,以在没有不利地损坏或去除所述下面的低k介电材料的情况下去除所述聚合物膜;以及
使用氨等离子体执行第二剥除处理,以在没有不利地损坏或去除所述下面的低k介电材料的情况下去除所述光刻胶材料,其中,在所述第一剥除处理完成后执行所述第二剥除处理。
2.根据权利要求1所述的用于从基片去除光刻胶材料的方法,其中,所述聚合物膜包括碳氟化合物成分或氢氟烃成分,其中,由所述聚合物膜与所述氧等离子体反应产生的氟成分被监控,以检测所述第一剥除处理的终点,所述第一剥除处理的终点与所述氧等离子体中氟浓度的稳态同时发生。
3.根据权利要求1所述的用于从基片去除光刻胶材料的方法,进一步包括:
检测所述第二剥除处理的终点;
在所述第二剥除处理的终点后,执行过剥除处理,所述过剥除处理具有在从延续至所述终点的所述第二剥除处理的持续时间的10%到延续至所述终点的所述第二剥除处理的持续时间的200%范围内的持续时间,其中,以与所述第二剥除处理相同的方式执行所述过剥除处理。
4.根据权利要求1所述的用于从基片去除光刻胶材料的方法,其中,所述第一剥除处理包括以从50标准立方厘米每分钟(sccm)到1000sccm范围内的流率在所述基片上配置氧气,以及对所述氧气施加从50瓦特(W)到2000W的范围内的射频(RF)功率,以将所述氧气转化为所述氧等离子体。
5.根据权利要求1所述的用于从基片去除光刻胶材料的方法,其中,在所述光刻胶材料和所述低k介电材料之间限定有硬掩膜材料。
6.根据权利要求5所述的用于从基片去除光刻胶材料的方法,其中,在不磨蚀所述硬掩膜材料的情况下执行所述第一和第二剥除处理中的每一个。
7.根据权利要求1所述的用于从基片去除光刻胶材料的方法,其中,所述第二剥除处理包括以从50标准立方厘米每分钟(sccm)到2000sccm范围内的流率在所述基片上配置氨气,以及对所述氨气施加从50瓦特(W)到2000W范围内的射频(RF)功率,以将所述氨气转化为所述氨等离子体。
8.根据权利要求1所述的用于从基片去除光刻胶材料的方法,其中,所述第一剥除处理包括:在包括所述基片和所述氧等离子体的区域内,将压力保持在从5毫托(mT)到500mT的范围内。
9.根据权利要求1所述的用于从基片去除光刻胶材料的方法,其中,所述第二剥除处理包括:当所述低k介电材料具有小于2.5的介电常数值时,在包括所述基片和所述氧等离子体的区域内,将压力保持在从5毫托(mT)到500mT的范围内,
其中,所述第二剥除处理包括:当所述低k介电材料具有大于或等于2.5的介电常数值时,在包括所述基片和所述氧等离子体的区域内,将压力保持在从5mT到1000mT的范围内。
10.根据权利要求1所述的用于从基片去除光刻胶材料的方法,进一步包括:
将支撑所述基片的卡盘的温度保持在从-40摄氏度(℃)到60℃的范围内。
11.一种用于从基片去除光刻胶材料的方法,包括:
提供基片,所述基片具有覆盖低k介电材料的光刻胶材料,其中,所述光刻胶材料和所述低k介电材料均具有上覆的聚合物膜,所述聚合物膜包括碳氟化合物成分或氢氟烃成分;
在所述基片上配置氧气;
将所述氧气转化为活性形式,其中,所述氧的活性形式可去除所述聚合物膜;
当完全去除所述聚合物膜后,终止所述基片上所述氧气的配置;
在终止所述基片上的所述氧气的配置后,在所述基片上配置氨气;以及
将所述氨气转化为活性形式,其中,所述氨的活性形式可从所述基片去除所述光刻胶材料,而不会导致所述下面的低k介电材料的实质性损坏或去除。
12.根据权利要求11所述的用于从基片去除光刻胶材料的方法,进一步包括:
在所述基片上配置所述氧气期间,监控所述基片附近呈现的氟浓度,所述聚合物膜的完全去除与所述氟浓度的稳态同时发生。
13.根据权利要求11所述的用于从基片去除光刻胶材料的方法,其中,以从50标准立方厘米每分钟(sccm)到1000sccm范围内的流率在所述基片上配置所述氧气。
14.根据权利要求11所述的用于从基片去除光刻胶材料的方法,其中,以从50标准立方厘米每分钟(sccm)到2000sccm范围内的流率在所述基片上配置所述氨气。
15.根据权利要求11所述的用于从基片去除光刻胶材料的方法,其中,通过向所述氧气和所述氨气中的各种气体施加从50瓦特(W)到2000W范围内的射频(RF)功率,将所述氧气和所述氨气中的每种转化为活性形式。
16.根据权利要求11所述的用于从基片去除光刻胶材料的方法,进一步包括:
在所述基片上配置所述氧气期间,在包括所述基片的区域内,将压力保持在从5毫托(mT)到500mT范围内;
当所述低k介电材料具有小于2.5的介电常数值时,在所述基片上配置所述氨气期间,在包括所述基片的区域内,将压力保持在从5mT到500mT的范围内;
当所述低k介电材料具有大于或等于2.5的介电常数值时,在所述基片上配置所述氨气期间,在包括所述基片的区域内,将压力保持在从5mT到1000mT的范围内。
17.根据权利要求11所述的用于从基片去除光刻胶材料的方法,其中,在所述光刻胶材料和所述低k介电材料之间限定有硬掩膜材料,所述氧和氨的活性形式分别去除所述聚合物膜和从所述基片去除光刻胶材料,而不会磨蚀所述硬掩膜材料。
18.一种用于从基片去除光刻胶材料的方法,所述基片具有位于所述光刻胶材料之下的低k介电材料,其中,所述光刻胶材料和所述低k介电材料均具有上覆的聚合物膜,所述聚合物膜包括碳氟化合物或氢氟烃成分,所述方法包括:
在刚好去除所述聚合物膜所必需的持续时间内将所述聚合物膜暴露于氧等离子体;以及
在去除所述聚合物膜后,在完全去除所述光刻胶材料所必需的持续时间内将所述光刻胶材料暴露于氨等离子体。
19.根据权利要求18所述的方法,其中,将所述聚合物膜暴露于所述氧等离子体包括:
以从50标准立方厘米每分钟(sccm)到1000sccm范围内的流率在所述基片上配置氧气,
对所述氧气施加从50瓦特(W)到2000W的范围内的射频(RF)功率,以产生所述氧等离子体,以及
在包括所述基片和氧等离子体的区域内,将压力保持在从5毫托(mT)到500mT的范围内。
20.根据权利要求18所述的方法,其中,将所述聚合物膜暴露于所述氨等离子体包括:
以从50标准立方厘米每分钟(sccm)到2000sccm范围内的流率在所述基片上配置氨气,
对所述氨气施加从50瓦特(W)到2000W的范围内的RF功率,以产生所述氨等离子体,
如果所述低k介电材料具有小于2.5的介电常数值,则在包括所述基片和氨等离子体的区域内,将压力保持在从5毫托(mT)到500mT的范围内,以及
如果所述低k介电材料具有大于或等于2.5的介电常数值,则在包括所述基片和氨等离子体的区域内,将压力保持在从5mT到1000mT的范围内。
CNB2006800157232A 2005-05-10 2006-05-08 存在常规低k和/或多孔低k介电材料时的光刻胶剥除方法 Expired - Fee Related CN100568472C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/126,648 2005-05-10
US11/126,648 US7288488B2 (en) 2005-05-10 2005-05-10 Method for resist strip in presence of regular low k and/or porous low k dielectric materials

Publications (2)

Publication Number Publication Date
CN101171673A CN101171673A (zh) 2008-04-30
CN100568472C true CN100568472C (zh) 2009-12-09

Family

ID=37397238

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2006800157232A Expired - Fee Related CN100568472C (zh) 2005-05-10 2006-05-08 存在常规低k和/或多孔低k介电材料时的光刻胶剥除方法

Country Status (8)

Country Link
US (1) US7288488B2 (zh)
EP (1) EP1880414B1 (zh)
JP (2) JP2008545253A (zh)
KR (1) KR101299661B1 (zh)
CN (1) CN100568472C (zh)
IL (1) IL186729A (zh)
TW (1) TWI347642B (zh)
WO (1) WO2006122119A2 (zh)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100685903B1 (ko) * 2005-08-31 2007-02-26 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법
US7244313B1 (en) * 2006-03-24 2007-07-17 Applied Materials, Inc. Plasma etch and photoresist strip process with intervening chamber de-fluorination and wafer de-fluorination steps
US7790047B2 (en) * 2006-04-25 2010-09-07 Applied Materials, Inc. Method for removing masking materials with reduced low-k dielectric material damage
US8283255B2 (en) * 2007-05-24 2012-10-09 Lam Research Corporation In-situ photoresist strip during plasma etching of active hard mask
JP2008311258A (ja) * 2007-06-12 2008-12-25 Applied Materials Inc 低誘電率の誘電材料の損傷を低減したマスキング材料の除去方法
US20090078675A1 (en) * 2007-09-26 2009-03-26 Silverbrook Research Pty Ltd Method of removing photoresist
WO2009039551A1 (en) * 2007-09-26 2009-04-02 Silverbrook Research Pty Ltd Method of removing photoresist
CN101770975B (zh) * 2008-12-31 2012-08-22 中芯国际集成电路制造(上海)有限公司 接触孔形成方法
US8691701B2 (en) * 2009-05-08 2014-04-08 Lam Research Corporation Strip with reduced low-K dielectric damage
TWI469199B (zh) * 2011-03-18 2015-01-11 Tokyo Electron Ltd 氟碳化物膜中之懸空鍵的控制方法
CN106887387B (zh) * 2015-12-15 2019-09-27 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
CN109994375A (zh) * 2018-01-03 2019-07-09 联华电子股份有限公司 去除图案化光致抗蚀剂的方法
CN109616405A (zh) * 2018-12-05 2019-04-12 上海华力微电子有限公司 半导体刻蚀工艺真空腔体设备及刻蚀方法
CN110727182A (zh) * 2019-10-31 2020-01-24 湖北兴福电子材料有限公司 一种剥离光刻胶的方法

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3380846B2 (ja) * 1997-11-05 2003-02-24 松下電器産業株式会社 半導体装置の製造方法
JP4477704B2 (ja) * 1997-11-20 2010-06-09 アイメック 半導体基板表面からの有機汚染物の除去方法
US6551939B2 (en) * 1998-03-17 2003-04-22 Anneal Corporation Plasma surface treatment method and resulting device
JPH11307512A (ja) * 1998-04-23 1999-11-05 Sony Corp エッチング方法
US6599829B2 (en) * 1998-11-25 2003-07-29 Texas Instruments Incorporated Method for photoresist strip, sidewall polymer removal and passivation for aluminum metallization
US6242350B1 (en) * 1999-03-18 2001-06-05 Taiwan Semiconductor Manufacturing Company Post gate etch cleaning process for self-aligned gate mosfets
US6316354B1 (en) * 1999-10-26 2001-11-13 Lsi Logic Corporation Process for removing resist mask of integrated circuit structure which mitigates damage to underlying low dielectric constant silicon oxide dielectric layer
JP2001291720A (ja) * 2000-04-05 2001-10-19 Hitachi Ltd 半導体集積回路装置および半導体集積回路装置の製造方法
JP2001308175A (ja) * 2000-04-21 2001-11-02 Nec Corp 半導体装置及びその製造方法
US6440864B1 (en) * 2000-06-30 2002-08-27 Applied Materials Inc. Substrate cleaning process
US6455431B1 (en) * 2000-08-01 2002-09-24 Applied Materials Inc. NH3 plasma descumming and resist stripping in semiconductor applications
JP2002203852A (ja) * 2001-01-05 2002-07-19 Mitsubishi Electric Corp 絶縁膜の形成方法及び絶縁膜
US6777344B2 (en) * 2001-02-12 2004-08-17 Lam Research Corporation Post-etch photoresist strip with O2 and NH3 for organosilicate glass low-K dielectric etch applications
US6647994B1 (en) * 2002-01-02 2003-11-18 Taiwan Semiconductor Manufacturing Company Method of resist stripping over low-k dielectric material
JP2003243335A (ja) * 2002-02-19 2003-08-29 Sony Corp レジスト除去方法およびレジスト除去装置
US6849559B2 (en) * 2002-04-16 2005-02-01 Tokyo Electron Limited Method for removing photoresist and etch residues
US7169440B2 (en) * 2002-04-16 2007-01-30 Tokyo Electron Limited Method for removing photoresist and etch residues
US6806038B2 (en) * 2002-07-08 2004-10-19 Lsi Logic Corporation Plasma passivation
JP3996011B2 (ja) * 2002-08-05 2007-10-24 株式会社アルバック エッチング方法
KR100649418B1 (ko) * 2002-08-22 2006-11-27 다이킨 고교 가부시키가이샤 박리액
JP2004103747A (ja) * 2002-09-09 2004-04-02 Renesas Technology Corp 半導体装置の製造方法
JP4024636B2 (ja) * 2002-09-20 2007-12-19 富士通株式会社 有機系絶縁膜のエッチング方法及び半導体装置の製造方法
JP2004128252A (ja) * 2002-10-03 2004-04-22 Ulvac Japan Ltd 多孔質絶縁膜のプラズマ処理方法
JP2004247417A (ja) * 2003-02-12 2004-09-02 Renesas Technology Corp 半導体装置の製造方法
JP2004306184A (ja) * 2003-04-04 2004-11-04 Mitsubishi Electric Corp p型シリコン基板への穴溝形成方法
JP2004363558A (ja) * 2003-05-13 2004-12-24 Toshiba Corp 半導体装置の製造方法およびプラズマエッチング装置のクリーニング方法
KR100542031B1 (ko) * 2003-05-30 2006-01-11 피에스케이 주식회사 반도체 제조공정에서의 포토레지스트 제거방법
US7029992B2 (en) * 2004-08-17 2006-04-18 Taiwan Semiconductor Manufacturing Company Low oxygen content photoresist stripping process for low dielectric constant materials
US7115440B1 (en) * 2004-10-01 2006-10-03 Advanced Micro Devices, Inc. SO2 treatment of oxidized CuO for copper sulfide formation of memory element growth

Also Published As

Publication number Publication date
JP2008545253A (ja) 2008-12-11
KR20080014773A (ko) 2008-02-14
IL186729A0 (en) 2008-02-09
IL186729A (en) 2012-06-28
KR101299661B1 (ko) 2013-09-03
EP1880414A4 (en) 2008-07-16
US20060258148A1 (en) 2006-11-16
US7288488B2 (en) 2007-10-30
JP2014090192A (ja) 2014-05-15
TWI347642B (en) 2011-08-21
EP1880414A2 (en) 2008-01-23
TW200705127A (en) 2007-02-01
WO2006122119A2 (en) 2006-11-16
CN101171673A (zh) 2008-04-30
EP1880414B1 (en) 2012-12-19
WO2006122119A3 (en) 2007-06-21

Similar Documents

Publication Publication Date Title
CN100568472C (zh) 存在常规低k和/或多孔低k介电材料时的光刻胶剥除方法
TWI778793B (zh) 用於高深寬比結構之移除方法
KR100880134B1 (ko) 오가노실리케이트 글래스 저유전율 에칭용 o₂및nh₃함유 후기-에칭 포토레지스트 스트립
US9324572B2 (en) Plasma etching method, method for producing semiconductor device, and plasma etching device
KR100530246B1 (ko) 자체 세정가능한 에칭 공정
US7470628B2 (en) Etching methods
KR100775175B1 (ko) 높은 어스펙트비의 반도체장치 에칭방법
US8372756B2 (en) Selective etching of silicon dioxide compositions
IL186629A (en) The process of forming a conversion coating appears chromate-free to magnesium and magnesium alloys
JP2003023000A (ja) 半導体装置の製造方法
JP2009021605A (ja) 容量結合高周波プラズマ誘電体エッチングチャンバにおけるアドバンスドパターニングフィルムを用いたエッチング方法
KR20050035674A (ko) 실리콘 이방성 식각 방법
JP4282391B2 (ja) 半導体装置の製造方法
JP3362093B2 (ja) エッチングダメージの除去方法
US10283370B1 (en) Silicon addition for silicon nitride etching selectivity
CN111952169A (zh) 聚酰亚胺刻蚀方法
US20240112888A1 (en) In-Situ Adsorbate Formation for Dielectric Etch
KR20010075177A (ko) 집적 회로 게이트 전도체 위에 형성된 스페이서를식각하기 위한 디바이스 및 방법
US20240112887A1 (en) In-Situ Adsorbate Formation for Plasma Etch Process
JPH0374844A (ja) プラズマエッチング方法
JPH0621015A (ja) 半導体装置の製造方法
KR19990046900A (ko) Cf₄를 이용한 al 회로 패턴 식각 방법
KR20030008449A (ko) 폴리실리콘의 에치-백 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20091209

Termination date: 20210508

CF01 Termination of patent right due to non-payment of annual fee