JP2004363558A - 半導体装置の製造方法およびプラズマエッチング装置のクリーニング方法 - Google Patents

半導体装置の製造方法およびプラズマエッチング装置のクリーニング方法 Download PDF

Info

Publication number
JP2004363558A
JP2004363558A JP2004105896A JP2004105896A JP2004363558A JP 2004363558 A JP2004363558 A JP 2004363558A JP 2004105896 A JP2004105896 A JP 2004105896A JP 2004105896 A JP2004105896 A JP 2004105896A JP 2004363558 A JP2004363558 A JP 2004363558A
Authority
JP
Japan
Prior art keywords
gas
insulating film
plasma
dielectric constant
resist
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2004105896A
Other languages
English (en)
Inventor
Akihiro Kojima
島 章 弘 小
Junko Ouchi
内 淳 子 大
Hisataka Hayashi
久 貴 林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP2004105896A priority Critical patent/JP2004363558A/ja
Priority to TW093111908A priority patent/TW200425251A/zh
Priority to CNA2004100381565A priority patent/CN1551307A/zh
Priority to US10/843,508 priority patent/US20050009356A1/en
Publication of JP2004363558A publication Critical patent/JP2004363558A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

【課題】 低誘電率絶縁膜の劣化を防ぎ、低誘電率絶縁膜上に堆積したレジストマスクを効率的に剥離可能にする。
【解決手段】 半導体基板1上に低誘電率絶縁膜5を形成する工程と、低誘電率絶縁膜上にレジストパターン6を形成する工程と、レジストパターンをマスクとして低誘電率絶縁膜をエッチングする工程と、アンモニウムイオンによるプラズマ処理によってレジストパターン6を剥離する工程と、を備えている。
【選択図】 図2

Description

本発明は、低誘電率絶縁膜を有する半導体装置の製造方法およびこの製造方法等に用いられるプラズマエッチング装置のクリーニング方法に関する。
近年、半導体装置の高集積化・高速度化に伴い配線間容量の低減化が求められている。そのために金属配線の低抵抗化並びに層間絶縁膜の低誘電率化技術の開発が必須となっている。
金属配線の低抵抗化は、Cuなどの比抵抗の低い配線材料が用いられている。
一方、層間絶縁膜の低誘電率化技術は、従来のプラズマCVD(Chemical Vapor Deposition)法によるSiO膜やFSG(Fluoro-Silicate Glass)膜などの絶縁膜は、膜質の安定性の観点から低誘電率化には限界があり、比誘電率を4.1から3.3に低下させるのが限界であった。
比誘電率を3.0以下に低減するために、塗布法若しくはCVD法によるメチルシロキサン(メチルポリシロキサン)等の低誘電率絶縁膜が検討されている。これらの材料は、一般的に炭素若しくは水素を主成分として有し、さらに膜密度がシリコン熱酸化膜と比較して低い。
これら低誘電率絶縁膜の加工はパターニングされたレジスト膜をマスクとして行い、その後、酸素プラズマにより上記レジスト膜を剥離(除去)するのが一般的である。しかし、この酸素プラズマ処理によって、表出している低誘電率絶縁膜の炭素成分が変質して誘電率が上昇し、低誘電率材料の特性を有効利用できないという問題点がある。低誘電率絶縁膜がメチルシロキサンからなる場合は、メチルシロキサン膜中のメチル基が減少し脱水縮合による変質が起こる。
そこで低誘電率材料の特性の劣化を防ぐために、酸素プラズマ処理の代わりに水素や窒素を含むN/H混合ガスを用いたプラズマ処理によりレジストを剥離する方法が提案されている(例えば、特許文献1参照。)。
ここで、メチルシロキサンとHとは以下の反応が起こる。
O≡Si−CH + 2H → O≡Si−H + CH
また、メチルシロキサンとNとは以下の反応が起こる。
O≡Si−CH + N → O≡Si−C−NH または O≡Si−NH + HCN
すなわち、メチルシロキサンはHとの反応でSi−CH結合を消失し、Si−H結合を生成するため吸湿性を持ってしまい、容易にSi−O結合へと膜が変質するという問題が発生する。
一方、Nとの反応ではSi−C結合が保持されるか、若しくはSi−N結合が生成されるので、Si−O結合への膜の変質は避けられる。
ここで、NはNのラジカル(以下、「N」という。)に解離し、炭素から構成されているレジストが、C+2N→CNの反応をすることによって、レジストを除去している。
しかし、N−N結合、C−N結合の結合エネルギーはそれぞれ、9.8eV、6.3eVであるから、C−N結合を形成してレジストを剥離するよりもN−N結合となり、再度Nに戻る可能性が高い。したがって、Nによるレジストの剥離速度は約90nm/min程度と遅く、実用的ではない。
具体的に、N/H混合ガスの混合比とレジスト剥離速度(PR rate)との関係を図10に示す。図10において、横軸はN/H混合ガスの混合比、縦軸はレジストの剥離速度を表わしている。横軸の0%がH100%を示し、100%がN100%を示している。ここで、レジストの剥離条件は、圧力0.2Torr、高周波電力400W、NガスとHガスの合計流量400sccm、チャンバ壁(上面および側面)の温度60℃、レジストが形成された基板温度40℃、基板の裏面の中心および周辺に冷媒として導入したHeガスの圧力7Torrおよび40Torrである。
図10から分かるように、N/H混合ガスは、N/Hが約50%/50%でレジスト剥離速度が最大となっているが、N/H混合ガスによるレジストの剥離速度が最大のときでもその値は150nm/minと遅く効率的ではない。また、Hガスを混合しているので、メチルシロキサンは前述したHとの反応が起こり変質への悪影響が大きくなってしまう。
特開2002−261092号公報
そこで、本発明は低誘電率絶縁膜の劣化を防ぎ、低誘電率絶縁膜上に堆積したレジストマスクを効率的に剥離可能な半導体装置の製造方法およびこの製造方法に用いことができるプラズマエッチング装置のクリーニング方法を提供することを目的とする。
本発明の第1の態様による半導体装置の製造方法は、半導体基板上に低誘電率絶縁膜を形成する工程と、前記低誘電率絶縁膜上にレジストパターンを形成する工程と、前記レジストパターンをマスクとして前記低誘電率絶縁膜をエッチングする工程と、アンモニウムイオンによるプラズマ処理によって前記レジストパターンを剥離する工程と、を備えたことを特徴とする。
また、本発明の第2の態様による半導体装置の製造方法は、半導体基板上に低誘電率絶縁膜を形成する工程と、前記低誘電率絶縁膜上にレジストパターンを形成する工程と、前記レジストパターンをマスクとして前記低誘電率絶縁膜をエッチングする工程と、NH、HCNからなる群から選ばれた窒素化合物ガスをプラズマにより励起した窒素活性種による、プラズマの電子密度が1×1011cm−3以下となるプラズマ処理によって前記レジストパターンを剥離する工程と、を備えたことを特徴とする。
また、本発明の第3の態様によるプラズマエッチング装置のクリーニング方法は、基板表面に形成されたレジストを真空容器内でプラズマエッチングにより剥離するプラズマエッチング装置のクリーニング方法であって、NHガスを前記真空容器内に供給する工程と、前記真空容器内でプラズマを生成させ、前記真空容器内に付着した堆積物を除去する工程と、を備えたことを特徴とする。
本発明によれば、低誘電率絶縁膜の劣化を防ぐことができるとともに、低誘電率絶縁膜上に堆積したレジストマスクを効率的に剥離することができる。
以下、本発明の実施形態について図を参照して説明する。
(第1実施形態)
図1(a)乃至図2(c)は本発明の第1実施形態に係る半導体装置の製造工程を示した断面図である。
図1(a)に示すように、図示しない半導体素子等が形成された半導体基板1上に第1の層間絶縁膜2を堆積し、この第1の層間絶縁膜2内に下層配線3、例えばCuからなる配線3を形成する。その後、Cuの拡散を防ぐために、配線3及び第1の層間絶縁膜2上に膜厚約35nmのSiC膜4をCVD法によって形成する。
次に、図1(b)に示すように、SiC膜4上に低誘電率絶縁膜である第2の層間絶縁膜としてメチルシロキサン(メチルポリシロキサン)を約500nmの厚さに塗布し、約350℃の温度で15分程度熱処理を行うことによりメチルシロキサン膜5を形成する。続けて、メチルシロキサン膜5上にレジストを塗布し、このレジストをパターニングすることにより、開口6aを有するレジストパターン6を形成する。ここで、低誘電率絶縁膜とは、3.0以下の比誘電率を有する絶縁膜をいう。
次に、レジストパターン6をマスクとしてメチルシロキサン膜5を、RIE(Reactive Ion Etching)法を用いてエッチングし、底面にSiC膜4が露出する孔を形成する。メチルシロキサン膜5のエッチングは、例えば平行平板型プラズマエッチング装置を用いて、ガス流量C/Ar/N=10/1000/200sccm、圧力100mTorr、高周波電力1500W、温度40℃の条件の下に行う。なお、このエッチング条件は一例であってこの例に限定されるものではない。続いて、SiC膜4もレジストパターン6をマスクとしてRIE法を用いてエッチングすることにより、下層配線3に通じるヴィアホール5aが形成される(図2(a)参照)。
次に、NHガスを用いたプラズマ処理によって、不要になったレジストパターン6を剥離する。レジストの剥離は例えば被処理基板が固定される電極と、対向する電極が設置されたマグネトロンRIE装置によって行うことができる。このマグネトロンRIE装置はNHガスが導入できる真空容器になっており、真空容器はガス排気のための真空ポンプが接続され、圧力1.0×10−4Torr以下まで排気できるようになっている。被処理基板が固定される電極は静電チャック機能があり、−30℃〜120℃の範囲内において基板温度制御可能であり、13.56MHzの高周波電力が印加できる。
ここで、Nガス、Hガス、NとHの混合ガス、NHが混入したガスを用いた場合のレジストの剥離速度を図3に示す。図3において横軸は使用ガスの種類、縦軸はレジストの剥離速度を示している。なお、レジストの剥離条件としては、圧力0.2Torr、高周波電力400W、NHガス流量100sccm又は200sccm、チャンバ壁(上面および側面)の温度60℃、レジストが形成された基板温度40℃、基板の裏面の中心および周辺にそれぞれ冷媒として導入したHeガスの圧力7Torrおよび40Torrである。
図中、点A1はNガスとHeガスとの混合ガス(N:He=100sccm:100sccm)、点A2はHガスとHeガスとの混合ガス(H:He=100sccm:100sccm)、点A3はNガスとHガスとの混合ガス(N:H=100sccm:100sccm)を示している。点A4、A5、A6はNHガスが混入したガスを示している。ここで、点A4はNHガスの流量が100sccm、点A5はNHとNとの流量の割合がNH:N=100sccm:100sccm、点A6はNHガスの流量が200sccmである。
図10を参照して説明したように、Nガス又はHガスによるレジストの剥離速度は、Nが約90nm/min、Hが約20nm/minと非常に遅い。また、点A3に示すNとHとの混合ガスは剥離速度が約120nm/min程度なので、点A1及び点A2と比較して早いが充分ではない。
一方、点A4、A5、A6に示すように、NHガスを含むガスを用いるとNHガスの流量やNガスの混合の有無の違いがあるものの250nm/min以上の高い剥離速度を保つことができる。すなわち、NとHとの混合ガスを剥離ガスとして用いた場合の2倍以上の剥離速度を得ることができる。
NHガスによるレジストの剥離は、NHが以下の分解反応によってNHイオン(以下、「NH 」という。)又はNHイオン(以下、「NH」という。)に解離する。
NH → NH + H , NH → NH + H
ここで、「H」は水素ラジカルを表す。このNH イオン又はNHイオンがレジストと
C+NH (又はNH)→HCN(又はHCN)
の反応をすることによってレジストを剥離している。
一方、解離されたNHとメチルシロキサンとは以下の反応が起こる。
O≡Si−CH+NH (又はNH)→O≡Si−CH−NH(又はO≡Si−NH
このようにNHガスを用いると、表出しているメチルシロキサン膜5はアンモニウムイオン(NH 又はNH)と反応し、Si−N結合又はC−N結合を有する保護膜7となって、メチルシロキサン膜5を保護することができる(図2(b)参照)。
また、メチルシロキサン膜5中のSi−CH結合がSi−O結合へと変化することがないので、メチルシロキサン膜5を劣化させることがない。
なお、NHガスが分解反応を起す際、Hラジカルを生成するので、水素ラジカルH同士が反応してHを生じることとなるが、Hガスとして導入した場合と比較して、生成されるHは微量である。よって、低誘電率層間絶縁膜の変質は無視できる程度である。また、反応によるHの生成及びNHの多段階解離によるHの生成を制御するためには、電極でのガスの滞在時間の短縮化が効果的である。本発明者の検討結果によれば、ガスの滞在時間は、10m秒以下であることが好ましい。
また、HeやNe、Ar、Kr,Xe,Rn等の不活性ガスを添加してもガスの滞在時間が短縮される。
次に、図2(c)に示すように、メチルシロキサン膜5に形成されたヴィアホール5aにCu等の金属を埋め込んで、プラグ8を形成する。
図1(a)乃至図2(c)に示した半導体装置の製造工程はシングルダマシン法による半導体装置の配線形成であったが、これに限らずデュアルダマシン法にも適用することができる。
例えば、前述した図1(a)〜図2(b)に示す工程までと同様の工程を行う。その後半導体装置の保護膜7上にレジストを塗布し、図4(a)に示すように、ヴィアホール5a上にヴィアホール5aの径よりも幅の広い上層配線用の開口9aを有するレジストパターン9を形成する。
次に、図4(b)に示すように、ヴィアホール5aの形成工程と同様に、レジストパターン9をマスクとして、第2の層間絶縁膜であるメチルシロキサン膜5を、RIE法を用いてエッチングすることにより、ヴィアホール5aの径よりも大きな幅を有する上層配線用の溝5bを、メチルシロキサン膜5に形成する。メチルシロキサン膜5のエッチング条件は前述した図2(a)で説明したエッチング条件と同等でもよく、また他の条件でも構わない。
次に、前述したと同様の工程によってNHガスを用いたプラズマ処理によって、不要になったレジストパターン9を剥離する。このとき、図2(b)で説明したと同様に、上層配線用の溝5bの表面にSi−N結合又はC−N結合を有する保護膜7が形成される(図5(a)参照)。この保護膜7はメチルシロキサン膜5を保護することができる。
次に、図5(b)に示すように、メチルシロキサン膜5に形成されたヴィアホール5a及び上層配線用の溝5bにCu等の金属を埋め込んでプラグ8および上層配線10を形成する。
なお、NHガスの代わりにHCNガス又は(CN)ガスを用いても同様の効果を得ることができる。
HCNガスは、分解反応(HCN→NH+CH+CN)によって、アンモニウムイオンNHが解離生成される。また、Hガスを加えることによって、HCN+H→NHx+CHx+CNの反応でアンモニウムイオンNHxを生成することができる。
さらに、(CN)ガスはHを加えることによって、(CN)+H→NHx+CHx+CNの反応でアンモニウムイオンNHxを生成することができる。なお、(CN)ガスはHを加えなくとも、レジストに含まれるHと反応してアンモニウムイオンNHxを生成することが可能である。
このようにアンモニウムイオン(NHx)とレジストは前述したようにC+NHx→HxCNという反応をおこして、レジスト剥離を行うことができる。したがって、HCNガスや(CN)ガスを用いてもアンモニウムイオンでレジストを剥離しているので高い剥離速度を保つことができる。
また、HCNガスはHガスと混合せずともHCNガスの分解反応によってアンモニウムイオン(NH)を生成できるので、Si−CH結合が吸湿性の高いSi−H結合へ変化することを防ぐことができ、メチルシロキサン膜が劣化することがない。
また、(CN)ガスはHガスと混合せずともレジストに含まれるHと反応してアンモニウムイオンNHを生成することができるので、Si−CH結合が吸湿性の高いSi−H結合へ変化することを防ぐことができ、メチルシロキサン膜が劣化することがない。
なお、前述した本実施形態では低誘電率絶縁膜である第2の層間絶縁膜5にメチルシロキサンを用いて説明したが、これに限らず比誘電率が3.0以下のシロキサン骨格を有する低誘電率の絶縁膜であればよい。例えば、ハイドロジェンシロキサン等の有機成分を有するシリカガラスは低誘電率絶縁膜であり、本実施形態において同様に適用することができる。
また、図6に示すように、半導体基板31上に形成された層間絶縁膜33内に多数の空孔35を形成することにより低誘電率を実現することができる。なお、半導体基板31には図示しない素子が形成されている。この層間絶縁膜33に形成される空孔35の直径が大きすぎると配線37間の寄生容量が大きくなってしまう。そこで、空孔35の直径は配線37間隔の約5%以下であればよい。例えば、配線37の配線間隔が0.1μmである半導体装置の場合、5nm以下の直径の空孔35を有していれば良い。この図6に示す変形例においては、配線37用の溝を層間絶縁膜33に形成する際に用いられたレジストパターン(図示せず)を層間絶縁膜33上から剥離するのに、本実施形態で述べた技術が用いられる。なお、図6に示す変形例においては、層間絶縁膜33はSiOからなる膜であってもよい。
以上詳述したように本実施形態によれば、低誘電率層間絶縁膜の劣化を防ぐことができるとともに、低誘電率層間絶縁膜上に堆積したレジストマスクを効率的に剥離することができる。
(第2実施形態)
次に、本発明の第2実施形態による半導体装置の製造方法を、図7を参照して説明する。
第1実施形態において、NHガスの分解反応によって水素ラジカルHが生成され、この水素ラジカルH同士が反応してHを生じることを述べた。Hは低誘電率絶縁膜の膜質を変質させるため、Hの発生を抑制することが低誘電率絶縁膜の劣化を防ぐうえで有効となる。そこで、本実施形態においては、第1実施形態の製造方法によって半導体装置を製造する際に、NHガスを用いてプラズマ処理する場合のHの発生を抑制するのに好適なプラズマの電子密度を決定したものである。この好適な電子密度を求めるために、以下の実験を行った。
まず、窒素の活性種を生成するプラズマエッチング装置として、容量結合型プラズマエッチング装置を用意した。このプラズマエッチング装置は、真空に排気できる容器内に、対向配置された一対の電極を備えている。電極の一方は被処理基板を支持する支持台を兼ねている。13.56MHzの高周波電力をそれぞれの整合回路を介して電極間に印加し、これによって形成される電界と、真空容器の外側面に配設されたダイポールリングによって形成される、被処理基板の表面に平行な磁界とが印加された真空容器内に、反応性ガス(本実施形態ではNH)を供給し、プラズマを生成させるものである。このプラズマエッチング装置に、放電ガスとしてArを導入し、圧力を40mTorr、投入電力を0.4W/cmに制御したときのプラズマの電子密度が6.8×1010cm−3、投入電力を1.8W/cmに制御したときのプラズマの電子密度が1.4×1011cm−3であった。このプラズマエッチング装置は、投入電力を変化させることで、プラズマの電子密度を制御することができる。
上記プラズマエッチング装置を用いて、NHプラズマの発光分光測定を行った結果、主にNH(発光波長463nm)やH(例えば発光波長652nm)の発光が確認された。図7に、プラズマの電子密度を変化させた場合のNHの発光強度(グラフg1)、アンモニウムイオンNHの発光強度(グラフg2)、Hの発光強度(グラフg3)、およびNHとHの強度比NH/H(グラフg4)を示す。プラズマの電子密度の増加とともにHの発光強度が増加し、NHとHの強度比は減少している。これは、NHガスの分解が進行することにより、H濃度が増加したためである。第1実施形態で説明したように、メチルシロキサン膜中のメチル基はHと反応することにより吸湿性をもち、膜質の劣化が問題となる。したがって、メチルシロキサン膜上に形成されたレジストを、メチルシロキサン膜の膜質劣化がなくNHガスによるプラズマにより除去するにはHが存在しないほうが良い。
次に、第1実施形態の製造方法の図2(a)に示す工程まで終了したサンプル、すなわちメチルシロキサン膜5上に開口6aを有するレジストパターン6をマスクとしてメチルシロキサン膜5およびSiC膜4にヴィアホール5aが開けられたサンプルを複数個用意する。そして、第1実施形態の図2(b)に示す工程、すなわちレジストパターン6を剥離(アッシング)する工程を、上記サンプルに対して上記プラズマエッチング装置を用いてプラズマの電子密度を変えて行った。なお、プラズマエッチングに用いた反応性ガスはNHであり、プラズマエッチング中のNHプラズマの発光分光測定を行った。
この実験結果によれば、レジストの剥離ガスとしてNHガスを使った場合には、NHとHの強度比が、2以上であれば膜質劣化がなかった。すなわち、図7から分かるように、プラズマの電子密度が1011cm−3以下となるようにプラズマエッチングをすれば、メチルシロキサン膜の膜質劣化を抑制することができる。
したがって、本実施形態の製造方法においては、第1実施形態の製造方法において、NHガスを使用してプラズマエッチングする場合に、プラズマの電子密度を1011cm−3以下としたものである。このようにすることにより、アンモニウムイオンNHxを効率的に生成したプラズマエッチングを用いてレジスト剥離を効率的に行うことが可能となるとともに、低誘電率絶縁膜の膜質劣化を抑制することができる。
なお、更なる実験の結果、窒素化合物ガスとしてHCNガスを用いてプラズマ処理する場合においても、プラズマの電子密度を1011cm−3以下とすれば、HCNの多段階解離によるH2の生成が抑えられ、メチルシロキサン膜の膜質劣化が有効に抑制されることが分かった。
(第3実施形態)
次に、第1および第2実施形態の製造方法で用いるプラズマエッチング装置において問題となるレジストの剥離速度の低下について説明する。ウェハの処理枚数の増加と共にプラズマエッチング処理を行う処理容器内にはレジストとの反応生成物やウェハから持ち込まれた配線材料のCu等の金属不純物が蓄積される。このような堆積物にエッチャントが消費されレジストの剥離速度が低下するという問題があった。
レジストの剥離速度を回復させるためには、チャンバを大気開放しアルコール等の薬品や純水を用いて内部パーツの堆積物を除去するウェットクリーニング方法がある。しかしウェットクリーニングではクリーニング後に真空排気が必要であり、プラズマエッチング装置を長時間停止させることによるスループットの低下が免れない。
これに対し、反応性ガスやプラズマを利用し堆積物をエッチング除去するドライクリーニング方法が知られている(特開2003−124196号公報)。このクリーニング方法ではプラズマ化したガスにより堆積物を揮発性物質に転換し除去するが、堆積物中に金属不純物が含まれている場合は揮発性物質に転換し難いため、堆積物を完全に除去することができない。金属不純物表面では還元反応により水素原子を含むイオンやラジカルは消費され、これがレジストの剥離速度が低下する原因となっている。
本実施形態は、プラズマによるレジストの剥離速度の低下を防止することのできるプラズマエッチング装置のクリーニング方法を提供する。
以下に、本発明の第3実施形態によるプラズマエッチング装置のクリーニング方法を説明する。図8に、本実施形態のクリーニング方法が適用されるプラズマエッチング装置を示す。このプラズマエッチング装置は、平行平板型RIE装置であって、真空容器11内にウェハ100が載置されて固定されるステージ12が設けられている。このステージ12は電極を兼ねており、このステージ12には例えば13.56MHzの高周波電源13が接続されている。また、ステージ12に対向するように真空容器11の内壁の上面に電極14が設けられている。この電極14は接地電源に接続される。ガス導入口15から所定の流量に調節された反応性ガスが真空容器11内に導入される。真空容器11の内部はガス排出管16に接続された開度調整バルブ17を介して真空ポンプ18により所定の圧力に保持される。電極12と電極14との間に所望のパワーの高周波電圧を印加することにより反応性ガスが励起されステージ12の上方にプラズマが形成される。真空容器11の壁面には窓19が設けられており、プラズマの発光分光測定が行えるようになっている。また、真空容器11の内部の材料は励起したガス種と反応を起こさないようにアルミナや石英等が用いられている。
このプラズマエッチング装置において、レジストの剥離速度(アッシングレート)を、反応性ガスとしてOを用いた場合とNHを用いた場合について測定した。Oを用いた場合(Oガス流量200sccm、圧力20Pa、RFパワー500W)は550nm/min、NHを用いた場合(NHガス流量400sccm、圧力30Pa、RFパワー600W)は250nm/minであった。
次に、このプラズマエッチング装置を用いて、低誘電率絶縁膜が堆積される半導体装置、例えば第1実施形態の製造方法によって製造される半導体装置の製造工程におけるレジストの剥離処理を行った。低誘電率絶縁膜が露出しているときの剥離工程(例えば、第1実施形態においてはレジストパターン6を剥離する工程)では反応性ガスとしてNHを用い、その他の工程(例えば、第1の層間絶縁膜2に下層配線3用に溝を形成した後にレジストを剥離する工程)では反応性ガスとしてOを用いてレジストの剥離を行った。レジストの剥離工程毎にレジストのアッシングレートを測定しアッシングレートの変動をモニタしたところ、Oを用いた場合とNHを用いた場合のアッシングレートは徐々に低下しOを用いた場合は約500nm/min、NHを用いた場合は約190nm/minまでそれぞれ低下し、その後、安定した。
次に、ダミーのSiウェハをステージ12に固定して上記プラズマエッチング装置のドライクリーニング処理を行った。ドライクリーニングは、クリーニングガスとしてNHを用いた。上記プラズマエッチング装置のクリーニング処理を行った直後に、低誘電率絶縁膜が堆積された半導体装置のレジストの剥離工程を行った。低誘電率絶縁膜が露出する工程では反応性ガスとしてNHを用い、その他の工程ではOを用いてレジストの剥離を行った。その後、低誘電率絶縁膜が堆積された他の半導体装置のレジストの剥離工程を上記プラズマエッチング装置を用いて行い、レジストのアッシングレートが低下して安定した値となったときに、上述のクリーニング処理をクリーニング時間を変えて行うことを繰り返す実験を行った。この実験結果を図9に示す。
図9はドライクリーニング時間とアッシングガスとしてNHを用いた場合のレジストのアッシングレートとの関係を示す図である。図9において、横軸はクリーニング時間すなわちクリーニング処理中のプラズマの放電時間を示し、縦軸はレジストのアッシングレートを示す。図9から分かるように、クリーニング時間が増加するとともにレジストのアッシングレートは上昇し、48分後には240nm/minになり、ほぼアッシングレートが低下する前の値まで回復した。なお、クリーニング時間を48分間行った後、アッシングガスとしてOを用いた場合の工程におけるレジストのアッシングレートも、ほぼアッシングレートが低下する前の値550nm/minに回復した。
次に、クリーニングガスとしてNHの代わりにOを用いて上述したと同様の実験を行った。クリーニングガスとしてOを用いた場合、レジストのアッシングガスとしてOを用いた剥離工程におけるアッシングレートは回復したが、アッシングガスとしてNHを用いた剥離工程のアッシングレートは回復しなかった。この理由としてクリーニングガスとしてOを用いた場合には、酸素イオンがプラズマエッチング装置の真空容器内の堆積物中の有機成分と反応しCO、CO、HOなどの揮発性物質に変化し除去される。十分なクリーニングにより真空容器内の堆積物中の反応成分がなくなると、酸素イオンの消費がなくなり、アッシングガスとしてOを用いた剥離工程ではアッシングレートが回復する。これに対して、アッシングガスとしてNHを用いた剥離工程でアッシングレートが回復しないのは、有機成分によるアンモニウムイオンの消費はなくなったが、堆積物中に残留したCu等金属不純物にアンモニウムイオンが還元反応により消費されるからである。
しかし、本実施形態のように、クリーニングガスとしてNHを用いてクリーニングを行うと、アンモニウムイオンによって堆積物中の有機成分が除去されるとともに金属不純物の表面は還元される。このため、それ以上はアンモニウムイオンが消費されなくなる。その結果、アッシングガスとしてNHを用いた剥離工程のアッシングレートが回復する。
また実際にアッシングレートが低下した状態の真空容器を大気開放し、真空容器内を確認したところ、真空容器内の部分、特にウェハ外周部の部分に堆積物の付着が認められた。Oガスを用いてクリーニングした後に、再度大気開放し確認すると、堆積物はさほど除去されずに残っていた。これに対して、NHガスを用いてクリーニングした後は堆積物がほとんど除去されていた。このことから堆積物中にはCu等金属不純物がかなり含まれており、そのためにOガスを用いたクリーニングだけでは堆積物を除去することができず、NHガスを用いてクリーニングすることで、このような堆積物の除去が可能なことがわかった。その理由としてCuはNHと反応しCu(NHという錯体を生じ、エッチングされたためではないかと考えられる。
本実施形態ではドライクリーニングを全く行わずにレジスト剥離処理を行い、かなり多量に堆積物が生じ、アッシングレートが低下しきってからクリーニングを行ったため、クリーニングに要する時間が長くなっているが、ある程度堆積物が生じた段階でクリーニング処理を行うことにより、アッシングレートの変動量も少なくクリーニング時間も短くすることができる。
なお、本実施形態ではプラズマ源として平行平板型RIE装置を用いたが、マイクロ波や誘導結合型プラズマ源をソースプラズマに組み合わせたプラズマエッチング装置であっても差し支えない。また、第1および第2実施形態と同様に、He、Ne、Ar、Kr、Xe、Rn等不活性ガスを添加することで、プラズマの電子密度を1011cm−3以下に抑制することが、Hの生成を抑えつつアンモニウムイオンを効果的に生成するうえで極めて有効である。
NHガスを用いたプラズマでレジストのアッシング処理後の真空容器内をドライクリーニングすることにより、真空容器内に付着した堆積物によるアッシングレートの低下を容易に回復させることができる。これにより洗浄頻度が減りプラズマエッチング装置の稼働率を向上させることが可能となり、生産性を上げることができる。
本発明の第1実施形態による半導体装置の製造工程を示す断面図である。 本発明の第1実施形態による半導体装置の製造工程を示す断面図である。 ガス、Hガス又はNHガスを用いたレジスト剥離の剥離速度を示した図である。 本発明の第1実施形態の第1変形例による半導体装置の製造工程を示す断面図である。 本発明の第1実施形態の第1変形例による半導体装置の製造工程を示す断面図である。 本発明の第1実施形態の第2変形例による半導体装置の構成を示す断面図である。 本発明の第2実施形態による半導体装置の製造方法を説明する電子密度に対するプラズマの発光強度とプラズマの強度比の特性を示す図である。 本発明の第3実施形態に適用されるプラズマエッチング装置の概略の構成を示す断面図。 クリーニング時間とレジストのアッシングレートとの関係を示す図。 ガスとNガスの混合ガスを用いたレジスト剥離の剥離速度を示した図である。
符号の説明
1 半導体基板
5 第2の層間絶縁膜(メチルシロキサン膜)
6 レジストパターン

Claims (5)

  1. 半導体基板上に低誘電率絶縁膜を形成する工程と、
    前記低誘電率絶縁膜上にレジストパターンを形成する工程と、
    前記レジストパターンをマスクとして前記低誘電率絶縁膜をエッチングする工程と、
    アンモニウムイオンによるプラズマ処理によって前記レジストパターンを剥離する工程と、
    を備えたことを特徴とする半導体装置の製造方法。
  2. 半導体基板上に低誘電率絶縁膜を形成する工程と、
    前記低誘電率絶縁膜上にレジストパターンを形成する工程と、
    前記レジストパターンをマスクとして前記低誘電率絶縁膜をエッチングする工程と、
    NH、HCNからなる群から選ばれた窒素化合物ガスをプラズマにより励起した窒素活性種による、プラズマの電子密度が1×1011cm−3以下となるプラズマ処理によって前記レジストパターンを剥離する工程と、
    を備えたことを特徴とする半導体装置の製造方法。
  3. 前記レジストパターンを剥離する工程は、
    He、Ne、Ar、Kr、Xe、Rnよりなる群から選ばれた不活性ガスをプラズマ処理中に添加することを特徴とする請求項1または2記載の半導体装置の製造方法。
  4. 前記低誘電率絶縁膜内に複数の配線を形成する工程をさらに備え、
    前記低誘電率絶縁膜は空孔を有し、この空孔の直径は前記配線の配線間隔の5%以下であることを特徴とする請求項1乃至3のいずれかに記載の半導体装置の製造方法。
  5. 基板表面に形成されたレジストを真空容器内でプラズマエッチングにより剥離するプラズマエッチング装置のクリーニング方法であって、
    NHガスを前記真空容器内に供給する工程と、
    前記真空容器内でプラズマを生成させ、前記真空容器内に付着した堆積物を除去する工程と、
    を備えたことを特徴とするプラズマエッチング装置のクリーニング方法。
JP2004105896A 2003-05-13 2004-03-31 半導体装置の製造方法およびプラズマエッチング装置のクリーニング方法 Pending JP2004363558A (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2004105896A JP2004363558A (ja) 2003-05-13 2004-03-31 半導体装置の製造方法およびプラズマエッチング装置のクリーニング方法
TW093111908A TW200425251A (en) 2003-05-13 2004-04-28 Method for producing semiconductor device and method for cleaning plasma etching device
CNA2004100381565A CN1551307A (zh) 2003-05-13 2004-05-11 半导体器件的制造方法和等离子体蚀刻装置的清洁方法
US10/843,508 US20050009356A1 (en) 2003-05-13 2004-05-12 Method of manufacturing semiconductor device and method of cleaning plasma etching apparatus used therefor

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2003134714 2003-05-13
JP2004105896A JP2004363558A (ja) 2003-05-13 2004-03-31 半導体装置の製造方法およびプラズマエッチング装置のクリーニング方法

Publications (1)

Publication Number Publication Date
JP2004363558A true JP2004363558A (ja) 2004-12-24

Family

ID=33566701

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004105896A Pending JP2004363558A (ja) 2003-05-13 2004-03-31 半導体装置の製造方法およびプラズマエッチング装置のクリーニング方法

Country Status (4)

Country Link
US (1) US20050009356A1 (ja)
JP (1) JP2004363558A (ja)
CN (1) CN1551307A (ja)
TW (1) TW200425251A (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008545253A (ja) * 2005-05-10 2008-12-11 ラム リサーチ コーポレーション 通常の低k誘電性材料および/または多孔質の低k誘電性材料の存在下でのレジスト剥離のための方法
JP2009105272A (ja) * 2007-10-24 2009-05-14 Tokyo Electron Ltd プラズマエッチング方法及び記憶媒体
JP2012023245A (ja) * 2010-07-15 2012-02-02 Renesas Electronics Corp 半導体装置及びその製造方法
JP2015529014A (ja) * 2012-07-16 2015-10-01 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. 純還元性プラズマ中で高アスペクト比のフォトレジストを除去する方法
CN106252274A (zh) * 2015-06-11 2016-12-21 瑞萨电子株式会社 半导体器件的制造方法

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7253116B2 (en) * 2004-11-18 2007-08-07 International Business Machines Corporation High ion energy and reative species partial pressure plasma ash process
US7393795B2 (en) * 2006-02-01 2008-07-01 Applied Materials, Inc. Methods for post-etch deposition of a dielectric film
JP4675258B2 (ja) * 2006-02-22 2011-04-20 富士通セミコンダクター株式会社 半導体装置の製造方法および半導体装置
JP2008053507A (ja) * 2006-08-25 2008-03-06 Matsushita Electric Ind Co Ltd ドライエッチング方法
US20090078675A1 (en) * 2007-09-26 2009-03-26 Silverbrook Research Pty Ltd Method of removing photoresist
WO2009039551A1 (en) * 2007-09-26 2009-04-02 Silverbrook Research Pty Ltd Method of removing photoresist
JP2009188257A (ja) * 2008-02-07 2009-08-20 Tokyo Electron Ltd プラズマエッチング方法及びプラズマエッチング装置並びに記憶媒体
US8030957B2 (en) 2009-03-25 2011-10-04 Aehr Test Systems System for testing an integrated circuit of a device and its method of use
JP2016178222A (ja) * 2015-03-20 2016-10-06 ルネサスエレクトロニクス株式会社 半導体装置の製造方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6277733B1 (en) * 1998-10-05 2001-08-21 Texas Instruments Incorporated Oxygen-free, dry plasma process for polymer removal
US6316354B1 (en) * 1999-10-26 2001-11-13 Lsi Logic Corporation Process for removing resist mask of integrated circuit structure which mitigates damage to underlying low dielectric constant silicon oxide dielectric layer
US6440864B1 (en) * 2000-06-30 2002-08-27 Applied Materials Inc. Substrate cleaning process
US6455431B1 (en) * 2000-08-01 2002-09-24 Applied Materials Inc. NH3 plasma descumming and resist stripping in semiconductor applications
JP2002261092A (ja) * 2001-02-27 2002-09-13 Nec Corp 半導体装置の製造方法

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008545253A (ja) * 2005-05-10 2008-12-11 ラム リサーチ コーポレーション 通常の低k誘電性材料および/または多孔質の低k誘電性材料の存在下でのレジスト剥離のための方法
JP2014090192A (ja) * 2005-05-10 2014-05-15 Lam Research Corporation 通常の低k誘電性材料および/または多孔質の低k誘電性材料の存在下でのレジスト剥離のための方法
JP2009105272A (ja) * 2007-10-24 2009-05-14 Tokyo Electron Ltd プラズマエッチング方法及び記憶媒体
JP2012023245A (ja) * 2010-07-15 2012-02-02 Renesas Electronics Corp 半導体装置及びその製造方法
US9337093B2 (en) 2010-07-15 2016-05-10 Renesas Electronics Corporation Method of manufacturing semiconductor device
JP2015529014A (ja) * 2012-07-16 2015-10-01 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. 純還元性プラズマ中で高アスペクト比のフォトレジストを除去する方法
CN106252274A (zh) * 2015-06-11 2016-12-21 瑞萨电子株式会社 半导体器件的制造方法

Also Published As

Publication number Publication date
TW200425251A (en) 2004-11-16
CN1551307A (zh) 2004-12-01
US20050009356A1 (en) 2005-01-13

Similar Documents

Publication Publication Date Title
US10354888B2 (en) Method and apparatus for anisotropic tungsten etching
US7575007B2 (en) Chamber recovery after opening barrier over copper
US7807579B2 (en) Hydrogen ashing enhanced with water vapor and diluent gas
US5399237A (en) Etching titanium nitride using carbon-fluoride and carbon-oxide gas
US9478432B2 (en) Silicon oxide selective removal
US7163896B1 (en) Biased H2 etch process in deposition-etch-deposition gap fill
US6489248B2 (en) Method and apparatus for etch passivating and etching a substrate
EP3038142A1 (en) Selective nitride etch
JP4919871B2 (ja) エッチング方法、半導体装置の製造方法および記憶媒体
JPH08264510A (ja) シリコン窒化膜のエッチング方法およびエッチング装置
KR100727205B1 (ko) 플라즈마 성막 방법 및 그 장치
JP2004363558A (ja) 半導体装置の製造方法およびプラズマエッチング装置のクリーニング方法
JPH11340211A (ja) 基板処理方法および基板処理装置
KR20010032168A (ko) 플라즈마 박막 증착 방법
KR100838502B1 (ko) 반도체 장치의 제조 방법
JP4504684B2 (ja) エッチング方法
US20030235993A1 (en) Selective etching of low-k dielectrics
JP4209253B2 (ja) フッ素添加カーボン膜の形成方法
TW202125627A (zh) 形成氣隙的系統及方法
JP2003168676A (ja) 有機絶縁膜のエッチング方法
US6492279B1 (en) Plasma etching methods
US6716740B2 (en) Method for depositing silicon oxide incorporating an outgassing step
US20160068969A1 (en) Integrated processing for microcontamination prevention
JP3963295B2 (ja) ケミカルドライエッチング方法
TWI837338B (zh) 基板處理方法及基板處理裝置

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20050413

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050419

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050607

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050930

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20060210