JP2012023245A - 半導体装置及びその製造方法 - Google Patents

半導体装置及びその製造方法 Download PDF

Info

Publication number
JP2012023245A
JP2012023245A JP2010160825A JP2010160825A JP2012023245A JP 2012023245 A JP2012023245 A JP 2012023245A JP 2010160825 A JP2010160825 A JP 2010160825A JP 2010160825 A JP2010160825 A JP 2010160825A JP 2012023245 A JP2012023245 A JP 2012023245A
Authority
JP
Japan
Prior art keywords
modified layer
wiring
insulating film
semiconductor device
wiring groove
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2010160825A
Other languages
English (en)
Other versions
JP5654794B2 (ja
Inventor
Daisuke Oshida
大介 押田
Ippei Kume
一平 久米
Makoto Ueki
誠 植木
Manabu Iguchi
学 井口
Hisaya Inoue
尚也 井上
Takuya Maruyama
卓也 丸山
Toshiji Taiji
稔二 泰地
Yoichi Katsuyama
洋一 勝山
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Electronics Corp
Original Assignee
Renesas Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Electronics Corp filed Critical Renesas Electronics Corp
Priority to JP2010160825A priority Critical patent/JP5654794B2/ja
Priority to US13/182,944 priority patent/US9337093B2/en
Publication of JP2012023245A publication Critical patent/JP2012023245A/ja
Application granted granted Critical
Publication of JP5654794B2 publication Critical patent/JP5654794B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

【課題】配線間の実効的な容量の増加を抑制する。
【解決手段】半導体装置100は、6員環構造の環状シロキサンを原料とする絶縁膜11と、絶縁膜11に形成された配線溝12と、配線溝12に金属膜(配線メタル)15が埋め込まれて構成される配線10と、を有する。半導体装置100では、配線溝12の底面において、絶縁膜11の内部よりも単位体積あたりの炭素原子数、又は/及び、窒素原子数が多い改質層13が形成されている。
【選択図】図1

Description

本発明は、半導体装置及びその製造方法に関する。
近年、ULSI(ultralarge−scale integration)の微細化に伴い、配線の微細化が進んでいる。そのため、配線間容量の増大が問題となってきており、層間絶縁膜の低誘電率化が進んでいる。低誘電率絶縁膜に配線を形成する技術としては、例えば特許文献1、2に記載のものがある。
特許文献1には、絶縁膜内部よりも単位体積当たりの炭素原子数が少なく、且つ酸素原子数を多く有する改質層を絶縁膜と金属との界面等に形成することが記載されている。特許文献1では、このような改質層を形成することで、薄く絶縁性に優れた改質層とすることができ、低配線間容量を維持したまま配線間のリーク電流を低減することができるようになると記載されている。
また、特許文献2には、低誘電率の絶縁膜は機械的強度が小さいため、半導体装置の製造工程の中で大きなストレスが発生する工程、たとえば化学機械研磨(CMP:Chemical Mechanical Polishing)やパッケージ工程において、層間絶縁膜にクラックが発生する、あるいは層間絶縁膜が剥離する可能性があることが記載されている。そこで、特許文献2では、基板上に、SiOCを含有する骨格構造部と、炭化水素化合物を含有する空孔形成材料部とを有するSiOC膜を形成し、SiOC膜に200nm以上260nm以下の波長を有する光を照射することにより、SiOC膜に光が照射された際に、3員環Si−O結合(後述する発明の概要では、「6員環構造」という。)では、やSi−H結合が生成されず、一方でネットワークSi−O結合が増加するため、SiOC膜の機械的強度を向上させることができ、プロセスダメージ耐性を損なうことを避けることができるとされている。
国際公開第2007/132879号パンフレット 特開2009−289996号公報
しかしながら、上記文献の技術では、低誘電率絶縁膜の機械的強度を十分に向上させることができていないため、スパッタリング、エッチング及びリスパッタの繰返しによりバリアメタル及びシード・メタルを形成させる際、配線溝の底面も掘り込まれ(以下、サブトレンチという)、配線形状が歪んでしまうことを本発明者は知見した。特に、配線溝の底面の外縁直下が、配線溝の底面の中心直下に比較して、大きく掘りこまれる傾向にあることも本発明者は知見した。
配線形状の歪みは、局所的に配線間スペースが狭くなることで配線間TDDB(Time Dependent Dielectric Breakdown)寿命の劣化を引き起こす。配線溝の底面のサブトレンチは、向かい合うメタルの表面積が増える一方で抵抗に寄与しない。そのため、実質的に配線間の容量増加を引き起こすことになってしまう。
以上のことから、配線溝底面におけるサブトレンチの形成を抑制して、配線間の実効的な容量の増加を抑制できる技術が求められた。
本発明によれば、
6員環構造の環状シロキサンを原料とする絶縁膜と、
前記絶縁膜に形成された配線溝と、
前記配線溝に金属膜が埋め込まれて構成される配線と、
を有し、
前記配線溝の底面において、前記絶縁膜の内部よりも単位体積あたりの炭素原子数、又は/及び、窒素原子数が多い改質層が形成されている、半導体装置
が提供される。
また、本発明によれば、
6員環構造の環状シロキサンを原料として絶縁膜を形成する工程と、
前記絶縁膜に配線溝を形成する工程と、
炭素原子、又は/及び、窒素原子を含むガスから発生されたプラズマにより前記配線溝の底面を処理して、前記配線溝の底面に改質層を形成する工程と、
前記配線溝を金属膜で埋め込んで配線を形成する工程と、
を含む、半導体装置の製造方法
が提供される。
この発明によれば、炭素原子、又は/及び、窒素原子を含むガスから発生されたプラズマで配線溝の底面を処理することにより、絶縁膜の内部よりも単位体積あたりの炭素原子数、又は/及び、窒素原子数が多い改質層が形成される。このような改質層は機械的強度が高いため、バリアメタル及びシード・メタルを形成するとき、配線溝底面におけるサブトレンチの発生を抑制することができる。したがって、配線間の実効的な容量の増加を抑制することができる。
本発明によれば、配線間の実効的な容量の増加を抑制することができる。
第1の実施形態に係る半導体装置を模式的に示す断面図である。 第1の実施形態に係る半導体装置の製造方法を説明する図である。 第1の実施形態に係る半導体装置の製造方法を説明する図である。 第1の実施形態に係る半導体装置の製造方法を説明する図である。 第1の実施形態に係る半導体装置の変形例を示す断面図である。 (a)は、第2の実施形態に係る半導体装置を模式的に示した断面図である。(b)は、第2の実施形態に係る半導体装置のうち配線溝のみを示す図である。 第2の実施形態に係る半導体装置の製造方法を説明する図である。 第2の実施形態に係る半導体装置の製造方法を説明する図である。 第2の実施形態に係る半導体装置の製造方法を説明する図である。 第2の実施形態に係る半導体装置の製造方法を説明する図である。 第2の実施形態に係る半導体装置の製造方法を説明する図である。 第2の実施形態に係る半導体装置の変形例を示す断面図である。 実施例を示す図である。 実施例を示す図である。 参考例を示す図である。
以下、本発明の実施の形態について、図面を用いて説明する。尚、すべての図面において、同様な構成要素には同様の符号を付し、適宜説明を省略する。
(第1の実施形態)
図1は、第1の実施形態を示す半導体装置100の模式的な断面図である。
半導体装置100は、6員環構造の環状シロキサンを原料とする絶縁膜11と、絶縁膜11に形成された配線溝12と、配線溝12に金属膜(配線メタル)15が埋め込まれて構成される配線10と、を有する。半導体装置100では、配線溝12の底面において、絶縁膜11の内部よりも単位体積あたりの炭素原子数、又は/及び、窒素原子数が多い改質層13が形成されている。
図示しないが、絶縁膜11は、半導体素子が形成された半導体基板上に形成されている。絶縁膜11は、少なくともシリコン、酸素、炭素、及び水素を含有する低誘電率絶縁膜である。本実施形態において、低誘電率絶縁膜とは、シリコン酸化膜より誘電率の低い絶縁膜をいう。絶縁膜11の膜厚は、例えば、50nm〜300nmとすることができる。
本実施形態において、「6員環構造の環状シロキサン」とは、Si−Oユニットが3つ連結してなる式(1)で示す化合物をいう。式(1)中、R1、R2,R3、R4,R5、R6は、水素、又は、炭素数1〜4の炭化水素基(不飽和炭化水素基又は飽和炭化水素基)であり、R1〜R6は互いに異なっていても良いし、R1〜R6のうち何れか2つ以上が互いに同一であっても良い(R1〜6のすべてが互いに同一である場合を含む)が、少なくともR1、R2,R3、R4,R5、R6のいずれか一つは、炭化水素基である。炭化水素基(不飽和炭化水素基又は飽和炭化水素基)は、ビニル基、アリル基、メチル基、エチル基、プロピル基、イソプロピル基、およびブチル基の何れかである。R1〜R6のうち、炭素原子を2つ以上含む飽和炭化水素基については、エチル基(−CHCH)またはプロピル基(−CHCHCH)であることが好ましい。あるいはR1〜R6のうち、前記飽和炭化水素基については、炭素原子を3つ以上含みかつ分岐構造を有することが望ましい。さらには、前記炭素原子を3つ以上含みかつ分岐構造を有する飽和炭化水素基が、イソプロピル基(−CH(CH)または
ターシャリーブチル基(−C(CH)であることが望ましい。
Figure 2012023245
式(1)に示す化合物としては、具体的には、化学式(2)で示すトリビニルシクロトリシロキサン誘導体、化学式(3)で示すジビニルシクロトリシロキサン誘導体、化学式(4)で示すビニルシクロトリシロキサン誘導体が例示される。式(2)〜(4)中、Rは、式(1)で説明したものと同様である。
Figure 2012023245
Figure 2012023245
Figure 2012023245
環状シロキサンとして、より好ましくは、式(1)中、R1、R3及びR5の少なくとも1つが不飽和炭化水素基であり、R2、R4及びR6の少なくとも1つが炭素原子を2つ以上含む飽和炭化水素基であることが好ましい。例えば、式(5)で示すトリビニルトリイソプロピルシクロトリシロキサンを用いることができる。
Figure 2012023245
前述のとおり、配線溝12の底面には、改質層13が形成されている。改質層13は、改質層13の表面から絶縁膜11の内部に向かって単位体積あたりの炭素原子又は窒素原子の含有率が減少するように傾斜がついている部分をいう。絶縁膜11の界面から絶縁膜11の内部では、単位体積あたりの炭素原子又は窒素原子の含有率は、一定となる。また、改質層13は、絶縁膜11よりも機械的強度が向上した膜でもある。この理由は、式(1)で示す環状シロキサンの炭化水素基が炭素原子と酸素とからなるC−O結合、又は、窒素原子(N)で終端しているためと考えられる。改質層13は、絶縁膜11の内部よりも単位体積あたりの炭素原子数、又は/及び、窒素原子数が多ければよいが、具体的には、絶縁膜11を構成する全て元素の原子数に対して、炭素原子が20原子数%以上である層とすることができる。また、窒素原子が20原子数%以上である層であってもよいし、炭素原子と窒素原子との合計が20原子数%以上である層であってもよい。一例として、改質層13の表面から絶縁膜11の内部に向かって深さ2nmまでの層とすることができる。なお、ここでいう、改質層13の「表面」とは、メタルと改質層13との界面であり、図1で示す構造の場合は、バリアメタル膜14と改質層13との界面である。バリアメタル膜14を形成しない場合は、配線メタル15と改質層13との界面であってもよい。
例えば、改質層13における単位体積あたりの酸素原子数に対する炭素原子数の比率(C/O)が、絶縁膜11の内部における単位体積あたりのC/Oよりも大きいと好ましく、具体的には、改質層13におけるC/Oは、0.2以上0.4以下とすることができる。また、改質層13における単位体積あたりの炭素原子数に対するシリコン原子数の比率(Si/C)が、絶縁膜の内部における単位体積あたりのSi/Cよりも小さくてもよく、改質層13におけるSi/Cは、0.45以上0.85以下とすることができる。改質層13の組成は、X線光電子分光(X−ray photoelectron spectroscopy)法により改質層13の表面からアルゴンプラズマによるエッチングを行い、深さ方向に炭素原子、酸素原子及びシリコン原子について元素分布を測定することにより調べることができる。
配線溝12の底面に形成された改質層13の厚みは、5nm以上であることが好ましい。こうすることで、絶縁膜11よりも機械的強度の高い改質層13を形成させることができ、配線構造の歪みの発生(特に、サブトレンチの形成)を抑制することができる。改質層13の厚みが厚すぎると誘電率が上昇してしまうことがあるが、改質層13の厚みを20nm以下とすることで、誘電率の上昇を実用上問題のない範囲にすることができる。より好ましくは、10nm以下とする。
また、改質層13は、配線溝12の側壁に形成されていてもよい。配線溝12の側壁に改質層13が形成されていることで、機械的強度が高まり、研磨工程や実装工程における配線構造の歪みを抑制することができる。配線溝12の側壁では、改質層13の厚みを3nm以上とすることが好ましい。また、配線溝12の底面に形成された改質層13の厚みは、配線溝12の側壁に形成された改質層13よりも厚くすることができる。
図1で示すように、改質層13上には、バリアメタル膜14が形成されていてもよい。具体的には、バリアメタル膜14は、タンタル(Ta)、窒化タンタル(TaN)、チタン(Ti)、窒化タンタル(TiN)、及び、ルテニウム(Ru)からなる群から選択されることが好ましく、バリアメタル膜14は、1層であってもよいし複数の層が積層されていてもよい。例えば、改質層13と接する面にTaN層を形成し、TaN層にTa層を形成してもよい。
配線溝12には、改質層13、及び、バリアメタル膜14を介して配線メタル15が埋め込まれている。これにより、絶縁膜11には、配線10が形成されることになる。配線メタル15は、例えば銅を主成分とする金属膜とすることができるが、銅以外の金属元素が含まれていてもよい。配線メタル15に含まれる銅以外の金属元素としては、例えば、アルミニウム(Al)、錫(Sn)、チタン(Ti)、タングステン(W)、銀(Ag)、ジルコニウム(Zr)、インジウム(In)、マグネシウム(Mg)及びマンガン(Mn)からなる群から選択される少なくとも一種の金属元素とすることができる。
配線メタル15を覆うようにバリア絶縁膜16が形成されていてもよい。バリア絶縁膜16は、一層であってもよいし、積層構造であってもよい。バリア絶縁膜16は、例えば、SiCN膜、SiCO膜又はこれらの積層とすることができる。なお、配線メタル15の上にメタルキャップ膜(図示しない)を選択成長させた後、メタルキャップ膜上にバリア絶縁膜16を形成させてもよい。また、メタルキャップ膜を形成させる場合は、バリア絶縁膜16を形成させなくてもよい。メタルキャップ膜は、コバルト(Co)、ニッケル(Ni)、ルテニウム(Ru)、ロジウム(Rh)、パラジウム(Pd)、銀(Ag)、錫(Sn)、アンチモン(Sb)、カドミウム(Cd)、インジウム(In)、白金(Pt)、金(Au)、鉛(Pb)、またはビスマス(Bi)を含む材料により構成することができる。また、メタルキャップ膜は、ホウ素(B)、窒素(N)、リン(P)、バナジウム(V)、クロム(Cr)、マンガン(Mn)、鉄(Fe)、タングステン(W)、レニウム(Re)、またはモリブデン(Mo)と他の金属との合金により構成してもよい。
つづいて、半導体装置100の製造方法の一例について図2〜4を用いて説明する。まず、半導体素子(図示せず)が形成された半導体基板(例えば、シリコン基板)を反応内に設置し、式(1)で示すSi−Oユニットが3つ連結してなる6員環構造の環状シロキサンの蒸気を不活性ガス(アルゴンなどの希ガス、又は窒素)で希釈して、反応室内のプラズマ中に導入し、過熱された半導体基板上に絶縁膜11を形成する。このとき形成される絶縁膜11はプラズマエネルギーと熱エネルギーとによる重合反応からなる多孔質絶縁膜であることを特徴とする。前記6員環構造の環状シロキサン原料の蒸気を希ガスで希釈した蒸気に、NOなどの酸化剤ガスを添加してプラズマ中に導入し、前記半導体基板上に多孔質絶縁膜を成長してもよい。なお、形成した絶縁膜11には、原料となる環状シロキサンが含まれていてもよい。
ついで、フォトリソグラフィを行った後、ドライエッチングを行って絶縁膜11に配線溝12を形成する。(図2(a))。なお、配線溝12は、通常のダマシンプロセスを用いて形成させればよい。
ついで、炭素原子、又は/及び、窒素原子を含むガスからプラズマを発生させて配線溝12に照射する。炭素原子、又は/及び、窒素原子を含むガスとして、二酸化炭素(CO)ガス、窒素ガス、又は、これらの混合ガスを用いることが好ましい。COガスを用いる場合は、例えば、ガス流量100〜800sccm下、パワー100〜1000W、圧力1.4〜13Pa(10〜100mTorr)でプラズマを発生させることができる。温度は、例えば、室温(25℃)とすることができる。プラズマ処理は、例えば、3〜60秒を行うことが好ましい。また、COガスと窒素ガスとの混合ガスを用いる場合は、COガスの流量を50〜800ccm、窒素ガスの流量を50〜600ccmとし、COガスを単独で用いる場合のと同じパワー及び圧力でプラズマ処理することができる。こうすることで、配線溝12の底面に改質層13を形成させることができる(図2(b))。このとき、配線溝12の側壁にも改質層13が形成されることが好ましい。後述するバリアメタル膜14及びシード合金膜15aの形成時において行われるリスパッタで用いられるガス(例えば、アルゴン)は、異方性である。そのため、配線溝12の底面に形成された改質層13の厚みは、配線溝12の側壁に形成された改質層13の厚みよりも厚くなるように形成させることが好ましい。上記条件下でプラズマ処理を行えば、配線溝12の底面に形成された改質層13の厚みは、配線溝12の側壁に形成された改質層13の厚みよりも厚くすることができる。
なお、改質層13の表面をさらに水素プラズマ処理を行い疎水化させてもよい。
ついで、スパッタリング法により、改質層13上に第1のバリアメタル膜14aを形成する(図3(a))。このとき、第1のバリアメタル膜14が均一に成膜されていないときは、第1のバリアメタル膜14aをエッチングして、配線溝12の側壁の改質層13上に形成されたバリアメタル膜14aの膜厚を平坦化する処理を行ってもよい。第1のバリアメタル膜14aをエッチングすることにより、配線溝12底面上の第1のバリアメタル膜14a、及び、配線溝12内部以外に形成された第1のバリアメタル膜14aが除去され、除去された第1のバリアメタル膜14aが配線溝12の側壁の改質層13に付着する。これにより、配線溝12側壁の改質層13上のバリアメタル膜14の膜厚を平坦化することができ、均一に第1のバリアメタル膜14aを形成させることができる。
さらに、第1のバリアメタル膜14aに再度スパッタリング法により、第2のバリアメタル膜14bを形成する(図3(b))。これにより、配線溝12の側壁におけるバリアメタル膜14(以下、第1のバリアメタル膜14aおよび第2のバリアメタル膜14bを一体としてバリアメタル膜14として示す。)の膜厚の不均等がより低減される。
積層構造からなるバリアメタル膜14を形成する場合は、配線溝12の側壁にもバリアメタル膜14を形成するため、各層毎にスパッタ、エッチング、リスパッタを繰り返し行うことができる。なお。バリアメタル膜14の成膜時に用いるガスとしては、希ガスが好ましく、アルゴンがより好ましい。
つづいて、バリアメタル膜14上全面に、スパッタリング法によりシード合金膜15aを形成する(図4(a))。シード合金膜15aもまた、バリアメタル膜14のようにスパッタ、エッチング、リスパッタを行い形成させることができる。ここで、シード合金膜15aは、銅と、不純物金属とを含む合金とすることができる。不純物金属としては、ベリリウム(Be)、マグネシウム(Mg)、亜鉛(Zn)、パラジウム(Pd)、銀(Ag)、カドミウム(Cd)、金(Au)、白金(Pt)、水銀(Hg)、マンガン(Mn)、又は、チタン(Ti)が挙げられ、不純物金属の濃度は、0.1〜1.0質量%程度とすることができる。このような範囲とすることにより、後にシード合金膜をシードとして良好に銅を主成分として含むめっき膜を形成することができる。
その後、めっき法によりめっき金属膜15bを形成し、配線溝12内を埋め込む(図4(b))。めっき金属膜15bは、銅を主成分として含む構成とすることができる。なお、めっき金属膜15bも不純物金属を含む構成としてもよいが、不純物濃度は、シード合金膜15aよりも低い濃度とする。
ついで、アニールによるグレイン成長を行う。これにより、シード合金膜15a中の不純物金属が拡散する。以下では、シード合金膜15aとめっき金属膜15bとを一体として配線メタル15として示す。
この後、化学的機械的研磨(Chemical Mechanical Polishing:CMP)により、配線溝12外部に露出しためっき金属膜15b、シード合金膜15a、バリアメタル膜14、及び改質層13を除去する。これにより、配線溝12内に配線が形成される。配線溝12の側壁及び底部に形成された改質層13はそのまま配線溝12を覆うように絶縁膜11中に存在するため、機械的強度を向上させる。
その後、絶縁膜11上にバリア絶縁膜16を形成する。これにより、図1に示した構造が得られる。上述の方法と同様にバリア絶縁膜16上に配線を形成してもよい。その後は、通常のプロセスを行って半導体装置を完成させる。
つづいて、本実施形態の作用及び効果について説明する。本実施形態によれば、炭素原子、又は/及び、窒素原子を含むガスから発生されたプラズマで配線溝12の底面を処理することにより、絶縁膜11の内部よりも単位体積あたりの炭素原子数、又は/及び、窒素原子数が多い改質層13が形成される。このような改質層13によれば、機械的強度が向上するため、リスパッタを繰り返してバリアメタル及びシード・メタルを形成するとき、配線溝12底面におけるサブトレンチの発生を抑制することができる。したがって、配線間の実効的な容量の増加を抑制することができる。
改質層を形成せずにバリアメタルのスパッタ工程を実行した例を図15に示す。具体的には、図15では、例えば式(5)で示す環状シロキサンを用いて形成された絶縁膜91に配線溝92を形成した後、改質層を形成せずにリスパッタを繰り返してバリアメタル層94を形成している例を示している。スパッタリングにより成膜したバリアメタル層94は、不均一であり、配線溝92の外縁には、バリアメタルが成膜されておらず、絶縁膜91が露出してしまう。しかしながら、リスパッタは均一に行われる。そうすると、絶縁膜91は、機械的強度が弱いため、掘りこまれてサブトレンチが形成されてしまう。
一方、本実施形態では、絶縁膜11上に絶縁膜11よりも機械的強度を高めた改質層13が形成されているため、リスパッタによりサブトレンチが形成されてしまうのを抑制することができる。したがって、配線間の実効的な容量の増加を抑制できる。
図5は、本実施形態の変形例の半導体装置300を示す図である。この変形例では、絶縁膜11上にハードマスク17が成膜されている。ハードマスク17とは、シリコン中に酸素、窒素、炭素、フッ素またはそのいずれかの組み合わせが含まれる絶縁膜である。図5で示す構造を形成する場合、絶縁膜11上にハードマスク17を形成した後、配線溝12を形成する。したがって、配線溝12の内部以外はプラズマに曝されないため、絶縁膜11上部には改質層13は形成されない。
(第2の実施形態)
図6は、第2の実施形態を示す半導体装置200の模式的な断面図である。図6(a)に示すように、半導体装置200は、6員環構造の環状シロキサンを原料とする層間絶縁膜11a、11b、11cと、層間絶縁膜11cに形成された配線溝12と、配線溝12に金属膜(配線メタル)15が埋め込まれて構成される上層配線10と、を有する。配線溝12の底面において、層間絶縁膜11cの内部よりも単位体積あたりの炭素原子数、又は/及び、窒素原子数が多い改質層13が形成されている。また、半導体装置200は、絶縁膜11に形成されたデュアルダマシン溝22をさらに有する。デュアルダマシン溝22は、配線溝22bと配線溝22bの底面に接続しているビアホール22aとから構成される。具体的には、層間絶縁膜11bにはビアホール22aが形成され、層間絶縁膜11cには、配線溝22bが形成されている。ビアホール22a及び配線溝22bの側壁には、層間絶縁膜11cの内部よりも単位体積あたりの炭素原子数、又は/及び、窒素原子数が多い改質層23が形成されている。
層間絶縁膜11b、11cは、第1の実施形態で説明した絶縁膜11と同じものを用いることができる。また、層間絶縁膜11aは、シリコン酸化膜より誘電率が低い絶縁膜とすることができるが、第1の実施形態で説明した絶縁膜11と同じものを用いてもよいし、例えば、MPS(Molecular Pore Stacking)等のポーラスSiOCH膜、ポーラスSiOC膜、ポーラスSiO、デンスSiOCH、デンスSiOC、Ladder oxide等としてもよい。層間絶縁膜11aは、半導体素子が形成された半導体基板(図示しない)上に形成されている。
配線溝12、改質層13、バリアメタル膜14及び配線メタル15は、第1の実施形態で説明したものと同様に構成することができる。
ビアホール22aの側壁には、改質層23が形成されている。こうすることで、機械的強度が向上するため、研磨工程や実装工程において配線構造に歪みが生じるのを防ぐことができる。改質層23は、配線溝12の側壁の改質層13と同一工程で形成することができる。ただし、ビアホール22aの側壁に形成された改質層23の厚みは、配線溝12の側壁に形成された改質層13よりも小さくすることが好ましい。換言すれば、配線溝12の側壁に形成された改質層13の厚みが配線溝12の底面に形成された改質層13の厚みよりも小さく、かつ、ビアホール22aの側壁に形成された改質層23の厚みよりも大きくすることができる。なお、通常のプラズマ処理で改質層13、23を形成させれば、こうした厚みの改質層13、23を形成させることができる。
改質層13と同様に改質層23上には、バリアメタル膜24が形成されていてもよい。バリアメタル膜24は、第1の実施形態で説明したバリアメタル膜14と同様に構成させることができる。パンチスルー加工により、バリアメタル膜24の底面は、図6(a)で示すように下層配線27の内部に到達している。一方、本実施形態では、サブトレンチの形成は抑制されている。図6(b)では、配線溝12のみを示すが、バリア絶縁膜16aと下層配線27との界面とバリアメタル膜24の底面との距離をdとし、配線溝12底面中心の直下と半導体基板との距離、及び、底面外周の直下と半導体基板との距離の差をdとしたとき、d>dが成り立つように構成されている。具体的には、d−d(=ΔD)が3nm以上d以下であることが好ましい。
デュアルダマシン溝22には、改質層23、及び、バリアメタル膜24を介して配線メタル25が埋め込まれている。これにより、絶縁膜11b、11cには、デュアルダマシン配線が形成されることになる。具体的には、配線溝22bが配線メタル25で埋め込まれることで上層配線20をなし、ビアホール22aが配線メタル25で埋め込まれることで接続プラグ21をなす。接続プラグ21は、上層配線20と下層配線27とを接続する。配線メタル25は、第1の実施形態で説明した配線メタル15と同様に構成させることができる。
半導体装置200は、下層配線27を備えている。下層配線27は、第1の実施形態で説明した配線メタル15と同じものを用いることができる。
下層配線27を覆うように層間絶縁膜11a上にバリア絶縁膜16aが形成されていてもよい。また、配線メタル15、25を覆うようにバリア絶縁膜16bが絶縁膜11c上形成されていてもよい。バリア絶縁膜16a、16bは、第1の実施形態で説明したバリア絶縁膜16と同じものを用いることができる。
つづいて、図7〜10を用いて、半導体装置200の製造方法の一例について説明する。まず、半導体素子(図示せず)が形成された半導体基板(例えば、シリコン基板)上にプラズマCVD法等を用いて層間絶縁膜11aを形成する。ついで、銅ターゲットを用いたスパッタリング法、CVD法又は電界メッキ法等、公知の技術を用いて、下層配線27を形成する。そして、下層配線27露出面を覆うように、例えばプラズマCVD法を用いてバリア絶縁膜16aを形成する。バリア絶縁膜の厚みは、例えば、20nm〜50nmとすることができる。その後、バリア絶縁膜16a上に絶縁膜11b及び11cを成膜する(図7)。絶縁膜11b、11cは、第1の実施形態で説明した絶縁膜11の成膜法と同様な方法により成膜することができる。絶縁膜11bの厚みは、例えば、20nm〜200nmとすることができ、絶縁膜11cの厚みは、例えば、50nm〜200nmとすることができる。
なお、絶縁膜11bと絶縁膜11cとの間にエッチングストップ層を形成してもよい。こうすることで、ビアホール22a、及び、配線溝22bの加工性を向上させることができ、配線溝22b深さのばらつきを低減することができる。エッチングストップ層は、例えば、SiO膜、SiN膜、SiC膜、SiCN膜、SiOC膜、SiOCH膜等とすることができ、膜厚は5nm〜50nmとすることができる。
ついで、フォトレジストの後、ドライエッチングを行って、配線溝12、及び、デュアルダマシン溝22を形成する。具体的には、ビアホール22aの上端に接続するように配線溝22bを形成する。この場合、通常のデュアルダマシン法を用いて形成させればよく、ビア・ファースト法により、ビアホール22aを先に形成してもよいし、トレンチ・ファースト法により、配線溝22bを先に形成してもよい。層間絶縁膜11bと層間絶縁膜11cとの間にエッチングストップ層が形成されている場合は、ミドル・ファースト法を採用することもできる。デュアルダマシン溝22と同時に配線溝12を形成させるが、ビアホール22aと配線溝12とを同時に形成させてもよい(図8)。
その後、第1の実施形態で説明した方法で、炭素原子、又は/及び、窒素原子を含むガスから発生されたプラズマを配線溝12及びデュアルダマシン溝22に照射し、配線溝12の底面及び側壁、配線溝22bの底面、並びに、ビアホール22a及び配線溝22bの側壁にそれぞれ改質層13、23を形成させる(図9)。このとき、配線溝12底面の改質膜3の厚みが配線層12側壁の改質層13の厚みよりも厚くなるようにし、かつ、配線層12側壁の改質層13の厚みがビアホール22aの側壁の厚みよりも厚くなるようにすると好ましい。
ついで、必要に応じて改質層13の表面を水素プラズマで疎水化処理した後、ビアホール22aの底面に形成された改質層23及びバリア絶縁膜16aをエッチバックにより除去する。下層配線27を露出させた後、第1の実施形態で説明した方法で、スパッタリング、エッチング及びリスパッタリングを順次行って、改質層13、23にバリアメタル膜14、24をそれぞれ形成する。
ここで、図10で示すように、バリアメタル膜24は、下層配線27の内部に形成されてもよいが、配線溝12には、底面に改質層13が形成されているため、バリアメタル膜が層間絶縁膜11bの内部に形成されることはない。つまり、サブトレンチを形成させずにパンチスルー加工を行うことができる。
ついで、第1の実施形態で説明したように、スパッタリング、エッチング及びリスパッタリングを順次行って、バリアメタル膜14、24にシード合金膜15aを形成した後、めっき金属膜15bを配線溝12及びデュアルダマシン溝22に埋め込んで、アニーリングを行い、配線メタル15、25を形成する。CMP研磨を行い、露出した配線メタル15、25を覆うようにバリア絶縁膜16bをプラズマCVD法等により形成して、図6(a)で示す構造を得る。バリア絶縁膜16b上に層間絶縁膜11a、11bを形成し、下層配線27と同様な配線や層間絶縁膜11bに形成されたデュアルダマシン配線及び上層配線等を作成したり、これらを積層したりしてもよい。その後、通常の半導体装置プロセスを実行し、半導体装置を完成させる。
なお、本実施形態において、COガスや窒素ガスを用いて配線溝12及びデュアルダマシン溝22のプラズマ処理を行う場合は、改質層13、23の形成前にバリア絶縁膜16aをエッチバックして下層配線27を露出させてもよい。COガスや窒素ガスは、銅への酸化力が弱いため、こうすることで、配線接続の低下を防ぐ効果がある。この場合、改質膜13、23は、図9で示すように形成されるのではなく、図11で示すように下層配線27が露出した状態で形成されることになる。
本実施形態では、第1の実施形態で説明した効果のほか、パンチスルー加工とサブトレンチ形成とのトレードオフの関係を改善できるという効果を得ることができる。
バリアメタル及びシード・メタルの形成時には、リスパッタにより、ビアホールの底面も掘りこまれる。一方、第1の実施形態で説明したように、リスパッタにより配線溝にサブトレンチが形成してしまうという問題がある。しかしながら、リスパッタを減らしてパンチスルー加工を抑制すると、ビアホール底面の掘り込み量を減らすことにつながる。また、ビアホール底面のバリアメタルと下層配線との密着性を確保することが困難なため、信頼性(特に、Electro Migration(EM)、及びStress induced Voiding(SiV))の低下を引き起こす。したがって、パンチスルー加工の促進とサブトレンチ形成の抑制とを両立させることは困難であった。
一方、本実施形態によれば、改質層13を形成させて配線溝12の底面が硬化することによってリスパッタに対するエッチングレートが均一となるため、サブトレンチが形成されにくくなり、パンチスルー加工とサブトレンチ形成とのトレードオフの関係を改善することができる。したがって、信頼性の高い半導体装置とすることができる。
図12は、本実施形態の変形例の半導体装置400を示す図である。この変形例では、絶縁膜11c上にハードマスク28が成膜されている。ハードマスク28は、第1の実施形態で説明したハードマスク17と同様なものを用いることができる。図12で示す構造を形成する場合、絶縁膜11c上にハードマスク28を形成した後、配線溝12及びデュアルダマシン溝22を形成する。したがって、配線溝12の内部以外はプラズマに曝されないため、絶縁膜11c上部には改質層13が形成されない。
以上、図面を参照して本発明の実施形態について述べたが、これらは本発明の例示であり、上記以外の様々な構成を採用することもできる。例えば、実施の形態では、デュアルダマシン配線を例に挙げて説明したが、接続プラグは、半導体素子の電極と接続するコンタクト層であってもよい。
(実施例1)
図1で示す構造体を作製した。絶縁膜11は、式(5)で示す化合物を用いて成膜した。改質層13は、配線溝12内の絶縁膜11をCOガスによるプラズマ処理することにより形成した。プラズマ発生条件は、COガス流量500sccm、パワー500W、圧力6.7Pa(50mTorr)とし、厚み3nmの改質層13を作製した。
(実施例2)
実施例1において、改質層の厚みを8.6nm、17nm、26nmとしたものをそれぞれ作製した。
(比較例1)
実施例1において、COガスによるプラズマ処理を行わなかった。
(評価1)
実施例1及び比較例1で得られた構造体を用い、X線光電子分光法により改質層13の表面からアルゴンエッチングを行い、深さ方向の炭素、酸素、及びシリコンについて元素分布を調べた。結果を図13に示す。
(評価2)
実施例2で得られたそれぞれの構造体について配線容量を測定した、結果を図14に示す。
10 配線
11 絶縁膜
11a 層間絶縁膜
11b 層間絶縁膜
11c 層間絶縁膜
12 配線溝
13 改質層
14 バリアメタル膜
14a バリアメタル膜
14b バリアメタル膜
15 配線メタル
15a シード合金膜
15b めっき金属膜
16 バリア絶縁膜
16a バリア絶縁膜
16b バリア絶縁膜
17 ハードマスク
20 上層配線
21 接続プラグ
22 デュアルダマシン溝
22a ビアホール
22b 配線溝
23 改質層
24 バリアメタル膜
25 配線メタル
27 下層配線
28 ハードマスク
100 半導体装置
200 半導体装置
300 半導体装置
400 半導体装置

Claims (13)

  1. 6員環構造の環状シロキサンを原料とする絶縁膜と、
    前記絶縁膜に形成された配線溝と、
    前記配線溝に金属膜が埋め込まれて構成される配線と、
    を有し、
    前記配線溝の底面において、前記絶縁膜の内部よりも単位体積あたりの炭素原子数、又は/及び、窒素原子数が多い改質層が形成されている、半導体装置。
  2. 前記6員環構造の有機シロキサンが、シリコン及び酸素を骨格とし、シリコンに結合する側鎖の一方が不飽和炭化水素基であり、他方が炭素原子を2つ以上含む飽和炭化水素基を含んでいる、請求項1に記載の半導体装置。
  3. 前記絶縁膜に形成され、前記配線溝の底面に接続している接続孔をさらに有し、
    前記接続孔の側壁に前記改質層が形成されている、請求項1又は2に記載の半導体装置。
  4. 前記配線溝の底面に形成された前記改質層の厚みが前記接続孔の側壁に形成された前記改質層の厚みよりも大きい、請求項3に記載の半導体装置。
  5. 前記配線溝の側壁において前記改質層が形成されており、
    前記配線溝の側壁に形成された前記改質層の厚みが、前記配線溝の底面に形成された前記改質層の厚みよりも小さく、かつ、前記接続孔の側壁に形成された前記改質層の厚みよりも大きい、請求項4に記載の半導体装置。
  6. 前記配線溝の側壁において前記改質層が形成されており、
    前記配線溝の底面に形成された前記改質層の厚みが前記配線溝の側壁に形成された前記改質層よりも厚い、請求項1乃至4いずれか1項に記載の半導体装置。
  7. 前記改質層における単位体積あたりの酸素原子数に対する炭素原子数の比率(C/O)が、前記絶縁膜の内部における単位体積あたりのC/Oよりも大きい、請求項1乃至6いずれか1項に記載の半導体装置。
  8. 前記改質層における単位体積あたりの炭素原子数に対するシリコン原子数の比率(Si/C)が、前記絶縁膜の内部における単位体積あたりのSi/Cよりも小さい、請求項1乃至7いずれか1項に記載の半導体装置。
  9. 6員環構造の環状シロキサンを原料として絶縁膜を形成する工程と、
    前記絶縁膜に配線溝を形成する工程と、
    炭素原子、又は/及び、窒素原子を含むガスから発生されたプラズマにより前記配線溝の底面を処理して、前記配線溝の底面に改質層を形成する工程と、
    前記配線溝を金属膜で埋め込んで配線を形成する工程と、
    を含む、半導体装置の製造方法。
  10. 前記絶縁膜に配線溝を形成する前記工程において、前記配線溝の側壁に前記改質層を形成させる、請求項9に記載の半導体装置の製造方法。
  11. 前記絶縁膜に接続孔を形成する工程を含み、
    前記改質層を形成する前記工程において、前記配線溝の底面に前記改質層を形成させると同時に前記接続孔の底面に前記改質層を形成させ、
    前記配線溝を形成する工程において、前記接続孔の上端に接続するように前記配線溝を形成する、請求項9又は10に記載の半導体装置の製造方法。
  12. 前記改質層を形成する前記工程の後に、前記改質層の表面を水素プラズマで処理する工程をさらに含む、請求項9乃至11いずれか1項に記載の半導体装置の製造方法。
  13. 炭素原子、又は/及び、窒素原子を含む前記ガスが、二酸化炭素ガス、窒素ガス、または、これらの混合ガスである、請求項9乃至12いずれか1項に記載の半導体装置の製造方法。
JP2010160825A 2010-07-15 2010-07-15 半導体装置の製造方法 Active JP5654794B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2010160825A JP5654794B2 (ja) 2010-07-15 2010-07-15 半導体装置の製造方法
US13/182,944 US9337093B2 (en) 2010-07-15 2011-07-14 Method of manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2010160825A JP5654794B2 (ja) 2010-07-15 2010-07-15 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JP2012023245A true JP2012023245A (ja) 2012-02-02
JP5654794B2 JP5654794B2 (ja) 2015-01-14

Family

ID=45467322

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010160825A Active JP5654794B2 (ja) 2010-07-15 2010-07-15 半導体装置の製造方法

Country Status (2)

Country Link
US (1) US9337093B2 (ja)
JP (1) JP5654794B2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015041708A (ja) * 2013-08-22 2015-03-02 東京エレクトロン株式会社 Cu配線構造の形成方法
JP2020021869A (ja) * 2018-08-02 2020-02-06 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
WO2021241020A1 (ja) * 2020-05-29 2021-12-02 ウシオ電機株式会社 還元処理方法

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5958732B2 (ja) * 2011-03-11 2016-08-02 ソニー株式会社 半導体装置、製造方法、および電子機器
US9064850B2 (en) * 2012-11-15 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate via formation with improved topography control
US9349691B2 (en) * 2014-07-24 2016-05-24 International Business Machines Corporation Semiconductor device with reduced via resistance
KR102324826B1 (ko) * 2015-04-02 2021-11-11 삼성전자주식회사 배선 구조물, 배선 구조물 형성 방법 및 반도체 장치의 제조 방법
KR102630947B1 (ko) * 2016-04-20 2024-01-31 에스케이하이닉스 주식회사 메모리 장치의 제조 방법
US9947590B1 (en) * 2016-10-14 2018-04-17 Globalfoundries Inc. Method, apparatus, and system for using a cover mask for enabling metal line jumping over MOL features in a standard cell
US20180166402A1 (en) * 2016-12-09 2018-06-14 Globalfoundries Inc. Integrated efuse
DE102017213631A1 (de) * 2017-08-07 2019-02-07 Robert Bosch Gmbh Mikromechanische Vorrichtung und entsprechendes Herstellungsverfahren
CN109411406A (zh) * 2017-08-18 2019-03-01 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
JP6791083B2 (ja) * 2017-09-28 2020-11-25 豊田合成株式会社 半導体装置の製造方法
JP2019106538A (ja) * 2017-12-07 2019-06-27 マイクロマテリアルズ エルエルシー 制御可能な金属およびバリアライナー凹部のための方法
US10643946B2 (en) * 2018-06-22 2020-05-05 Intel Corporation Nitrogen assisted oxide gapfill
US10672652B2 (en) 2018-06-29 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Gradient atomic layer deposition

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003297920A (ja) * 2002-04-03 2003-10-17 Nec Corp 半導体装置の製造方法
JP2004336051A (ja) * 2003-05-08 2004-11-25 Internatl Business Mach Corp <Ibm> 多孔質有機誘電体層を形成する方法
JP2004363558A (ja) * 2003-05-13 2004-12-24 Toshiba Corp 半導体装置の製造方法およびプラズマエッチング装置のクリーニング方法
JP2009123886A (ja) * 2007-11-14 2009-06-04 Nec Corp 多層配線の形成方法
JP2010129921A (ja) * 2008-11-28 2010-06-10 Renesas Electronics Corp 半導体装置およびその製造方法

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004296476A (ja) * 2003-03-25 2004-10-21 Semiconductor Leading Edge Technologies Inc 半導体装置の製造方法
US7151315B2 (en) * 2003-06-11 2006-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of a non-metal barrier copper damascene integration
US7547643B2 (en) * 2004-03-31 2009-06-16 Applied Materials, Inc. Techniques promoting adhesion of porous low K film to underlying barrier layer
US7288484B1 (en) * 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US7304000B2 (en) * 2004-08-19 2007-12-04 International Business Machines Corporation Photoresist trimming process
JP4343798B2 (ja) * 2004-08-26 2009-10-14 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
US7923384B2 (en) * 2005-11-24 2011-04-12 Nec Corporation Formation method of porous insulating film, manufacturing apparatus of semiconductor device, manufacturing method of semiconductor device, and semiconductor device
US7435674B2 (en) * 2006-03-27 2008-10-14 International Business Machines Corporation Dielectric interconnect structures and methods for forming the same
US20070287301A1 (en) * 2006-03-31 2007-12-13 Huiwen Xu Method to minimize wet etch undercuts and provide pore sealing of extreme low k (k<2.5) dielectrics
JP5168142B2 (ja) 2006-05-17 2013-03-21 日本電気株式会社 半導体装置
JP5277552B2 (ja) * 2007-03-19 2013-08-28 富士通セミコンダクター株式会社 半導体装置の製造方法
JP5251033B2 (ja) * 2007-08-14 2013-07-31 ソニー株式会社 半導体装置の製造方法
US20090075480A1 (en) * 2007-09-18 2009-03-19 Texas Instruments Incorporated Silicon Carbide Doped Oxide Hardmask For Single and Dual Damascene Integration
US8481423B2 (en) * 2007-09-19 2013-07-09 International Business Machines Corporation Methods to mitigate plasma damage in organosilicate dielectrics
JP2009289996A (ja) 2008-05-29 2009-12-10 Renesas Technology Corp 半導体装置の製造方法および半導体装置
JP5554951B2 (ja) * 2008-09-11 2014-07-23 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8133821B2 (en) * 2008-11-18 2012-03-13 Renesas Electronics Corporation Method of manufacturing porous insulating film, method of manufacturing semiconductor device, and semiconductor device

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003297920A (ja) * 2002-04-03 2003-10-17 Nec Corp 半導体装置の製造方法
JP2004336051A (ja) * 2003-05-08 2004-11-25 Internatl Business Mach Corp <Ibm> 多孔質有機誘電体層を形成する方法
JP2004363558A (ja) * 2003-05-13 2004-12-24 Toshiba Corp 半導体装置の製造方法およびプラズマエッチング装置のクリーニング方法
JP2009123886A (ja) * 2007-11-14 2009-06-04 Nec Corp 多層配線の形成方法
JP2010129921A (ja) * 2008-11-28 2010-06-10 Renesas Electronics Corp 半導体装置およびその製造方法

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015041708A (ja) * 2013-08-22 2015-03-02 東京エレクトロン株式会社 Cu配線構造の形成方法
JP2020021869A (ja) * 2018-08-02 2020-02-06 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US10978394B2 (en) 2018-08-02 2021-04-13 Renesas Electronics Corporation Semiconductor device and method of manufacturing the same
JP7116619B2 (ja) 2018-08-02 2022-08-10 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
WO2021241020A1 (ja) * 2020-05-29 2021-12-02 ウシオ電機株式会社 還元処理方法
CN115427609A (zh) * 2020-05-29 2022-12-02 优志旺电机株式会社 还原处理方法

Also Published As

Publication number Publication date
US20120015517A1 (en) 2012-01-19
JP5654794B2 (ja) 2015-01-14
US9337093B2 (en) 2016-05-10

Similar Documents

Publication Publication Date Title
JP5654794B2 (ja) 半導体装置の製造方法
TWI278965B (en) Semiconductor device and manufacturing method thereof
TWI326903B (en) Method of manufacturing semiconductor device
TWI234846B (en) Method of forming multi layer conductive line in semiconductor device
TWI374482B (ja)
TWI298510B (en) Semiconductor device and method for manufacturing same
TWI309443B (en) Method of manufacturing semiconductor device
JP2007180407A (ja) 半導体装置およびその製造方法
TWI228794B (en) Method of selectively making copper using plating technology
TW200303081A (en) Enhancement of an interconnect
TW201013779A (en) Semiconductor device, and manufacturing method thereof
JP4917249B2 (ja) 半導体装置及び半導体装置の製造方法
JP2010087094A (ja) 半導体装置及び半導体装置の製造方法
JP2008300652A (ja) 半導体装置の製造方法
JP5380901B2 (ja) 半導体装置及びその製造方法
JP4746829B2 (ja) 半導体装置およびその製造方法
WO2007091574A1 (ja) 多層配線構造および多層配線の製造方法
TWI232523B (en) Damascene process and structure thereof
JP4498391B2 (ja) 半導体装置の製造方法
JP4938222B2 (ja) 半導体装置
JP2004235548A (ja) 半導体装置およびその製造方法
JP5582727B2 (ja) 半導体装置の製造方法及び半導体装置
TWI300596B (en) Semiconductor device
JP2007220744A (ja) 半導体装置およびその製造方法
JP2005167081A (ja) 半導体装置およびその製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130430

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140213

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140218

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140403

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20141104

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20141121

R150 Certificate of patent or registration of utility model

Ref document number: 5654794

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350