JP2004336051A - 多孔質有機誘電体層を形成する方法 - Google Patents

多孔質有機誘電体層を形成する方法 Download PDF

Info

Publication number
JP2004336051A
JP2004336051A JP2004136335A JP2004136335A JP2004336051A JP 2004336051 A JP2004336051 A JP 2004336051A JP 2004136335 A JP2004136335 A JP 2004136335A JP 2004136335 A JP2004136335 A JP 2004136335A JP 2004336051 A JP2004336051 A JP 2004336051A
Authority
JP
Japan
Prior art keywords
liner
insulating layer
integrated circuit
circuit structure
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2004136335A
Other languages
English (en)
Other versions
JP4086811B2 (ja
Inventor
Lawrence A Clevenger
ローレンス・エイ・クレベンジャー
Stephen E Greco
ステファン・イー・グレコ
Keith T Kwietniak
キース・ティー・ウィトニアク
Soon-Cheon Seo
セオ スーン−チェオン
Chih-Chao Yang
ヤング チー−チャオ
Yun-Yu Wang
ワング ユン−ユ
Kwong H Wong
ウォング・エイチ・ワング
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2004336051A publication Critical patent/JP2004336051A/ja
Application granted granted Critical
Publication of JP4086811B2 publication Critical patent/JP4086811B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1036Dual damascene with different via-level and trench-level dielectrics

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】本発明は、集積回路構造内に配線層を形成する方法を提供する。
【解決手段】本発明は、有機絶縁層を形成し、絶縁層をパターン化し、絶縁層上にライナを堆積し、該構造をプラズマに露出してライナに隣接する領域内の絶縁層内に微細孔を形成する。ライナは、ライナに影響を与えることなく、プラズマがライナを貫通し、絶縁層に微細孔を形成できるように、十分薄く形成される。プラズマ処理の間、プラズマはライナに影響を及ぼすことなくライナを貫通する。プラズマ処理の後、付加的なライナを堆積することができる。この後、導体が堆積され、さらに導体の余分な部分は構造から除去される。本方法では、パターン化された構造体を有する有機絶縁層と、パターン化された構造体の裏側を覆うライナと、パターン化された構造体を充填する導体とを有する集積回路構造を形成する。絶縁層はライナに接触する絶縁層の表面領域にそった微細孔を含み、さらに微細孔はライナ(ライナは微細孔の内部に存在しない)に接触する表面領域に沿ってのみ存在する。
【選択図】 図1

Description

本発明は、集積回路構造に関する、より具体的には絶縁膜と導電構造体の内側を覆うライナの間に微細孔(pore)を形成することによって有機絶縁膜の静電容量を削減する構造およびプロセスに関する。
集積回路設計における最近の技術の進歩には、古く、硬く、高誘電率の絶縁体を置き換える低誘電率(かつより軟らかい)を有する絶縁体(誘電体)の使用が含まれる。より低誘電率の材料は一般に、有機低k誘電体である市販品、たとえばダウ・ケミカル社(Dow Chemical Company, NY, USA)から市販されているSiLKがある。これらの低誘電率絶縁体は「低k(low-k)」誘電体と呼ばれる。これらの低k誘電体は、全静電容量を下げ、それによりデバイス速度が増し、低電圧が利用できる(デバイスをより小さく、より安くする)ので有利である。このような低k誘電体は従来の高k誘電体に対して実質的かつ文書で十分に裏付けられた利点がある。
このような誘電体の多孔質(porous)形状は静電容量を低減できるので、このような誘電体の多孔質形状を用いることによって、低k誘電体の更なる進歩がもたらされた。しかし、トレンチおよびビアの内側を覆うために用いる材料が、多くの場合、トレンチおよびビアの側壁にそって微細孔を充填してしまうので多孔質の低k誘電体を用いる場合には問題が存在する。これは多孔質誘電体の効果を低下させ、さらにライナ材料が多孔質材料の中に十分抜け出す場合には結果として欠陥となりうる。以下に記載される本発明は、新規な構造と方法によりこれらの問題を克服する。
本発明は、集積回路構造内に配線層を形成する方法を提供する。
本方法は、有機絶縁層を形成し、前記絶縁層をパターン化し、前記絶縁層上にライナを堆積し、前記構造をプラズマに露出して前記ライナに隣接する領域内の前記絶縁層内に微細孔を形成する方法を提供する。ライナの厚みは、プラズマがライナを貫通し、絶縁層に微細孔を形成できるように、制限される(たとえば10乃至500オングストローム)。プラズマ処理の間、プラズマはライナに影響を及ぼすことなくライナを貫通する。プラズマ処理の後、付加的なライナ材料を堆積することができる。この後、導体が堆積され、さらに前記導体の余分な部分は前記構造から除去され、前記導体は前記絶縁層のパターン化された部分内部に残るのみとなる。
本方法では、パターン化された構造体(feature)を有する有機絶縁層と、パターン化された構造体の裏側を覆うライナと、パターン化された構造体を充填する導体とを有する集積回路構造を形成する。前記絶縁層はライナに接触する絶縁層の表面領域にそった微細孔を含み、さらに微細孔はライナ(ライナは微細孔の内部に存在しない)に接触する絶縁層の表面領域に沿ってのみ存在する。
有機絶縁層は、SiLK、ポリ(アリル)エーテル、フルオロポリイミド、ビスベンゾシクロブタン、ヒドリドオルガノシロキサン・ポリマーなどを含み、さらに絶縁層はプラズマ処理から微細孔に沿って水素、窒素などの濃度の増加を含む。ライナは、プラズマがライナを貫通できるように十分薄い第1の層および第1の層を覆う第2の層を有する(たとえば2層は10乃至500オングストロームの厚みを有する)。
プラズマの使用はライナに変化をもたらさないが、代わりにライナに直接隣接する低k誘電体層の領域のみに影響を及ぼす。従って、本発明はいかなるライナ材料に対しても誘電体層の微細孔への侵入を許さない。これにより、誘電体層の低い静電容量特性を維持することができ、さらにライナ材料が誘電体層の多孔質部分に侵入する場合に発生する欠陥を避けることもできる。
さらに、本発明によるプロセスでは、ライナに直接隣接する領域内に微細孔を形成するだけである。これにより、低k誘電体層の残存部は非多孔質の状態にとどまり、全ての低k誘電体層を多孔質にすることに関連する欠陥数を削減する。加えて、ライナに隣接する微細孔によって、この構造は、隣接する導電構造と低k誘電体構造の間で異なる熱膨張率を許容できる。
本発明は以下の本発明による好適な実施形態の詳細な説明を図面を参照しながら読むことでより良く理解できるであろう。
上記のように、多孔質低k誘電体(特に有機低k誘電体)を用いることに関連する1つの問題は、ライナと多孔質低k誘電体の間の境界で発生する。さらに具体的は、ライナの一部は微細孔を充填できるかあるいは微細孔を通して低k誘電体へ拡散でき、それにより誘電体の効果を低減するか、側壁の割れ目(breach)および/もしくはライナの不連続を起こす可能性があり、最後には欠陥となる。そのような問題によりライナを有する多孔質低k有機誘電体をシールするのを難しくする。
本発明では、非多孔質低k誘電体上に薄ライナ(たとえば10乃至500オングストローム)を用いることによってこれらの問題を克服する。本発明では、薄ライナが形成された後、純粋なガスもしくはH、Ar、He、Ne、Xe、N、NH、Nの混合ガスのプラズマ処理(稠密化)を用いる。プラズマ処理の後、更なるライナ材料が引き続いて薄ライナ上に形成され得る。ガス・プラズマは、ライナのグレイン境界を貫通し、低k有機誘電体内に微細孔を形成する。プラズマの使用はライナに変化をもたらさないが、代わりにライナに直接隣接する低k誘電体層の領域のみに影響を及ぼす。従って、本発明はいかなるライナ材料も誘電体層の微細孔に侵入させない。これにより、誘電体層の低い静電容量特性を維持することができ、さらにライナ材料が誘電体層の多孔質部分に侵入する場合に発生する欠陥を避けることもできる。
さらに、本発明によるプロセスでは、ライナに直接隣接する領域内に微細孔を形成するだけである。これにより、低k誘電体層の残存部は非多孔質の状態にとどまり、全ての低k誘電体層を多孔質にすることに関連する欠陥数を削減する。そのような欠陥は微細孔の濃度増加により望ましくない空隙(void)を低k誘電体層内部に形成されるときに発生する。加えて、ライナに隣接する微細孔によって、この構造は、隣接する導電構造と低k誘電体構造の間で異なる熱膨張率を許容できる。代わって、プロセスを多孔質誘電体から始める場合、ガス・プラズマは単にライナに隣接する領域に微細孔を形成する。
本発明の一例を図1に示す。参照番号10は、ハードマスク20がその上に形成される低k有機誘電体層を示す。Ta、TaN、Ti、Ti(Si)NあるいはWを含むグループから選択される任意の1つ以上を含む、初期の薄ライナ(10乃至500オングストローム)30が、パターン化されたウエハ上に共形的に(conformably)形成される。参照番号40は、プラズマH、Ar、He、Ne、Xe、N、NH、あるいはNプラズマへのその構造の露出を示す。上記のように、プラズマ40はライナ30に影響を及ぼさずにライナ30のグレイン境界を貫通する。しかし、プラズマ40はライナ30に境界をなす誘電体層10の領域内に微細孔52を形成する。また、本発明は多孔質誘電体を用いるとき従来構造が直面する、不利益を被らずに誘電体の静電容量を低減させる。
本発明で用いられる構造と方法の別の例を図2乃至5に示す。図2において、金属導体25(Ti、Cu、Ni、Al、Wなど)が基板26内に形成される。低k有機誘電体層10が導体25の上に形成され、いくつかのハードマスク20、21およびエッチング・ストッパ22、23が構造内に含まれ、誘電体層10内にいくつかの開口部29を形成する効率を高める。
図3において、ライナ30は開口部29全体を覆ってライナ30の均一なカバレッジを与える任意の従来の堆積技術(たとえばCVD、PVDなど)を用いてその構造を覆って、ライナ30が堆積される。図4のように、その構造は、プラズマ・ガス40に暴露され、上記のように、ライナ30に隣接する低k誘電体層10の領域内に、ライナに影響を及ぼさずに微細孔52を形成する(図5に示す)。
図5はまた開口部29内に導体を形成する後続のプロセスで用いられるシード材料50に沿って付加的なライナ材料を続けて形成することを示す。従って、本発明による構造は実際には、2つの部分を有するようにライナを含む。ライナの第1の部分30はプラズマ処理の間に用いられる。ライナの第2の部分50は、開口部29を後で充填する導体61のためのシード材料をいくらか含むことができる。
図6と図7は、図5に示す構造と異なる絶縁材料60(SiO、SiCOHなど)とエッチング・ストッパ22の1つの除去に関する小変更を有する同様の構造を示す。図6は、導体61で開口部29を充填するデュアル・ダマシン・プロセスを実施している構造を示す。一方、図7はシングル・ダマシン・プロセスを実施している構造を示す。その他の点では、図6と図7に示す構造は類似しており、図5の構造に関する上記と同様のプロセスが実施される。
本発明によるプロセスを図8においてフローチャートの形式で示す。より具体的には、本発明は有機絶縁膜を形成し(80)、前記絶縁膜をパターン化し(81)、前記絶縁膜の上にライナを堆積し(82)、さらに該構造をプラズマに暴露して前記ライナに隣接する領域の絶縁膜内に微細孔を形成する(83)。ライナは十分薄く形成され(たとえば厚みを制限して)、そのためプラズマがライナを貫通し、絶縁膜内に微細孔を形成することができる。プラズマ処理の間、プラズマはライナに影響を及ぼさずにライナを貫通する。プラズマ処理後、付加的なライナ材料を堆積することもできる。この後、導体が堆積され、さらに導体の余分な部分が該構造から除去され、前記導体は絶縁膜のパターン化された部分内部に残存するのみとなる(84)。
プラズマの使用はライナに変化をもたらさないが、代わりにライナに直接隣接する低k誘電体層の領域のみに影響を及ぼす。従って、本発明はいかなるライナ材料も誘電体層の微細孔に侵入させない。これにより、誘電体層の低い静電容量特性を維持することができ、さらにライナ材料が誘電体層の多孔質部分に侵入する場合に発生する欠陥を避けることもできる。
さらに、本発明によるプロセスでは、ライナに直接隣接する領域内に微細孔を形成するだけである。これにより、低k誘電体層の残存部は非多孔質の状態にとどまり、全ての低k誘電体層を多孔質にすることに関連する欠陥数を削減する。加えて、ライナに隣接する微細孔によって、該構造は、隣接する導電構造と低k誘電体構造の間で異なる熱膨張率を許容できる。
本発明は好適な実施形態について記載されているが、当業者には理解できるように、本発明は特許請求の範囲の精神および範囲内で、変更して実施することが可能である。
本発明で形成される構造の概略図である。 本発明による未完成の構造を示す概略図である。 本発明による薄ライナを示す概略図である。 プラズマ稠密化を示す概略図である。 付加的ライナとCuシードの堆積を示す概略図である。 デュアル・ダマシン構造の概略図である。 シングル・ダマシン構造の概略図である。 本発明の好適な方法を示すフローチャートである。
符号の説明
10 低k有機誘電体層
20、21 ハードマスク
22、23 エッチング・ストッパ
25 導体
26 基板
29 開口部
30 ライナ
40 プラズマ・ガス
50 シード材料
52 微細孔
60 絶縁材料
61 導体

Claims (20)

  1. a)パターン化された構造体を有する有機絶縁層と、
    b)前記パターン化された構造体の裏側を覆うライナと、
    c)前記パターン化された構造体を充填する導体と
    を含む集積回路構造であって、前記絶縁層は前記ライナに接触する領域にそった微細孔を含む集積回路構造。
  2. 前記微細孔は、前記ライナに接触する前記絶縁層の前記領域に沿ってのみ存在する、請求項1に記載の集積回路構造。
  3. 前記ライナは、前記微細孔の内部に存在しない、請求項1に記載の集積回路構造。
  4. 前記絶縁層は、ポリ(アリル)エーテル、フルオロポリイミド、ビスベンゾシクロブタン、およびヒドリドオルガノシロキサン・ポリマーのうちから1つを含む、請求項1に記載の集積回路構造。
  5. 前記絶縁層は、前記微細孔に沿って水素、アルゴン、ヘリウム、キセノン、および窒素のうちの1つの濃度の増加を含む、請求項1に記載の集積回路構造。
  6. 前記ライナは、プラズマが前記ライナを貫通できるように差し支えのない厚みをもつ第1の層および前記第1の層の上に第2の層を含む、請求項1に記載の集積回路構造。
  7. 前記第1の層は、10乃至500オングストロームの厚みを有し、かつTa、TaN、Ti、TiN、およびWを含むグループから選択される1つ以上の材料を含む、請求項6に記載の集積回路構造。
  8. 前記第2の層は、10乃至500オングストロームの厚みを有し、かつTa、TaN、Ti、TiN、WおよびCuを含むグループから選択される1つ以上の材料を含む、請求項6に記載の集積回路構造。
  9. a)パターン化された構造体を有する有機絶縁層と、
    b)前記パターン化された構造体の裏側を覆うライナであって、プラズマが前記ライナを貫通できるように差し支えのない厚みを有する第1の層を含むライナと、
    c)前記パターン化された構造体を充填する導体と、
    を含む集積回路構造であって、前記絶縁層は前記ライナに接触する領域にそった微細孔を含む集積回路構造。
  10. 前記微細孔は、前記ライナに接触する前記絶縁層の前記領域に沿ってのみ存在する、請求項9に記載の集積回路構造。
  11. 前記ライナは、前記微細孔の内部に存在しない、請求項9に記載の集積回路構造。
  12. 前記絶縁層は、ポリ(アリル)エーテル、フルオロポリイミド、ビスベンゾシクロブタン、およびヒドリドオルガノシロキサン・ポリマーのうちから1つを含む、請求項9に記載の集積回路構造。
  13. 前記絶縁層は、前記微細孔に沿って水素、アルゴン、ヘリウム、キセノン、および窒素のうちの1つの濃度の増加を含む、請求項9に記載の集積回路構造。
  14. 前記ライナは、前記第1の層の上に第2の層をさらに含む、請求項9に記載の集積回路構造。
  15. 前記第1の層は、10乃至500オングストロームの厚みを有し、かつTa、TaN、Ti、TiN、およびWを含むグループから選択される1つ以上の材料を含む、請求項14に記載の集積回路構造
  16. 前記第2の層は、10乃至500オングストロームの厚みを有し、かつTa、TaN、Ti、TiN、WおよびCuを含むグループから選択される1つ以上の材料を含む、請求項14に記載の集積回路構造
  17. 集積回路構造内に配線層を形成する方法であって、
    a)有機絶縁層を形成する工程と、
    b)前記絶縁層をパタニングする工程と、
    c)前記絶縁層上にライナを堆積する工程と、
    d)前記構造をプラズマに露出して前記ライナの真下に微細孔を形成する工程と、
    を含む方法。
  18. 前記ライナの前記堆積は、前記プラズマが前記ライナを貫通し、前記絶縁層内に微細孔を形成できるように差し支えのない厚みまで前記ライナを形成する、請求項17に記載の方法。
  19. 前記ライナの前記堆積は、前記ライナが10乃至500オングストロームの厚みを有するように形成する、請求項17に記載の方法。
  20. 前記露出工程の間、前記プラズマは前記ライナに影響を及ぼすことなく、前記ライナを貫通する、請求項17に記載の方法。
JP2004136335A 2003-05-08 2004-04-30 多孔質有機誘電体層を形成する方法 Expired - Fee Related JP4086811B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/249,799 US6921978B2 (en) 2003-05-08 2003-05-08 Method to generate porous organic dielectric

Publications (2)

Publication Number Publication Date
JP2004336051A true JP2004336051A (ja) 2004-11-25
JP4086811B2 JP4086811B2 (ja) 2008-05-14

Family

ID=33415559

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004136335A Expired - Fee Related JP4086811B2 (ja) 2003-05-08 2004-04-30 多孔質有機誘電体層を形成する方法

Country Status (3)

Country Link
US (2) US6921978B2 (ja)
JP (1) JP4086811B2 (ja)
CN (1) CN100382301C (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012023245A (ja) * 2010-07-15 2012-02-02 Renesas Electronics Corp 半導体装置及びその製造方法
US9975966B2 (en) 2014-09-26 2018-05-22 Chugai Seiyaku Kabushiki Kaisha Cytotoxicity-inducing theraputic agent

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6919636B1 (en) 2003-07-31 2005-07-19 Advanced Micro Devices, Inc. Interconnects with a dielectric sealant layer
JP2007053133A (ja) * 2005-08-15 2007-03-01 Toshiba Corp 半導体装置及びその製造方法
US20070278682A1 (en) * 2006-05-31 2007-12-06 Chung-Chi Ko Self-assembled mono-layer liner for cu/porous low-k interconnections
JP2009147096A (ja) * 2007-12-14 2009-07-02 Panasonic Corp 半導体装置及びその製造方法
US7875519B2 (en) * 2008-05-21 2011-01-25 Intel Corporation Metal gate structure and method of manufacturing same
US8679970B2 (en) * 2008-05-21 2014-03-25 International Business Machines Corporation Structure and process for conductive contact integration
US8980740B2 (en) 2013-03-06 2015-03-17 Globalfoundries Inc. Barrier layer conformality in copper interconnects
US9613906B2 (en) * 2014-06-23 2017-04-04 GlobalFoundries, Inc. Integrated circuits including modified liners and methods for fabricating the same

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5472913A (en) * 1994-08-05 1995-12-05 Texas Instruments Incorporated Method of fabricating porous dielectric material with a passivation layer for electronics applications
US6214423B1 (en) * 1998-04-16 2001-04-10 Texas Instruments Incorporated Method of forming a polymer on a surface
US6171945B1 (en) * 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
US6159842A (en) * 1999-01-11 2000-12-12 Taiwan Semiconductor Manufacturing Company Method for fabricating a hybrid low-dielectric-constant intermetal dielectric (IMD) layer with improved reliability for multilevel interconnections
US6399666B1 (en) * 1999-01-27 2002-06-04 International Business Machines Corporation Insulative matrix material
JP3888794B2 (ja) * 1999-01-27 2007-03-07 松下電器産業株式会社 多孔質膜の形成方法、配線構造体及びその形成方法
JP3084367B1 (ja) * 1999-03-17 2000-09-04 キヤノン販売株式会社 層間絶縁膜の形成方法及び半導体装置
US6277765B1 (en) * 1999-08-17 2001-08-21 Intel Corporation Low-K Dielectric layer and method of making same
US6420441B1 (en) * 1999-10-01 2002-07-16 Shipley Company, L.L.C. Porous materials
US6342454B1 (en) * 1999-11-16 2002-01-29 International Business Machines Corporation Electronic devices with dielectric compositions and method for their manufacture
GB0001179D0 (en) * 2000-01-19 2000-03-08 Trikon Holdings Ltd Methods & apparatus for forming a film on a substrate
US6326692B1 (en) * 2000-02-23 2001-12-04 Advanced Micro Devices, Inc. Insulating and capping structure with preservation of the low dielectric constant of the insulating layer
US6284657B1 (en) * 2000-02-25 2001-09-04 Chartered Semiconductor Manufacturing Ltd. Non-metallic barrier formation for copper damascene type interconnects
JP2001308175A (ja) * 2000-04-21 2001-11-02 Nec Corp 半導体装置及びその製造方法
US6323121B1 (en) * 2000-05-12 2001-11-27 Taiwan Semiconductor Manufacturing Company Fully dry post-via-etch cleaning method for a damascene process
AU2001288954A1 (en) * 2000-09-13 2002-03-26 Shipley Company, L.L.C. Electronic device manufacture
EP1195801B1 (en) * 2000-09-29 2014-01-29 Imec Process for plasma treating an isolation layer with low permittivity
US6451712B1 (en) * 2000-12-18 2002-09-17 International Business Machines Corporation Method for forming a porous dielectric material layer in a semiconductor device and device formed
US6355563B1 (en) * 2001-03-05 2002-03-12 Chartered Semiconductor Manufacturing Ltd. Versatile copper-wiring layout design with low-k dielectric integration
US6964919B2 (en) * 2002-08-12 2005-11-15 Intel Corporation Low-k dielectric film with good mechanical strength
US7404990B2 (en) * 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012023245A (ja) * 2010-07-15 2012-02-02 Renesas Electronics Corp 半導体装置及びその製造方法
US9337093B2 (en) 2010-07-15 2016-05-10 Renesas Electronics Corporation Method of manufacturing semiconductor device
US9975966B2 (en) 2014-09-26 2018-05-22 Chugai Seiyaku Kabushiki Kaisha Cytotoxicity-inducing theraputic agent
US11001643B2 (en) 2014-09-26 2021-05-11 Chugai Seiyaku Kabushiki Kaisha Cytotoxicity-inducing therapeutic agent

Also Published As

Publication number Publication date
JP4086811B2 (ja) 2008-05-14
US7101784B2 (en) 2006-09-05
US6921978B2 (en) 2005-07-26
US20040224494A1 (en) 2004-11-11
CN100382301C (zh) 2008-04-16
CN1551346A (zh) 2004-12-01
US20050200024A1 (en) 2005-09-15

Similar Documents

Publication Publication Date Title
JP5430946B2 (ja) 相互接続構造体形成方法
US7078352B2 (en) Methods for selective integration of airgaps and devices made by such methods
US7358148B2 (en) Adjustable self-aligned air gap dielectric for low capacitance wiring
US7998855B2 (en) Solving via-misalignment issues in interconnect structures having air-gaps
US7538028B2 (en) Barrier layer, IC via, and IC line forming methods
US7871923B2 (en) Self-aligned air-gap in interconnect structures
US7101784B2 (en) Method to generate porous organic dielectric
US20080128907A1 (en) Semiconductor structure with liner
US20030218253A1 (en) Process for formation of a wiring network using a porous interlevel dielectric and related structures
KR20040003232A (ko) 반도체 소자의 다층 배선 형성방법
KR20090123538A (ko) 에어갭을 갖는 층간 절연막의 형성 방법
JP2011014904A (ja) ビアがガウジングされた相互接続構造体及びその製造方法
JP2006510195A (ja) キャップ層を有する半導体相互接続構造上に金属層を堆積させる方法
JP2006093351A (ja) 半導体装置およびその製造方法
JP5823359B2 (ja) 半導体装置の製造方法
JP4465211B2 (ja) 金属埋立て方法
JP2005005697A (ja) 半導体装置の製造方法
KR100545196B1 (ko) 반도체 소자의 금속 배선 형성 방법
JP2006060011A (ja) 半導体装置の製造方法
JP2011142169A (ja) 半導体装置及びその製造方法
KR100789612B1 (ko) 금속 배선 형성 방법
KR20040077311A (ko) 금속배선의 듀얼 다마신 방법
JP2005217346A (ja) 半導体装置およびその製造方法
KR20100074647A (ko) 반도체 소자의 도전성 패턴 형성방법
KR20040006414A (ko) 반도체 소자의 금속 배선 형성 방법

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20060905

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070910

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071128

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080212

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080219

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110228

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110228

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110228

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120229

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120229

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130228

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130228

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140228

Year of fee payment: 6

LAPS Cancellation because of no payment of annual fees