KR20090123538A - 에어갭을 갖는 층간 절연막의 형성 방법 - Google Patents

에어갭을 갖는 층간 절연막의 형성 방법 Download PDF

Info

Publication number
KR20090123538A
KR20090123538A KR1020080049675A KR20080049675A KR20090123538A KR 20090123538 A KR20090123538 A KR 20090123538A KR 1020080049675 A KR1020080049675 A KR 1020080049675A KR 20080049675 A KR20080049675 A KR 20080049675A KR 20090123538 A KR20090123538 A KR 20090123538A
Authority
KR
South Korea
Prior art keywords
layer
metal
air gap
insulating film
film
Prior art date
Application number
KR1020080049675A
Other languages
English (en)
Other versions
KR101382564B1 (ko
Inventor
윤종호
이종명
최길현
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020080049675A priority Critical patent/KR101382564B1/ko
Priority to US12/364,598 priority patent/US7842600B2/en
Publication of KR20090123538A publication Critical patent/KR20090123538A/ko
Application granted granted Critical
Publication of KR101382564B1 publication Critical patent/KR101382564B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05026Disposition the internal layer being disposed in a recess of the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05639Silver [Ag] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05669Platinum [Pt] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00014Technical content checked by a classifier the subject-matter covered by the group, the symbol of which is combined with the symbol of this group, being disclosed without further technical details

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

에어갭을 포함하는 층간 절연막의 형성방법을 제공한다. 본 발명의 에어갭을 포함하는 층간 절연막의 형성방법은 반도체 기판 위에 제1 절연막을 형성하는 단계; 상기 제1 절연막 내에 트렌치를 형성하는 단계; 상기 트렌치 상부에 리세스를 갖도록 상기 트렌치 내에 금속 배선을 형성하는 단계; 금속막을 상기 금속배선 위로 선택적으로 형성하되, 상기 금속막은 상기 리세스를 채우는 캐핑막 부분, 상기 캐핑막 위로 형성된 캐핑막 위 부분과 상기 캐핑막 위 부분에서 옆으로 돌출되어 상기 트렌치 주위의 상기 제1 절연막 위로 형성된 오버행 부분을 갖도록 형성하는 단계; 상기 제1 절연막을 제거하는 단계; 상기 제1 절연막이 제거된 반도체 기판의 전면에 상기 금속막을 덮도록 제2 절연막을 형성하되, 상기 제2 절연막은 상기 금속막의 오버행 아래 부분이 채워지지 않는 에어갭을 갖도록 형성하는 단계; 상기 금속막의 상기 캐핑막 위 부분이 노출되도록 상기 제2 절연막을 제거하는 단계; 상기 캐핑막 부분만 남기고 상기 금속막을 제거하는 단계; 및 상기 캐핑막 부분만 남기고 상기 금속막이 제거된 상기 반도체 기판 위로 상기 에어갭이 유지되도록 제3 절연막을 형성하는 단계;를 포함한다.
금속 배선, 오버행, 에어갭, 절연막

Description

에어갭을 갖는 층간 절연막의 형성 방법{Method for formation of interlayer dielectric having air gap}
본 발명은 반도체 소자의 층간 절연막을 형성하는 방법에 관한 것이며, 더욱 상세하게는 에어갭을 갖는 층간 절연막을 형성하는 방법에 관한 것이다.
집적도 향상을 위하여 반도체 소자의 크기가 꾸준히 축소함에 따라 회로의 배선과 관련하여 RC 지연(RC delay)이 소자 속도에 영향을 주는 제한 인자(limiting factor)가 되고 있다. RC 지연을 낮추기 위하여 배선 물질로 저항이 낮은 구리(copper)와 층간 절연막으로 저유전율(low-k) 물질이 사용되고 있다.
그러나 저유전율 물질의 사용은 새로운 물질의 개발과 소자로의 적용을 요구하므로 매우 많은 비용이 소모된다. 또한 저유전율 물질이 사용되어도 식각 정지막(stopper layer)이나 장벽층(barrier layer)에 사용되는 물질의 영향을 모두 고려하면 유효 유전 상수(effective k)가 커지게 된다. 나아가 저유전율 물질은 열적 안정성(thermal stability)이 떨어져서 비트 라인 형성을 위한 이중 패터닝 공정에서 마스크 물질로 사용되는 폴리 실리콘 형성시 가해지는 열에 의하여 저유전율 물질의 특성이 변하여 유전율이 높아지게 된다.
최근에는 배선 라인들 사이의 IMD(inter metal dielectrid, 금속간 절연막)에 에어갭(air gap)을 도입하는 공정이 사용되고 있다. 공기의 유전 상수가 1이므로 에어갭을 포함하는 IMD는 유효 유전 상수(effective k)가 작아진다.
도 1은 종래의 기술에 의한 다층 배선에서의 에어갭 형성을 보여주는 단면도이다. 도 1에서 반도체 기판(10) 위에 제1 층 구리 패턴(20)와 제2 층 구리 패턴(40) 사이에 이들 패턴보다 폭이 좁은 구리 라인(40)이 형성되어 있다. 저유전율 절연막(50)은 제2층 구리 배선(40) 패턴을 가리개로 하여 증착되어 제2층 구리 배선(40) 패턴 아래로 에어갭(55)을 형성하게 된다. 따라서 에어갭을 형성하기 위하여 금속 배선의 라인보다 폭이 큰 패턴의 형성이 요구되는데, 비트 라인과 같이 다층 배선 구조가 아닌 경우에는 에어갭 형성을 위한 희생 패턴이 요구된다.
본 발명의 목적은 금속 배선 사이에 저유전율의 절연막을 형성하기 위하여 에어갭을 포함하는 절연막을 형성하는 방법을 제공하는 데 있다.
본 발명의 목적을 달성하기 위한 에어갭을 포함하는 절연막의 형성방법은 반도체 기판 위에 제1 절연막을 형성하는 단계; 상기 제1 절연막 내에 트렌치를 형성하는 단계; 상기 트렌치 상부에 리세스를 갖도록 상기 트렌치 내에 금속 배선을 형성하는 단계; 금속막을 상기 금속배선 위로 선택적으로 형성하되, 상기 금속막은 상기 리세스를 채우는 캐핑막 부분, 상기 캐핑막 위로 형성된 캐핑막 위 부분과 상 기 캐핑막 위 부분에서 옆으로 돌출되어 상기 트렌치 주위의 상기 제1 절연막 위로 형성된 오버행 부분을 갖도록 과성장하는 단계; 상기 제1 절연막을 제거하는 단계; 상기 제1 절연막이 제거된 반도체 기판의 전면에 상기 금속막을 덮도록 제2 절연막을 형성하되, 상기 제2 절연막은 상기 금속막의 오버행 아래 부분이 채워지지 않는 에어갭을 갖도록 형성하는 단계; 상기 금속막의 상기 캐핑막 위 부분이 노출되도록 상기 제2 절연막을 제거하는 단계; 상기 캐핑막 부분만 남기고 상기 금속막을 제거하는 단계; 및 상기 캐핑막 부분만 남기고 상기 금속막이 제거된 상기 반도체 기판 위로 상기 에어갭이 유지되도록 제3 절연막을 형성하는 단계;를 포함한다.
상기 제1 절연막의 형성 전 상기 반도체 기판 위에 식각 정지막을 형성할 수 있다.
상기 금속배선을 형성하기 전에 상기 트렌치의 측벽과 바닥에 장벽막을 형성하는 단계를 더 포함할 수 있다.
상기 금속 배선은 무전해 도금법에 의하여 형성할 수 있다. 상기 금속 배선은 Cu, Au 또는 Ag으로 형성하거나 이들의 합금, 이들의 이중충 또는 이들의 다중층으로 형성할 수 있다. 이때 상기 금속 배선은 P, B, W, Mn 또는 Mo 의 불순물을 포함하도록 형성할 수 있다.
상기 금속막은 무전해 도금법에 의하여 형성할 수 있다. 상기 금속막은 Co, Ni, Pt, Pd, Rh, Ru 또는 Re 으로 형성하거나 이들의 합금, 이들의 이중층 또는 이들의 다중층으로 형성할 수 있다. 상기 금속막은 P, B, W, Mn 또는 Mo 의 불순물을 포함하도록 형성할 수 있다.
상기 제1 절연막은 상기 금속 배선 사이에 보이드를 갖도록 형성할 수 있다. 상기 제1 절연막은 습식각에 의하여 선택적으로 제거할 수 있다.
상기 금속막을 인산, 아세트산 및 질산의 혼합용액을 사용한 습식각으로 제거할 수 있다.
상기 제2 절연막은 유전율 1.5~4 의 저유전율 절연막으로 형성할 수 있다. 상기 제2 절연막은 HOSP, HSQ, SiLKTM, FlareTM, Black-DiamondTM 또는 이들의 물질에 기공도(porosity)를 증가시킨 물질들로 형성할 수 있다.
상기 제3 절연막은 상기 제2 절연막과 같은 물질로 형성할 수 있다. 상기 제3 절연막은 실리콘 산화막으로 형성할 수 있다.
본 발명에 의하면 금속 배선의 캐핑막으로 사용되는 금속막을 오버행을 갖도록 선택적으로 과성장시킨다. 이 오버행을 이용하여 금속 배선 사이의 층간 절연막 내에 에어갭을 형성므로 금속 배선 위에 별도의 패터닝을 할 필요가 없어서 공정이 단순화될 수 있다.
또 한편, 금속 배선이 형성된 후 층간 절연막을 형성하므로 층간 절연막의 열적 안정성을 보장할 수 있다.
이하, 첨부된 도면을 참조하여 본 발명의 바람직한 실시예를 상세히 설명하기로 한다. 그러나, 본 발명은 여기서 설명되는 실시예들에 한정되지 않고 다른 형 태로 구체화될 수도 있다. 오히려, 여기서 소개되는 실시예들은 개시된 내용이 철저하고 완전해질 수 있도록 그리고 당업자에게 본 발명의 사상이 충분히 전달될 수 있도록 하기 위해 제공되는 것이다. 도면들에 있어서, 층 및 영역들의 두께는 명확성을 기하여 위하여 과장된 것이다. 명세서 전체에 걸쳐서 동일한 참조번호들은 동일한 구성요소들을 나타낸다.
본 발명에서 금속 배선은 비트 라인을 포함하여 지칭된다.
도 2a 내지 도 2h는 본 발명의 일 실시예에 따른 에어갭을 포함하는 층간 절연막을 형성하는 방법을 공정 순서대로 도시한 단면도들이다.
도 2a를 참조하면, 반도체 기판(100) 위에 식각 정지막(110)과 희생 절연막(120)을 순차적으로 형성한 후 희생 절연막(120)을 식각하여 금속 배선의 형성을 위한 트렌치(121)을 형성한다. 희생 절연막(120)은 이후 제거되므로 저유전율 물질로 형성할 필요가 없으며 실리콘 산화막으로 형성할 수 있다. 식각 정지막(110)은 희생 절연막(120)과 식각 선택비가 있는 물질로 형성하며 실리콘 질화막으로 형성할 수 있다.
도 2b를 참조하면, 트렌치(121) 내에 장벽층(barrier layer)(132)과 금속 배선(134)을 형성한다. 금속 배선(134)은 무전해 도금에 의하여 구리(Cu), 백금(Au) 또는 은(Ag)으로 형성할 수 있으며, 또는 이들의 합금이나 이들의 이중층 또는 이들의 다중층으로 형성할 수 있다. 한편, 이들 금속 배선을 위한 물질은 P, B, W, Mn 또는 Mo 의 불순물을 포함할 수도 있다. 또 한편, 금속 배선의 형성을 위한 시드층(seed layer)(미도시)을 사용할 수 있다. 금속 배선(134)은 트렌치(121)의 상 부에 리세스(123)를 남기도록 형성한다. 장벽층(132)은 금속 배선(134)의 구리의 확산을 방지하는 역할을 하며, Ta, TaN, SiC 등의 물질을 사용할 수 있다.
도 2c를 참조하면, 금속 배선(134) 위로 금속막(136)을 선택적으로 형성한다. 금속막(136)은 반도체 기판(100)의 전면에 형성되는 것이 아니라 무전해 도금법에 의하여 금속 배선(134) 위로부터 선택적으로 형성된다. 금속막(136)을 Co, Ni, Pt, Pd, Rh, Ru 또는 Re 으로 형성하거나 이들의 합금, 이들의 이중층 또는 이들의 다중층으로 형성할 수 있다. 한편, 금속막(136)은 금속막은 P, B, W, Mn 또는 Mo의 불순물을 포함하도록 형성할 수 있다. 금속막(136)은 금속 배선(134) 위의 리세스(123)를 채우고 있는 캐핑막 부분(136a)과 캐핑막 부분(136a) 위의 마스크 부분(136b, 136c)을 포함하도록 형성된다. 마스크 부분(136b, 136c)은 캐핑막(136a)을 덮는 캐핑막 위 부분(136b)과 트렌치(121) 주위의 희생 절연막(120)을 덮는 오버행 부분(136c)으로 구별할 수 있다. 이때 마스크 부분(136b, 136c)은 캐핑막 부분(136a)으로부터 과성장(overgrowth)하여 형성되며 상면이 둥글게 형성될 수 있다.
도 2d를 참조하면, 희생 절연막(120)을 습식각에 의하여 제거한다. 금속막(136)의 오버행(136c) 아래의 부분을 포함하여 희생 절연막(120)이 모두 제거되고 반도체 기판(100) 위에는 장벽막(132)과 금속막(136)에 둘러싸인 금속 배선(134) 패턴이 남는다.
도 2e를 참조하면, 희생 절연막(120)이 제거된 반도체 기판(100) 위에 제2 절연막(122)을 형성한다. 제2 절연막(122)은 콘포멀하지 않게 형성한다. 제2 절연 막(122)은 저유전율 절연막(low k dielectric)으로 형성할 수도 있고, 일반적인 실리콘 산화막으로 형성할 수도 있다. 저유전율 절연막은 유전 상수(dielectric constant)가 1.5 내지 4 인 유전물질(dielectric)을 지칭한다. 저유전율 절연막은 HOSP(hybrid-organic-siloxane-polymer), HSQ(hydrogen silsesquioxane)와 같은 무기계 폴리머(ceramic polymer), SiLKTM, FlareTM , Black-DiamondTM 와 같은 유기 물질 또는 이들의 물질에 기공도(porosity)를 증가시킨 물질들을 사용할 수 있다. 제2 절연막(122)의 형성시 코발트막의 오버행(136c) 부분이 증착 가리개로 작용하여 제2 절연막(122)은 코발트막의 오버행(136c) 아래에 에어갭(125)을 형성한다. 한편, 제2 절연막(122)은 콘포멀하지 않은 증착에 의하여 금속 배선(130) 사이에 보이드(127)를 더 형성할 수 있다.
도 2f를 참조하면, 금속막(136)의 캐핑막 위 부분(136b)이 노출되도록 제2 절연막(122)을 제거한다. 금속막(136)이 과성장되어 상면이 둥글게 형성되므로 캐핑막 위 부분(136b)만 노출되도록 제2 절연막(122)을 제거할 수 있다. 제2 절연막(122)은 에치백에 의하여 제거될 수 있으며, 코발트막의 오버행(136c) 부분은 노출되지 않도록 한다.
도 2g를 참조하면, 코발트막의 캐핑막 부분(136a)만 남기고 코발트막의 마스크 부분(136b, 136c)를 제거한다. 이때 코발트막의 마스크 부분(136b, 136c)는 인산(phosphoric acid), 초산(acetic acid) 및 질산(nitric acid)의 혼합용액인 PAN 용액을 사용하여 습식각에 의하여 제거할 수 있다. 코발트막의 마스크 부분(136b, 136c)가 제거되면 에어갭(125) 부분 위로 제2 절연막(122)이 돌출되어 남게 된다. 캐핑막(136a)은 구리 배선의 구리가 층간 절연막으로 확산되는 것을 방지한다.
도 2h를 참조하면, 코발트막의 마스크 부분(136b, 136c)가 제거된 반도체 기판(100) 위로 제3 절연막(124)을 형성한다. 제3 절연막(124)은 콘포멀하지 않게 형성한다. 에어갭(125) 부분 위로 돌출된 제2 절연막(122)이 증착 가리개로 작용하여 제3 절연막(124)은 에어갭(125)을 채우지 않도록 형성될 수 있다. 제3 절연막(124)은 제2 절연막(122)와 동일한 물질로 형성할 수 있고, 또는 다른 물질로 형성할 수 있다. 제3 절연막(124)을 형성하면 에어갭(125)과 보이드(125)를 갖는 층간 절연막이 형성된다.
본 발명은 비트 라인을 포함하여 단층 배선 구조 뿐만 아니라 다층 배선 구조에도 적용될 수 있다.
본 발명에서는 금속 배선 위의 캐핑막이 희생 절연막 위로 오버행을 갖도록 과성장시켜서, 희생 절연막을 제거한 후 새로운 절연막을 증착할 때 캐핑막의 오버행이 가리개가 되어서 절연막 내에 에어갭이 형성되도록 한다. 비트 라인 공정과 같이 다중 배선이 아닌 단일 배선을 형성하는 경우에 에어갭을 형성하기 위하여 금속 배선 위에 금속 배선의 폭 보다 큰 패턴을 별도로 형성하여야 한다. 본 발명에 의하면 캐핑막을 과성장시켜서 에어갭을 형성하므로 금속 배선 위에 별도의 패터닝을 할 필요가 없어서 공정이 단순화될 수 있다.
또 한편, 이중 패터닝 공정에 의한 비트 라인 형성 시 폴리 실리콘 하드마스크 형성시 발생하는 열에 의하여 저유전율 절연막의 저유전율 특성이 파괴될 수 있 는데, 본 발명에서는 금속 배선이 형성된 후 층간 절연막을 형성하므로 층간 절연막의 열적 안정성을 보장할 수 있다.
이상에서 본 발명의 실시예에 대하여 상세히 설명하였지만, 설명한 본 발명은 전술한 실시예 및 첨부된 도면에 의해 한정되는 것이 아니고, 본 발명의 기술적 사상을 벗어나지 않는 범위 내에서 여러 가지 치환, 변형 및 변경이 가능하다는 것이 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 있어 명백할 것이다.
도 1은 종래의 기술에 의한 다층 배선에서의 에어갭 형성을 보여주는 단면도이다.
도 2a 내지 도 2h는 본 발명의 일 실시예에 따른 에어갭을 포함하는 층간 절연막을 형성하는 방법을 공정 순서대로 도시한 단면도들이다.
* 도면의 주요 부분에 대한 부호의 설명 *
100: 반도체 기판 110: 식각 정지막
120: 희생 절연막 121: 트렌치
122: 제2 절연막 124: 제3 절연막
125: 에어갭 127: 보이드
132: 장벽막 134: 금속 배선
136: 금속막

Claims (16)

  1. 반도체 기판 위에 제1 절연막을 형성하는 단계;
    상기 제1 절연막 내에 트렌치를 형성하는 단계;
    상기 트렌치 상부에 리세스를 갖도록 상기 트렌치 내에 금속 배선을 형성하는 단계;
    금속막을 상기 금속배선 위로 선택적으로 형성하되, 상기 금속막은 상기 리세스를 채우는 캐핑막 부분, 상기 캐핑막 위로 형성된 캐핑막 위 부분과 상기 캐핑막 위 부분에서 옆으로 돌출되어 상기 트렌치 주위의 상기 제1 절연막 위로 형성된 오버행 부분을 갖도록 형성하는 단계;
    상기 제1 절연막을 제거하는 단계;
    상기 제1 절연막이 제거된 반도체 기판의 전면에 상기 금속막을 덮도록 제2 절연막을 형성하되, 상기 제2 절연막은 상기 금속막의 오버행 아래 부분이 채워지지 않는 에어갭을 갖도록 형성하는 단계;
    상기 금속막의 상기 캐핑막 위 부분이 노출되도록 상기 제2 절연막을 제거하는 단계;
    상기 캐핑막 부분만 남기고 상기 금속막을 제거하는 단계; 및
    상기 캐핑막 부분만 남기고 상기 금속막이 제거된 상기 반도체 기판 위로 상기 에어갭이 유지되도록 제3 절연막을 형성하는 단계;를 포함하는 에어갭을 포함하는 층간 절연막의 형성방법.
  2. 제1 항에 있어서, 상기 제1 절연막의 형성 전 상기 반도체 기판 위에 식각 정지막을 형성하는 단계를 더 포함하는 에어갭을 포함하는 층간 절연막의 형성방법.
  3. 제1 항에 있어서, 상기 금속배선을 형성하기 전에 상기 트렌치의 측벽과 바닥에 장벽막을 형성하는 단계를 더 포함하는 에어갭을 포함하는 층간 절연막의 형성방법.
  4. 제1 항에 있어서, 상기 금속 배선은 무전해 도금법에 의하여 형성하는 에어갭을 포함하는 층간 절연막의 형성방법.
  5. 제1 항에 있어서, 상기 금속 배선은 Cu, Au 또는 Ag으로 형성하거나 이들의 합금, 이들의 이중충 또는 이들의 다중층으로 형성하는 에어갭을 포함하는 층간 절연막의 형성방법.
  6. 제5 항에 있어서, 상기 금속 배선은 P, B, W, Mn 또는 Mo 의 불순물을 포함하도록 형성하는 에어갭을 포함하는 층간 절연막의 형성방법.
  7. 제1 항에 있어서, 상기 금속막은 무전해 도금법에 의하여 형성하는 에어갭을 포함하는 층간 절연막의 형성방법.
  8. 제1 항에 있어서, 상기 금속막은 Co, Ni, Pt, Pd, Rh, Ru 또는 Re 으로 형성하거나 이들의 합금, 이들의 이중층 또는 이들의 다중층으로 형성하는 에어갭을 포함하는 층간 절연막의 형성방법.
  9. 제8 항에 있어서, 상기 금속막은 P, B, W, Mn 또는 Mo 의 불순물을 포함하도록 형성하는 에어갭을 포함하는 층간 절연막의 형성방법.
  10. 제1 항에 있어서, 상기 제1 절연막은 상기 금속 배선 사이에 보이드를 갖도록 형성하는 에어갭을 포함하는 층간 절연막의 형성방법.
  11. 제1 항에 있어서, 상기 제1 절연막은 습식각에 의하여 선택적으로 제거하는 에어갭을 포함하는 층간 절연막의 형성방법.
  12. 제1 항에 있어서, 상기 금속막을 인산, 아세트산 및 질산의 혼합용액을 사용한 습식각으로 제거하는 에어갭을 포함하는 층간 절연막의 형성방법.
  13. 제1 항에 있어서, 상기 제2 절연막은 유전율 1.5 ~ 4 의 저유전율 절연막으로 형성하는 에어갭을 포함하는 층간 절연막의 형성방법.
  14. 제13 항에 있어서, 상기 제2 절연막은 HOSP, HSQ, SiLKTM, FlareTM , Black-DiamondTM 또는 이들의 물질에 기공도(porosity)를 증가시킨 물질들로 형성하는..
  15. 제1 항에 있어서, 상기 제3 절연막은 상기 제2 절연막과 같은 물질로 형성하는 에어갭을 포함하는 층간 절연막의 형성방법.
  16. 제1 항에 있어서, 상기 제3 절연막은 실리콘 산화막으로 형성하는 에어갭을 포함하는 층간 절연막의 형성방법.
KR1020080049675A 2008-05-28 2008-05-28 에어갭을 갖는 층간 절연막의 형성 방법 KR101382564B1 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020080049675A KR101382564B1 (ko) 2008-05-28 2008-05-28 에어갭을 갖는 층간 절연막의 형성 방법
US12/364,598 US7842600B2 (en) 2008-05-28 2009-02-03 Methods of forming interlayer dielectrics having air gaps

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020080049675A KR101382564B1 (ko) 2008-05-28 2008-05-28 에어갭을 갖는 층간 절연막의 형성 방법

Publications (2)

Publication Number Publication Date
KR20090123538A true KR20090123538A (ko) 2009-12-02
KR101382564B1 KR101382564B1 (ko) 2014-04-10

Family

ID=41380365

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080049675A KR101382564B1 (ko) 2008-05-28 2008-05-28 에어갭을 갖는 층간 절연막의 형성 방법

Country Status (2)

Country Link
US (1) US7842600B2 (ko)
KR (1) KR101382564B1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101402962B1 (ko) * 2012-04-13 2014-06-03 한국생산기술연구원 반도체 금속배선내 에어갭 형성 방법
KR20190134203A (ko) * 2018-05-25 2019-12-04 주식회사 디비하이텍 에어갭이 형성된 알에프 스위치 소자 및 제조방법
KR20210125113A (ko) * 2014-04-01 2021-10-15 어플라이드 머티어리얼스, 인코포레이티드 집적된 금속 스페이서 및 에어 갭 인터커넥트

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7868455B2 (en) * 2007-11-01 2011-01-11 Taiwan Semiconductor Manufacturing Company, Ltd. Solving via-misalignment issues in interconnect structures having air-gaps
US8232618B2 (en) 2010-08-11 2012-07-31 International Business Machines Corporation Semiconductor structure having a contact-level air gap within the interlayer dielectrics above a semiconductor device and a method of forming the semiconductor structure using a self-assembly approach
US8497203B2 (en) 2010-08-13 2013-07-30 International Business Machines Corporation Semiconductor structures and methods of manufacture
US8575000B2 (en) * 2011-07-19 2013-11-05 SanDisk Technologies, Inc. Copper interconnects separated by air gaps and method of making thereof
KR20140046698A (ko) * 2012-10-10 2014-04-21 에스케이하이닉스 주식회사 반도체 장치 및 그의 제조 방법
CN103151301A (zh) * 2013-02-25 2013-06-12 上海宏力半导体制造有限公司 半导体器件的形成方法
KR102224518B1 (ko) * 2013-06-24 2021-03-08 삼성전자주식회사 더블 패터닝 레이아웃 설계 방법
KR102119829B1 (ko) * 2013-09-27 2020-06-05 에스케이하이닉스 주식회사 반도체 장치 및 그 제조 방법
KR102057855B1 (ko) 2013-11-13 2019-12-20 삼성전자 주식회사 반도체 소자 및 그 제조 방법
US9159671B2 (en) * 2013-11-19 2015-10-13 International Business Machines Corporation Copper wire and dielectric with air gaps
KR102146705B1 (ko) * 2013-12-23 2020-08-21 삼성전자주식회사 반도체 소자의 배선 구조물 및 그 형성 방법
JP6295802B2 (ja) * 2014-04-18 2018-03-20 ソニー株式会社 高周波デバイス用電界効果トランジスタおよびその製造方法、ならびに高周波デバイス
US9263389B2 (en) 2014-05-14 2016-02-16 International Business Machines Corporation Enhancing barrier in air gap technology
US9269668B2 (en) 2014-07-17 2016-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect having air gaps and polymer wrapped conductive lines
KR102238951B1 (ko) * 2014-07-25 2021-04-12 에스케이하이닉스 주식회사 에어갭을 구비한 반도체장치 및 그 제조 방법
US9847249B2 (en) * 2014-11-05 2017-12-19 Sandisk Technologies Llc Buried etch stop layer for damascene bit line formation
US9305836B1 (en) 2014-11-10 2016-04-05 International Business Machines Corporation Air gap semiconductor structure with selective cap bilayer
KR102403741B1 (ko) 2015-06-16 2022-05-30 삼성전자주식회사 반도체 장치
US9865738B2 (en) * 2016-04-29 2018-01-09 Samsung Electronics Co., Ltd. Fin field effect transistor (FinFET) having air gap and method of fabricating the same
KR102658192B1 (ko) * 2016-07-27 2024-04-18 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조 방법
US10727114B2 (en) 2017-01-13 2020-07-28 International Business Machines Corporation Interconnect structure including airgaps and substractively etched metal lines
CN113611655A (zh) * 2021-06-11 2021-11-05 联芯集成电路制造(厦门)有限公司 半导体结构及其制作方法
US11923243B2 (en) * 2021-08-30 2024-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having air gaps and method for manufacturing the same
CN115775788A (zh) * 2021-09-07 2023-03-10 长鑫存储技术有限公司 半导体结构及其制作方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5599745A (en) * 1995-06-07 1997-02-04 Micron Technology, Inc. Method to provide a void between adjacent conducting lines in a semiconductor device
US6423629B1 (en) * 2000-05-31 2002-07-23 Kie Y. Ahn Multilevel copper interconnects with low-k dielectrics and air gaps
KR20020004729A (ko) * 2000-07-07 2002-01-16 윤종용 트렌치 소자분리 방법 및 그 구조
US6524948B2 (en) * 2000-10-13 2003-02-25 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for fabricating the same
US6838354B2 (en) * 2002-12-20 2005-01-04 Freescale Semiconductor, Inc. Method for forming a passivation layer for air gap formation
KR100478270B1 (ko) * 2003-02-04 2005-03-23 동부아남반도체 주식회사 에어갭을 갖는 셀로우 트렌치 소자 분리막 및 그 제조 방법
WO2004105122A1 (en) 2003-05-26 2004-12-02 Koninklijke Philips Electronics N.V. Method of manufacturing a substrate, having a porous dielectric layer and air gaps, and a substrate
JP4864307B2 (ja) 2003-09-30 2012-02-01 アイメック エアーギャップを選択的に形成する方法及び当該方法により得られる装置
DE102004050391B4 (de) 2004-10-15 2007-02-08 Infineon Technologies Ag Verfahren zum Herstellen einer Schicht-Anordnung und Schicht-Anordnung
US7666753B2 (en) * 2007-01-11 2010-02-23 International Business Machines Corporation Metal capping process for BEOL interconnect with air gaps
JP2009188250A (ja) * 2008-02-07 2009-08-20 Panasonic Corp 半導体装置及びその製造方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101402962B1 (ko) * 2012-04-13 2014-06-03 한국생산기술연구원 반도체 금속배선내 에어갭 형성 방법
KR20210125113A (ko) * 2014-04-01 2021-10-15 어플라이드 머티어리얼스, 인코포레이티드 집적된 금속 스페이서 및 에어 갭 인터커넥트
KR20190134203A (ko) * 2018-05-25 2019-12-04 주식회사 디비하이텍 에어갭이 형성된 알에프 스위치 소자 및 제조방법

Also Published As

Publication number Publication date
US20090298282A1 (en) 2009-12-03
US7842600B2 (en) 2010-11-30
KR101382564B1 (ko) 2014-04-10

Similar Documents

Publication Publication Date Title
KR101382564B1 (ko) 에어갭을 갖는 층간 절연막의 형성 방법
JP4832807B2 (ja) 半導体装置
US8120179B2 (en) Air gap interconnect structures and methods for forming the same
KR101433410B1 (ko) BEoL 상호접속 구조물에서의 보다 낮은 전체 유전율을 위한 프로세스 통합 방식
TWI660457B (zh) 具有減少低-k介電質損壞的鑲嵌結構之製程
TW201133710A (en) Method of forming a through-silicon
CN103515304A (zh) 无蚀刻损伤和esl的双镶嵌金属互连件
CN106057772A (zh) 互连结构及其形成方法
CN104425451A (zh) 具有衬底通孔结构的器件及其形成方法
US9553017B2 (en) Methods for fabricating integrated circuits including back-end-of-the-line interconnect structures
KR100660915B1 (ko) 반도체 소자의 배선 형성 방법
KR20180061473A (ko) 반도체 장치 및 그 제조 방법
US10453794B2 (en) Interconnect structure for semiconductor devices
KR100749367B1 (ko) 반도체 소자의 금속배선 및 그의 제조방법
KR100744247B1 (ko) 구리 배선 형성 방법
KR101168507B1 (ko) 반도체 소자 및 그 형성 방법
KR20080061146A (ko) 반도체 소자의 금속 배선 형성방법
KR100781422B1 (ko) 듀얼 다마신 패턴 형성 방법
KR20080001905A (ko) 반도체 소자의 금속 배선 형성방법
KR20100036008A (ko) 반도체 소자의 금속배선 형성방법
JP4786680B2 (ja) 半導体装置の製造方法
JP2007194566A (ja) 半導体装置およびその製造方法
KR100784105B1 (ko) 반도체 소자의 제조 방법
KR101034929B1 (ko) 반도체 소자의 금속 배선 형성 방법
KR20070077670A (ko) 반도체 메모리 소자 제조 방법 및 이에 따라 제조된 반도체소자

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20190329

Year of fee payment: 6