CN106057772A - 互连结构及其形成方法 - Google Patents

互连结构及其形成方法 Download PDF

Info

Publication number
CN106057772A
CN106057772A CN201510610880.9A CN201510610880A CN106057772A CN 106057772 A CN106057772 A CN 106057772A CN 201510610880 A CN201510610880 A CN 201510610880A CN 106057772 A CN106057772 A CN 106057772A
Authority
CN
China
Prior art keywords
conductive
conductive component
dielectric
layer
coat
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201510610880.9A
Other languages
English (en)
Other versions
CN106057772B (zh
Inventor
黄义钧
姚志翔
郑价言
江文铨
黄瀛文
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN106057772A publication Critical patent/CN106057772A/zh
Application granted granted Critical
Publication of CN106057772B publication Critical patent/CN106057772B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Geometry (AREA)

Abstract

本发明提供了一种示例性半导体器件,包括:第一导电部件,位于介电层中;以及第二导电部件,位于介电层上方并电连接至第一导电部件。第二导电部件包括双镶嵌结构,并且还包括:顶部,位于第二导电部件的导线部分和通孔部分内;和底部,位于第二导电部件的通孔部分中。底部包括与顶部不同的导电材料,并且底部的厚度至少为第二导电部件的通孔部分的总厚度的大约百分之二十。本发明还提供了一种用于形成半导体器件的方法。

Description

互连结构及其形成方法
技术领域
本发明总体涉及半导体领域,更具体地,涉及半导体器件中的互连结构及其形成方法。
背景技术
半导体器件通常包括具有诸如晶体管和电容器的有源器件的衬底。最初这些有源器件相互隔离,随后在这些有源器件上方形成互连结构,以形成功能电路。通常,这些互连结构包括形成在多个堆叠的介电层中的导电部件(例如,金属线和通孔),其中上层中的互连结构向下延伸,以电连接至下层中的互连结构。为了降低介电层中的导电部件的电容耦合,通常将包括超低k介电材料的低k介电材料用于互连层。
发明内容
根据本发明的一个方面,提供了一种半导体器件,包括:第一导电部件,位于介电层中;第二导电部件,位于介电层上方并且电连接至第一导电部件,其中,第二导电部件包括双镶嵌结构并且还包括:顶部,位于第二导电部件的导线部分和通孔部分内;以及底部,位于第二导电部件的通孔部分中,其中,底部包括与顶部不同的导电材料,并且底部的厚度至少为第二导电部件的通孔部分的总厚度的大约百分之二十。
优选地,第二导电部件还包括:导电阻挡层,设置在顶部的侧壁上,导电阻挡层还设置在顶部与底部之间。
优选地,顶部包括铜,并且底部包括钴、钽、氮化钽或它们的组合。
优选地,介电层上方的底部的厚度为通孔部分的总厚度的大约百分之二十至大约百分之四十。
优选地,底部部分地设置在第一导电部件内。
优选地,该半导体器件还包括:加固层,至少部分地设置在第二导电部件的导线部分的侧壁上。
优选地,加固层包括非掺杂的硅酸盐玻璃。
优选地,加固层还包括空腔。
优选地,该半导体器件还包括:超低k(ELK)介电层,位于加固层上方,其中,ELK介电层的介电常数比加固层小,并且加固层设置在ELK介电层与第二导电部件之间。
优选地,该半导体器件还包括:保护蚀刻停止层,位于第二导电部件的顶面上并且沿着第二导电部件的上部侧壁延伸。
根据本发明的另一方面,提供了一种器件,包括:第一低k介电层,包括第一导电部件;第二低k介电层,位于第一低k介电层上方;加固层,位于第二低k介电层上方;第三低k介电层,位于加固层上方,其中,第三低k介电层的介电常数小于加固层的介电常数;以及第二导电部件,延伸穿过第二低k介电层、加固层和第三低k介电层,其中,加固层的一部分设置在第三低k介电层与第二导电部件之间,并且第二导电部件包括:导线;导电通孔的顶部部分,平滑地连接至导线;导电阻挡层,位于导线的侧壁和导电通孔的顶部部分的侧壁上;以及导电通孔的底部部分,包括与导电通孔的顶部部分不同的材料,其中,导电阻挡层设置在导电通孔的顶部部分与导电通孔的底部部分之间。
优选地,导线和导电通孔的顶部部分包括铜,并且导电通孔的底部部分包括钴、钽、氮化钽或它们的组合。
优选地,导电通孔的底部部分的厚度为导电通孔的顶部部分、导电阻挡层和导电通孔的底部部分的总厚度的大约百分之二十至大约百分之四十。
优选地,该器件还包括:第三导电部件,与第二导电部件相邻,其中,加固层包括设置在第二导电部件与第三导电部件之间的空腔。
优选地,该器件还包括:保护蚀刻停止层,位于第二导电部件的顶面上并且沿着第二导电部件的上部侧壁延伸。
根据本发明的又一方面,提供了一种用于形成半导体器件的方法,该方法包括:在一个或多个介电层中图案化通孔开口,其中,通孔开口暴露位于一个或多个介电层下方的第一导电部件;在一个或多个介电层中图案化沟槽开口,其中,沟槽开口连接至通孔开口;以及在一个或多个介电层中形成第二导电部件并且第二导电部件电连接至第一导电部件,其中,形成第二导电部件包括:用第一导电材料至少化学镀通孔开口的大约百分之二十;在第一导电材料上方沉积导电阻挡层;和用与第一导电材料不同的第二导电材料填充沟槽开口和通孔开口的剩余部分。
优选地,第一导电材料包括钴、钽、氮化钽或它们的组合,并且第二导电材料包括铜。
优选地,一个或多个介电层包括位于第一低k介电层上方的牺牲层,并且方法还包括:去除牺牲层,以暴露第二导电部件的上部侧壁;在第一低k介电层上方形成加固层,其中,加固层沿着第二导电部件的侧壁延伸;以及在加固层上方沉积第二低k介电层,其中,第二低k介电层的介电常数小于加固层的介电常数。
优选地,一个或多个介电层还包括与第二导电部件相邻的第三导电部件,并且沉积加固层包括:在第二导电部件与第三导电部件之间的加固层中形成空腔。
优选地,该方法还包括:使一个或多个介电层的顶面凹进,以低于第二导电材料的顶面;以及在一个或多个介电层的顶面上和第二导电材料的顶面上沉积保护蚀刻停止层,其中,保护蚀刻停止层沿着第二导电部件的上部侧壁延伸。
附图说明
当结合附图进行阅读时,根据以下详细的描述来更好地理解本发明的各个方面。应该强调的是,根据工业中的标准实践,各个部件没有按比例绘制。实际上,为了讨论的清楚,可以任意地增加或减小各个部件的尺寸。
图1A和图1B示出了根据一些实施例的半导体器件中的互连层的截面图。
图2示出了根据一些其他的实施例的半导体器件中的互连层的截面图。
图3至图6示出了根据一些实施例的图案化半导体器件中的互连层的各个中间阶段。
图7至图11示出了根据一些其他的实施例的图案化半导体器件中的互连层的各个中间阶段。
图12至图18示出了根据一些实施例的在半导体器件中形成互连层的部件的各个中间阶段。
图19示出了根据一些实施例的用于形成半导体器件的工艺流程。
具体实施方式
以下公开内容提供了许多不同的实施例或实例,用于实施本发明的不同部件。以下将描述组件和布置的具体实例以简化本发明。当然,这些仅仅是实例并且不意欲限制。例如,在以下描述中,在第二部件上方或上形成第一部件可以包括第一部件和第二部件直接接触的实施例,也可以包括形成在第一部件和第二部件之间附件部件使得第一部件和第二部分不直接接触的实施例。另外,本发明可以在各个实例中重复参考标号和/或字符。这些重复是为了简化和清楚的目的,并且其本身并不指示所讨论的各个实施例和/或配置之间的关系。
此外,为了便于描述,本文中可以使用诸如“在…下方”、“在…下面”、“下部”、“在…上面”、“上部”等空间关系术语以描述如图所示的一个元件或部件与另一元件或部件的关系。除图中所示的定向之外,空间关系术语意欲包括使用或操作过程中的器件的不同的方位。装置可以以其他方式定向(旋转90度或处于其他方位),并且在本文中使用的空间关系描述可同样地进行相应地解释。
各个实施例都包括互连层,其在相邻的导电部件之间提供改进的结构完整性和电迁移(EM)特性。通过根据示例性实施例配置导电部件和介电层,可以实现各种非限制性的优势。这些优势可以包括以下组合:互连EM的可靠性和鲁棒性的改进、依赖于时间的介电质击穿的可靠性和鲁棒性的改进、间隙填充工艺窗的改进、超低k(ELK)介电质崩塌和相关问题的减少、互连电容的降低以及在不以ELK介电质的崩塌裕度为代价的情况下放大关键尺寸(CD)(如,导电部件之间)。此外,可以在典型的半导体制造环境中实现这些优势而不需要附加昂贵的材料和/或制造工具。
图1A和图1B示出了根据各个实施例的半导体器件100和150的截面图。图1A示出了具有衬底102的半导体器件100。例如,衬底102可以包括掺杂或非掺杂的块状硅或者绝缘体上半导体(SOI)衬底的有源层。通常,SOI衬底包括形成在绝缘层上的半导体材料(诸如硅)层。例如,绝缘层可以为埋氧(BOX)层或氧化硅层。绝缘层被设置在衬底(诸如硅或玻璃衬底)上。可选地,衬底102可以包括:另一种元素半导体,诸如锗;化合物半导体,包括碳化硅、砷化镓、磷化镓、磷化铟、砷化铟和/或锑化铟;合金半导体,包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP、GaInAsP;或它们的组合。还可以使用其他衬底,诸如多层或梯度衬底。诸如晶体管、电容器、二极管等的有源器件(未示出)可以形成在衬底102的顶面上。
介电层104和110形成在衬底102上方,其中介电层110形成在介电层104上方。介电层104和110可以是使用任何适当的方法(如,化学汽相沉积(CVD)、等离子体增强的CVD(PECVD)等)形成在衬底上方的层间介电(ILD)和/或金属间介电(IMD)层。例如,介电层104可以包括k值约小于2.5的ELK介电材料。在一些实施例中,介电层104可以包括SiCO、SiCOH等。尽管图1示出了介电层104直接位于衬底102上方并接触该衬底,但可以在介电层104和衬底102之间设置任何数量的附加层(如,具有导电互连部件的其他介电层、蚀刻停止层、隔离层、缓冲层等)。
介电层104至少可以包括导电部件106(如,导线和/或通孔),并且可以电连接至衬底102中的有源器件。导电部件106包括形成在导电材料106B(如,包括铜)周围的导电阻挡层106A(如,包括氮化钛(TiN)、氧化钛(TiO)、氮化钽(TaN)、氧化钽(TaO)、它们的组合等)。导电阻挡层106A可以防止(或至少减少)导电材料106B扩散到周围的介电材料中。蚀刻停止层108(如,包括SiN、碳化硅(SiC)、氮氧化硅(SiON)、SiCO等)形成在导电部件106和介电层104上方。在一些实施例中,蚀刻停止层108可以在形成各个器件部件期间用作导电部件106的保护层。在这种实施例中,蚀刻停止层108形成在导电部件106的顶面上,并且蚀刻停止层108可以设置在介电层104与110之间。
介电层110至少包括具有双镶嵌结构的导电部件112,该双镶嵌结构具有设置在导电通孔上方并且平滑地连接至导电通孔的导线,并且在导线与导电通孔之间没有形成界面。导电部件112还包括顶部112A和底部112B。顶部112A可以包括形成在导电材料112D(如,包括铜)周围的导电阻挡层112C(如,包括TiN、TiO、TaN、TaO等)。顶部112A设置在导电部件112的导线和导电通孔部分内,并且在导电材料112D内不形成界面。例如,顶部112A具有双镶嵌结构。例如,导电部件112的底部112B包括使用化学镀方法形成的高EM电阻材料(如,钴(Co)、钽(Ta)、TaN等)。高EM电阻材料可以用于增加下面的导电部件106的EM电阻,并且电阻值可以与底部112B和导电部件116之间的粘合性相关。
导电阻挡层112C可以设置在底部112B与导电材料112D之间。底部112B设置在导电部件112的导电通孔部分内,并且底部112B可以接触并电连接至下面的导电部件106的顶面。保护蚀刻停止层114(如,包括SiN、SiC、SiOH、SiCO等)可以设置在介电层110和导电部件112上方。
在一些实施例中,底部112B的厚度T1可以约占导电部件112的导电通孔部分的总厚度T2的20%至40%。例如,厚度T1可以为大约至大约而厚度T2可以为大约至大约如下文更详细的描述,通过配置底部112B具有上述尺寸,导电部件112的纵横比甚至在导电部件112的最窄点处降低,因此降低了金属化期间导电部件112中形成空腔的风险。例如,填充最窄点处的导电部件112的纵横比从导电部件112的总纵横比(如,厚度T4相对于宽度W1)降为顶部112A的纵横比(如,厚度T3相对于宽度W1)。在一些实施例中,诸如图1B中的器件150,底部112B还可以延伸到下面的导电部件106中来增加导电部件112与106之间的接触面积,这有利地降低了接触电阻。例如,底部112B可以在下面的导电部件106B内延伸的深度为T5,并且深度T5可以为大约至大约
各个实施例还可以包括附加部件来提高互连层的结构完整性和/或EM特性。例如,图2示出了根据一些实施例的器件200。器件200可以类似于器件100和150,其中类似的参考标号指示类似的元件。例如,器件200包括介电层104和110,介电层104和110分别包括导电部件106和112。至少导电部件112的底部112B包括高EM电阻材料(如,Co、Ta、TaN等)。介电层110还可以包括附加导电部件,诸如导线120。
例如,在器件200中,介电层110是多层介电层,包括层110A至110D。介电层110D包括上述ELK材料。蚀刻停止层110C(如,类似于蚀刻停止层108)设置在介电层110D上方。加固层110B设置在蚀刻停止层110C上方,而ELK层110A设置在加固层110B上方。在一些实施例中,加固层110B包括具有比介电层110D或ELK层110A更好的结构完整性(如,增加的密度和/或较小的孔隙率)的介电层。例如,加固层110B可以包括未掺杂硅酸盐玻璃(USG)、掺杂氧的SiC(ODC)、掺杂氮的SiC(NDC)、正硅酸乙酯(TEOS)等。加固层沿着导电部件112/导线120的侧壁沉积以作为结构支撑。因此,即使在器件200的高纵横比区域中,也可以减少介电层崩塌和相关问题。
在一些实施例中,加固层110B的介电常数可以高于介电层110D/ELK层110A。因此,可以在加固层110B中形成空腔116以降低其介电常数。在相邻部件(如,导线120和导电部件112)之间且纵横比相对较高(如,大于1)的区域中,这种空腔可以作为加固层110B的沉积工艺的一部分而形成。在相邻部件之间且纵横比较低的区域中,ELK层110A被沉积以作为降低层110的总有效介电常数的填充物。
还如图2所示,导电部件112和导线120可以延伸至介电层的顶面上方。例如,导电部件112的顶面和导线120的顶面可以比介电层110的顶面高大约至大约的距离T6。在一些实施例中,导线120的底面和导电部件112的导线部分也可以低于蚀刻停止层110C。保护蚀刻停止层114(如,包括SiN、SiC、SiON、SiCO等)设置在导电部件112/导线120的顶面上方,并且保护蚀刻停止层114至少还可以沿着导电部件112/导线120的上部侧壁延伸。通过如图所示将保护蚀刻停止层114配置为非平坦层,与平坦蚀刻停止层(参见图1A和图1B)相比,可以增大保护蚀刻停止层114内离子扩散至相邻导电部件的距离(如箭头118所示)。例如,当导线包括铜时,层114内铜离子扩散到导电部件112的距离增大。应该观察到,通过增大离子扩散距离,即使在先进技术节点中相邻导电部件之间的间隔减小,也可以增强器件200中的TDDB性能。
图3至图13示出了根据一些实施例的形成器件200的各个中间阶段的截面图。在图3至图6中,使用先通孔(via-first)方法在多个介电层中图案化双镶嵌开口。在图3中,设置上述各个器件部件(如,包括导电部件106的介电层104、蚀刻停止层108、介电层110D和蚀刻停止层110C)。牺牲层202(如,包括介电材料)形成在蚀刻停止层110C上方,并且硬掩模204(如,包括SiO、SiN等)形成在牺牲层202上方。可以使用任何适当的工艺(诸如CVD、PECVD等)来形成牺牲层202和硬掩模204。还如图3所示,底部抗反射涂(BARC)层206和光刻胶208形成在硬掩模204上方。形成BARC 206和光刻胶208,以帮助图案化硬掩模204。例如,在光刻期间,BARC 206帮助过滤来自下面各层的反射,并且光刻胶208可以用于将图案转印至硬掩模204。
在图3中,图案化光刻胶208以包括具有第一宽度W1的通孔开口210。在各个实施例中,宽度W1可以对应于随后形成的双镶嵌导电部件(如,导电部件112,参见图2)的通孔部分的期望宽度。例如,光刻工艺可以包括例如使用紫外光通过光刻掩模来曝光光刻胶208的各部分。随后,根据使用的是正性还是负性光刻胶来显影和去除光刻胶208中曝光或未曝光的部分。通孔开口210可以与介电层104中的导电部件106对齐。
例如,使用适当的蚀刻工艺将光刻胶208的图案转印至硬掩模204。然后,硬掩模204用于蚀刻下面的牺牲层202、蚀刻停止层110C、介电层110D和蚀刻停止层108。各个层的蚀刻可以包括使用相同或不同的工艺条件的多个蚀刻工艺。因此,通孔开口210形成为延伸穿过硬掩模204、牺牲层202、蚀刻停止层110C和介电层110D。通孔开口210还可以延伸到蚀刻停止层108中。在其他的实施例中,通孔开口210可以停止在蚀刻停止层108上方或者延伸穿过蚀刻停止层108。随后,使用任何适当的工艺来去除光刻胶208和BARC 206。例如,可以通过等离子体灰化或润湿剥离工艺来去除光刻胶208。可选地,等离子体灰化工艺之后,可以通过硫酸(H2SO4)溶液中的湿浸来清洁器件200并去除剩余的光刻胶材料。图4中示出了所得到的结构。
在图5中,用牺牲材料212(如,光刻胶)填充通孔开口210。此后,填充通孔开口210,在硬掩模204和牺牲材料212上方形成第二BARC层214和图案化的光刻胶216。光刻胶216包括宽度为W2的沟槽开口218,沟槽开口218可以与下面的牺牲材料212对齐。在各个实施例中,宽度W2可以对应于双镶嵌导电部件(如,导电部件112,参见图2)的随后形成的导线部分的期望宽度。光刻胶216还可以包括附加沟槽开口220,该沟槽开口可以不与牺牲材料212对齐。可以基于各个介电层中的附加导线(如,导线120)的期望设置来确定开口220的位置。
光刻胶216的图案被转印至硬掩模204(如,通过蚀刻),以用于蚀刻下面的牺牲层202、蚀刻停止层110C和介电层110D。各个蚀刻停止层和介电层的蚀刻可以包括相同或不同工艺条件下的多个蚀刻工艺。在一些实施例中,使用时间模式(time mode)蚀刻工艺,使得蚀刻工艺蚀刻预定的深度。在所得到的结构中,沟槽开口218和220延伸穿过牺牲层202和蚀刻停止层110C至介电层110D中。随后,使用上述任何适当的工艺来去除光刻胶208、牺牲材料212和BARC 206。形成的结构如图6所示。如图6所示,连接开口218和210并且暴露导电部件106。沟槽开口218的宽度W2大于通孔开口210的宽度W1。在一些实施例中,在暴露导电部件106之后,可以执行附加蚀刻以进一步将导电部件106蚀刻至期望深度。因此,先通孔图案化方法可以用于在多个介电层中形成双镶嵌开口。
在其他的实施例中,先沟槽图案化方法可以用于在多个介电层中形成双镶嵌开口。例如,图7至图11示出了使用先沟槽方法制造这种开口的各个中间阶段。首先参照图7,设置上述各个介电层,其中类似的参考标号指示类似的元件。具体地,图7示出了具有导电部件106的介电层104、蚀刻停止层108、介电层110D、蚀刻停止层110C、牺牲层202和硬掩模204。光刻胶252和BARC层250形成在硬掩模204上方。如上所述,图案化光刻胶252以包括沟槽开口220和218。
在图8中,例如,通过蚀刻将光刻胶252的图案转移至硬掩模204。因此,在硬掩模204中图案化沟槽开口220和218。还如图8所示,然后,可以如上所述去除光刻胶252和BARC 250。在图9中,例如,使用毯式沉积工艺将第二硬掩模254沉积在硬掩模204上方。硬掩模254可以填充开口218和220。在一些实施例中,硬掩模254包括与硬掩模204不同的材料。例如,硬掩模254的材料可以允许其被选择性地蚀刻而硬掩模204不被蚀刻。第二光刻胶256形成在硬掩模254上方,并且可以图案化光刻胶256以包括上述通孔开口210。通孔开口210比沟槽开口218窄,并且通孔开口210可以与沟槽开口218垂直对齐。
光刻胶256的图案可以转印至硬掩模254,如上所述,该图案可以用作图案化的掩模来蚀刻下面的各个介电层。图10示出了在如上所述穿过牺牲层202、蚀刻停止层110C、介电层110D和蚀刻停止层108蚀刻通孔开口210之后的器件200。开口210暴露介电层104中的导电部件106。在一些实施例中,通过蚀刻,开口210还可以延伸至导电部件106(未示出)。然后,使用任何适当的技术来去除硬掩模254,以暴露具有沟槽开口220和218的硬掩模204。随后,在图11中,一个或多个附加蚀刻可以用于将开口220和218延伸穿过牺牲层202、穿过蚀刻停止层110C以及部分穿过介电层110D。因此,使用先沟槽方法在多个介电层中形成双镶嵌开口。
图12和图13示出了根据一些实例的利用导电材料填充开口218、220和210的各个中间步骤。接下来参照图12,用高EM电阻材料部分地填充通孔开口210,以形成导电部件的底部112B。例如,底部112B包括Co、Ta、TaN等,并且通孔开口210的至少约20%被高EM电阻材料填充。在实施例中,通孔开口210的大约20%至大约40%被高EM电阻材料填充。底部112B的沉积可以包括化学镀。在这样的实施例中,导电部件106(通过开口210暴露)在化学镀工艺期间,提供了成核位置,以允许在不沉积晶种层的情况下使高EM电阻材料的自底向上地生长。由于介电材料上缺少适当的成核位置,所以化学镀方法不在暴露的介电层表面(如,开口220和218的底面)上沉积导电材料。应该观察到,通过使用该镀敷方法(如,不使用晶种层)并且将底部112B形成至上述高度,可以消除(或至少减少)形成的导电部件112中的空腔。
在图13中,用导电材料填充沟槽开口218、沟槽开口220和通孔开口210的剩余部分,以形成导电部件112和导线120。例如,通过CVD、PECVD或另一沉积工艺在开口218、220和210中形成例如包括TaN、TaO、TiN、TiO等的导电阻挡层120A和112C。通过用导电材料(诸如铜、铝(Al)、铝铜合金(AlCu)、金、钛、钴、合金或另一种导电材料)填充开口来形成导电部件112和导线120。在一些实施例中,例如,通过电化学镀(ECP)、电镀、化学镀或另一工艺形成导电部件112和导线120。在这种实施例中,例如,通过原子层沉积在导电阻挡层120A/112C上方形成晶种层(未示出)。晶种层为镀敷工艺提供成核位置。通过首先用高EM电阻材料112B部分填充通孔开口210,减小了形成导电部件112的剩余部分的纵横比,降低了在镀敷期间形成空腔的风险,并且提高了形成的导电部件的EM特性。
在一些实施例中,形成溢出开口218和220的导电材料120B和112D。例如,使用这种过填充以确保开口218和220被完全填充。通过研磨、化学机械抛光(CMP)、抛光、蚀刻或另一消减(reduction)工艺来去除过量材料。过量材料的去除还可以去除硬掩模204,并且在一些实施例中,甚至将牺牲层202降低到期望深度。在平坦化之后,导电部件112的顶面和导线120的顶面基本与牺牲层202的顶面齐平。
图14至图16示出了根据一些实施例的形成多层介电层的各个中间步骤。在图14中,例如,使用蚀刻工艺来去除牺牲层202。蚀刻工艺可以包括使用化学蚀刻剂,该化学蚀刻剂可用相较于蚀刻停止层110C或导线120/导电部件112更高的速率来选择性地蚀刻牺牲层202。因此,蚀刻停止层110C可以用于在去除牺牲层202期间防止进一步蚀刻下面的介电层(如,层110D)。牺牲层202的去除暴露了导线120和导电部件112的上部侧壁。
在图15中,加固层110B沉积在蚀刻停止层110C上方,并且加固层110B可以沿着导电部件112/导线120的侧壁延伸。加固层110B可包括结构完整性优于介电层110D的介电材料。例如,在实施例中,加固层110B包括USG。加固层110B的沉积工艺可以在相邻部件(如,导电部件112和导线120)之间且纵横比较高(如,大于1)的区域中形成空腔116。例如,加固层110B的沉积可以包括不良阶梯覆盖共形工艺(poor step coverageconformal process),诸如CVD等。更具体地,由于导电部件112/导线120之间的开口的纵横比相对较高,加固层110B的材料在开口上部的积累速度要比沿着开口的侧壁和底部快。该工艺导致在开口的上部边缘处形成悬垂部分(overhang),并且随着沉积工艺的继续,悬垂部分将汇合,从而封闭开口并形成空腔116。空腔116降低加固层110B的k值,有利地降低了各导电部件之间的寄生电容。在纵横比较低的区域中,不形成空腔,并且加固层110B可以在蚀刻停止层110C的上表面上形成的厚度为T7。在一些实施例中,厚度T7可以取决于相邻导电部件之间的距离,以利于空腔116的形成。例如,当导线120与导电部件112之间的间隔约为时,厚度T7可以约为(如,间隔宽度的一半)。还如图15所示,加固层110B可以沉积至覆盖导电部件112/导线120的顶面,但是在其他实施例中,可以仅部分地覆盖或不覆盖顶面。
随后,在图16中,使用任何适当的方法(如,CVD)将ELK层110A沉积在加固层110B的顶面上方。在一些实施例中,ELK层110A用于降低各个导电部件周围的介电材料的k值,有利地降低了寄生电容。在沉积ELK层110A之后,平坦化工艺(如,CMP、回蚀刻等)可以用于暴露导电部件112和导线120。因此,可以在导电部件112和导线120周围形成多层介电层110。介电层110包括沿着导电部件的侧壁延伸的加固层110B,以提高器件200的结构完整性。还可以形成ELK层110A和空腔116,以降低多层介电层110的k值。
图17和图18示出了在导电部件112和导线120上方形成非平坦保护层114。首先,在图17中,回蚀加固层110B和ELK层110A,使得加固层110B和ELK层110A的顶面低于导电部件112和导线120的顶面。接下来,在图18中,使用任何适当的工艺(如,CVD、PECVD等)将保护层114沉积在加固层110B、ELK层110A、导电部件112和导线120上方。在一些实施例中,保护层114至少沿着导电部件112和导线120的上部侧壁延伸。如上所述,通过使用非平坦保护层,增大了保护层114内离子扩散至导电部件112/导线120的距离,提高了器件性能(如,提高TDDB性能)。
图19示出了根据各个实施例的用于制造半导体器件的示例性工艺流程图300。在步骤302中,在一个或多个介电层(如,介电层110和/或多层介电层110A至110D)中形成通孔开口(如,开口210)。通孔开口暴露下面的导电部件(如,导电部件106)。在步骤304中,沟槽开口形成在一个或多个介电层中并且连接至通孔开口。
在步骤306至310中,形成双镶嵌导电部件(如,导电部件112)。在步骤306中,例如,使用化学镀,用高EM电阻材料(如,底部112B的材料)至少填充通孔开口的约20%。在步骤308中,在沟槽/通孔开口的侧壁上和高EM电阻材料上沉积导电阻挡层(如,导电阻挡层112C)。在步骤310中,用与高EM电阻材料不同的导电材料(如,铜)填充沟槽开口和通孔开口的剩余部分。
在一些实施例中,工艺流程可以继续步骤312,其中去除一个或多个介电层的牺牲层部分(如,牺牲层202)。在步骤314中,可以沿着双镶嵌导电部件的侧壁沉积加固层(如,加固层110B)。加固层的沉积可以包括:加固层在相邻部件之间的间隔具有高纵横比的位置处形成空腔(如,空腔116)。在步骤316中,ELK层(如,ELK层110A)沉积在加固层上方。在一些实例中,工艺流程还可以继续步骤314,其中使一个或多个介电层的顶面凹进。在步骤316中,在一个或多个介电层和双镶嵌导电部件上方形成非平坦的保护蚀刻停止层(如,蚀刻停止层114)。非平坦的保护蚀刻停止层还可以沿着双镶嵌导电部件的侧壁延伸。
因此,如上所述,示例性互连层至少包括具有双镶嵌结构的导电部件。导电部件的通孔部分的底部可以由高EM电阻材料(如,Co、Ta、TaN等)形成。在一些实施例中,底部通孔部分至少占导电部件的通孔部分的总厚度的大约20%。应该观察到,例如,通过如上所述配置通孔部分的底部,减少在导电部件中形成空腔,可以提高EM特性和器件性能。附加地,加固层可以设置在导电部件的导线部分的侧壁上。加固层可以提高互连层的结构完整性,并且空腔和/或ELK层可以形成在加固层中和/或沉积在加固层上方,以降低互连层的介电常数。此外,保护蚀刻停止层可以沉积在导电部件上方。保护蚀刻停止层可以是非平坦的并且沿着导电部件的上部侧壁延伸,以增大蚀刻停止层内离子的扩散距离,提高器件性能。
根据实施例,一种半导体器件包括:第一导电部件,位于介电层中;以及第二导电部件,位于介电层上方并电连接至第一导电部件。第二导电部件包括双镶嵌结构,并且还包括:顶部,位于第二导电部件的线部分和通孔部分内;和底部,位于第二导电部件的通孔部分中。底部包括与顶部不同的导电材料,并且底部的厚度至少为第二导电部件的通孔部分的总厚度的大约百分之二十。
根据另一实施例,一种器件包括:第一低k介电层,包括第一导电部件;第二低k介电层,位于第一低k介电层上方;加固层,位于第二低k介电层上方;以及第三低k介电层,位于加固层上方。第三低k介电层的介电常数小于加固层的介电常数。该器件还包括第二导电部件,延伸穿过第二低k介电层、加固层和第三低k介电层。加固层的一部分设置在第三低k介电层与第二导电部件之间。第二导电部件包括:导线;导电通孔的顶部部分,平滑地连接至导线;导电阻挡层,位于导线和导电通孔的顶部部分的侧壁上;以及导电通孔的底部部分,包括与导电通孔的顶部部分不同的材料。导电阻挡层设置在顶部导电通孔与底部导电通孔之间。
根据又一实施例,一种用于形成半导体器件的方法包括:在一个或多个介电层中图案化通孔开口;以及在一个或多个介电层中图案化连接至通孔开口的沟槽开口。通孔开口暴露一个或多个介电层下方的第一导电部件。该方法还包括:在一个或多个介电层中形成第二导电部件并且第二导电部件电连接至第一导电部件。形成第二导电部件包括:用第一导电材料至少化学镀通孔开口的大约百分之二十;在第一导电材料上方沉积导电阻挡层;以及用与第一导电材料不同的第二导电材料填充沟槽开口和通孔开口的剩余部分。
上面论述了多个实施例的部件使得本领域技术人员能够更好地理解本发明的各个方面。本领域技术人员应该理解,他们可以容易地以本公开为基础设计或修改用于执行与本文所述实施例相同的目的和/或实现相同优点的其他工艺和结构。本领域技术人员还应该意识到,这些等效结构不背离本发明的精神和范围,并且可以在不背离本发明的精神和范围的情况下做出各种变化、替换和改变。

Claims (10)

1.一种半导体器件,包括:
第一导电部件,位于介电层中;
第二导电部件,位于所述介电层上方并且电连接至所述第一导电部件,其中,所述第二导电部件包括双镶嵌结构并且还包括:
顶部,位于所述第二导电部件的导线部分和通孔部分内;以及
底部,位于所述第二导电部件的通孔部分中,其中,所述底部包括与所述顶部不同的导电材料,并且所述底部的厚度至少为所述第二导电部件的通孔部分的总厚度的大约百分之二十。
2.根据权利要求1所述的半导体器件,其中,所述第二导电部件还包括:导电阻挡层,设置在所述顶部的侧壁上,所述导电阻挡层还设置在所述顶部与所述底部之间。
3.根据权利要求1所述的半导体器件,其中,所述顶部包括铜,并且所述底部包括钴、钽、氮化钽或它们的组合。
4.根据权利要求1所述的半导体器件,其中,所述介电层上方的底部的厚度为所述通孔部分的总厚度的大约百分之二十至大约百分之四十。
5.根据权利要求1所述的半导体器件,其中,所述底部部分地设置在所述第一导电部件内。
6.根据权利要求1所述的半导体器件,还包括:加固层,至少部分地设置在所述第二导电部件的导线部分的侧壁上。
7.一种器件,包括:
第一低k介电层,包括第一导电部件;
第二低k介电层,位于所述第一低k介电层上方;
加固层,位于所述第二低k介电层上方;
第三低k介电层,位于所述加固层上方,其中,所述第三低k介电层的介电常数小于所述加固层的介电常数;以及
第二导电部件,延伸穿过所述第二低k介电层、所述加固层和所述第三低k介电层,其中,所述加固层的一部分设置在所述第三低k介电层与所述第二导电部件之间,并且所述第二导电部件包括:
导线;
导电通孔的顶部部分,平滑地连接至所述导线;
导电阻挡层,位于所述导线的侧壁和所述导电通孔的顶部部分的侧壁上;以及
导电通孔的底部部分,包括与所述导电通孔的顶部部分不同的材料,其中,所述导电阻挡层设置在所述导电通孔的顶部部分与所述导电通孔的底部部分之间。
8.根据权利要求7所述的器件,其中,所述导线和所述导电通孔的顶部部分包括铜,并且所述导电通孔的底部部分包括钴、钽、氮化钽或它们的组合。
9.一种用于形成半导体器件的方法,所述方法包括:
在一个或多个介电层中图案化通孔开口,其中,所述通孔开口暴露位于所述一个或多个介电层下方的第一导电部件;
在所述一个或多个介电层中图案化沟槽开口,其中,所述沟槽开口连接至所述通孔开口;以及
在所述一个或多个介电层中形成第二导电部件并且所述第二导电部件电连接至所述第一导电部件,其中,形成所述第二导电部件包括:
用第一导电材料至少化学镀所述通孔开口的大约百分之二十;
在所述第一导电材料上方沉积导电阻挡层;和
用与所述第一导电材料不同的第二导电材料填充所述沟槽开口和所述通孔开口的剩余部分。
10.根据权利要求9所述的方法,其中,所述第一导电材料包括钴、钽、氮化钽或它们的组合,并且所述第二导电材料包括铜。
CN201510610880.9A 2015-04-16 2015-09-23 互连结构及其形成方法 Active CN106057772B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/688,895 US9793212B2 (en) 2015-04-16 2015-04-16 Interconnect structures and methods of forming same
US14/688,895 2015-04-16

Publications (2)

Publication Number Publication Date
CN106057772A true CN106057772A (zh) 2016-10-26
CN106057772B CN106057772B (zh) 2018-11-30

Family

ID=57128973

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510610880.9A Active CN106057772B (zh) 2015-04-16 2015-09-23 互连结构及其形成方法

Country Status (3)

Country Link
US (1) US9793212B2 (zh)
KR (1) KR101849728B1 (zh)
CN (1) CN106057772B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109768030A (zh) * 2017-11-09 2019-05-17 台湾积体电路制造股份有限公司 半导体装置结构
CN110800100A (zh) * 2017-06-29 2020-02-14 株式会社村田制作所 高频模块
US11404369B2 (en) 2017-05-19 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with resistive element

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10340182B2 (en) * 2015-11-30 2019-07-02 International Business Machines Corporation Enhanced via fill material and processing for dual damscene integration
US9899256B2 (en) * 2016-06-29 2018-02-20 International Business Machines Corporation Self-aligned airgaps with conductive lines and vias
KR20180030280A (ko) * 2016-09-12 2018-03-22 삼성전자주식회사 배선 구조체를 갖는 반도체 소자
US10256143B2 (en) * 2016-12-14 2019-04-09 Taiwan Semiconductor Manufacturing Co., Ltd. Replacement contacts
US10485111B2 (en) * 2017-07-12 2019-11-19 Globalfoundries Inc. Via and skip via structures
US10777452B2 (en) * 2017-09-14 2020-09-15 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure having top and bottom vias with a barrier layer therebetween and a dielectric spacer at the bottom via
US10340183B1 (en) * 2018-01-02 2019-07-02 Globalfoundries Inc. Cobalt plated via integration scheme
US20190363048A1 (en) * 2018-05-22 2019-11-28 Lam Research Corporation Via prefill in a fully aligned via
US11004794B2 (en) 2018-06-27 2021-05-11 Taiwan Semiconductor Manufacturing Co., Ltd. Partial barrier free vias for cobalt-based interconnects and methods of fabrication thereof
US11024537B2 (en) 2019-08-09 2021-06-01 Applied Materials, Inc. Methods and apparatus for hybrid feature metallization
US11114374B2 (en) * 2019-08-22 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Graphene enabled selective barrier layer formation
US11177171B2 (en) * 2019-10-01 2021-11-16 International Business Machines Corporation Encapsulated top via interconnects
US11217481B2 (en) * 2019-11-08 2022-01-04 International Business Machines Corporation Fully aligned top vias
KR20220108864A (ko) 2021-01-27 2022-08-04 삼성전자주식회사 반도체 소자 및 그의 제조 방법
US20230066861A1 (en) * 2021-08-30 2023-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and methods of forming the same
US20230067493A1 (en) * 2021-08-30 2023-03-02 International Business Machines Corporation Top via patterning using metal as hard mask and via conductor
US11876047B2 (en) * 2021-09-14 2024-01-16 International Business Machines Corporation Decoupled interconnect structures

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1495879A (zh) * 2002-07-24 2004-05-12 三星电子株式会社 微电子器件的双镶嵌互连的制造方法
CN101038907A (zh) * 2006-03-15 2007-09-19 国际商业机器公司 形成互连结构的方法及其形成的互连结构
CN101431047A (zh) * 2007-10-09 2009-05-13 应用材料股份有限公司 用于在多级互连结构中形成空气间隙的方法
US20120248608A1 (en) * 2011-04-01 2012-10-04 Hui Jae Yoo Self-forming, self-aligned barriers for back-end interconnects and methods of making same

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100690881B1 (ko) 2005-02-05 2007-03-09 삼성전자주식회사 미세 전자 소자의 듀얼 다마신 배선의 제조 방법 및 이에의해 제조된 듀얼 다마신 배선을 구비하는 미세 전자 소자
US8207060B2 (en) 2007-12-18 2012-06-26 Byung Chun Yang High yield and high throughput method for the manufacture of integrated circuit devices of improved integrity, performance and reliability
US8354751B2 (en) 2008-06-16 2013-01-15 International Business Machines Corporation Interconnect structure for electromigration enhancement
US8232200B1 (en) 2011-03-18 2012-07-31 International Business Machines Corporation Methods of forming integrated circuit devices having damascene interconnects therein with metal diffusion barrier layers and devices formed thereby
JP5857615B2 (ja) 2011-10-17 2016-02-10 富士通株式会社 電子装置およびその製造方法
US9196583B1 (en) * 2014-05-09 2015-11-24 Qualcomm Incorporated Via material selection and processing

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1495879A (zh) * 2002-07-24 2004-05-12 三星电子株式会社 微电子器件的双镶嵌互连的制造方法
CN101038907A (zh) * 2006-03-15 2007-09-19 国际商业机器公司 形成互连结构的方法及其形成的互连结构
CN101431047A (zh) * 2007-10-09 2009-05-13 应用材料股份有限公司 用于在多级互连结构中形成空气间隙的方法
US20120248608A1 (en) * 2011-04-01 2012-10-04 Hui Jae Yoo Self-forming, self-aligned barriers for back-end interconnects and methods of making same

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11404369B2 (en) 2017-05-19 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with resistive element
US11901289B2 (en) 2017-05-19 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure with resistive element
CN110800100A (zh) * 2017-06-29 2020-02-14 株式会社村田制作所 高频模块
CN110800100B (zh) * 2017-06-29 2023-09-05 株式会社村田制作所 高频模块
CN109768030A (zh) * 2017-11-09 2019-05-17 台湾积体电路制造股份有限公司 半导体装置结构
US11217482B2 (en) 2017-11-09 2022-01-04 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device with resistive element
US11798848B2 (en) 2017-11-09 2023-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure with resistive element

Also Published As

Publication number Publication date
CN106057772B (zh) 2018-11-30
US20160307793A1 (en) 2016-10-20
KR20160123971A (ko) 2016-10-26
US9793212B2 (en) 2017-10-17
KR101849728B1 (ko) 2018-04-17

Similar Documents

Publication Publication Date Title
CN106057772A (zh) 互连结构及其形成方法
US10861742B2 (en) Interconnect structure having an etch stop layer over conductive lines
JP5255292B2 (ja) 2層金属キャップを有する相互接続構造体及びその製造方法
JP5089575B2 (ja) 相互接続構造体及びその製造方法
US8791013B2 (en) Pattern forming method
US11011421B2 (en) Semiconductor device having voids and method of forming same
US7312532B2 (en) Dual damascene interconnect structure with improved electro migration lifetimes
US6514860B1 (en) Integration of organic fill for dual damascene process
US6576550B1 (en) ‘Via first’ dual damascene process for copper metallization
US20080174018A1 (en) Semiconductor device and method for fabricating the same
US5960316A (en) Method to fabricate unlanded vias with a low dielectric constant material as an intraline dielectric
CN110890319A (zh) 制造半导体器件的互连线的方法
US9716035B2 (en) Combination interconnect structure and methods of forming same
US8722533B2 (en) Semiconductor device and manufacturing method thereof
CN113471139A (zh) 形成互连结构的方法
KR20180061473A (ko) 반도체 장치 및 그 제조 방법
US9431292B1 (en) Alternate dual damascene method for forming interconnects
CN108573912B (zh) 半导体结构及其形成方法
US20120264297A1 (en) Method for creating via in ic manufacturing process
TWI512894B (zh) 金屬內連線結構及其製程
KR100789612B1 (ko) 금속 배선 형성 방법
KR100587140B1 (ko) 반도체 소자의 듀얼 다마신 패턴 형성 방법
KR20210092307A (ko) 라인 이중 다마신 구조의 후방 단부를 역 비아 패턴화하는 방법
KR20090070442A (ko) 반도체 소자의 제조 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant