JP5255292B2 - 2層金属キャップを有する相互接続構造体及びその製造方法 - Google Patents

2層金属キャップを有する相互接続構造体及びその製造方法 Download PDF

Info

Publication number
JP5255292B2
JP5255292B2 JP2008028398A JP2008028398A JP5255292B2 JP 5255292 B2 JP5255292 B2 JP 5255292B2 JP 2008028398 A JP2008028398 A JP 2008028398A JP 2008028398 A JP2008028398 A JP 2008028398A JP 5255292 B2 JP5255292 B2 JP 5255292B2
Authority
JP
Japan
Prior art keywords
layer
metal cap
interconnect structure
metal
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2008028398A
Other languages
English (en)
Other versions
JP2008205458A (ja
Inventor
チーチャオ・ヤン
カウシィク・チャンダー
ピンチュアン・ワン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2008205458A publication Critical patent/JP2008205458A/ja
Application granted granted Critical
Publication of JP5255292B2 publication Critical patent/JP5255292B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • H01L23/53252Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

本開示は、一般的には、半導体デバイス内の相互接続構造体の形成に関する。具体的には、本開示は、2層金属キャップを有する相互接続構造体を形成するための構造及び方法に関する。
集積回路チップは、典型的には、垂直方向に離間され、中間の絶縁層により分離される導電ラインの2つ又はそれ以上の層を含む。相互接続は、例えば高い配線密度及び良好な熱的性能をもたらすために、チップ内の導電ライン層の間に形成される。相互接続は、層を分離する絶縁層を貫通してエッチングされたライン(配線)及びビアにより形成される。次に、ライン及びビアは、金属で充填されて相互接続構造部(即ちビア・スタッド)を形成する。典型的な相互接続構造体は、半導体基板に対して垂直に延びる金属ビア、及び半導体基板に対して平行に延びる金属ラインを含む。このプロセスにより、ビア・スタッドにより接続された個々の層を有し、チップ上の種々の回路の間に信号を配信するように動作する、導体配線相互接続型の多重層が形成される。
図1及び図2は、内部に形成された複数のライン14a、14b及び14cを有する誘電体層12を含む、従来技術の相互接続又は配線構造体を示す。ライン14a、14b及び14cは、最初にフォトレジストを用いて絶縁層をマスクし、次いで、絶縁層の一部分を選択的にエッチングすることにより形成することができる。公知のフォトリソグラフィ法を用いて、等方性又は異方性のエッチング・プロセスにより、ライン14a、14b及び14cは、フォトレジスト内に形成されたキャビティ(空洞)を通してエッチング形成し、誘電体層12への開口部が形成される。ライン14a、14b及び14cのエッチング及びフォトレジストの除去に続いて、バリア又はライナ層16を、当技術分野では公知の手段により、ラインの底部及び側壁部分に堆積させる。ライナ層16は、後で堆積させる材料の拡散を防ぐようにラインを覆う(ライニングする)材料を含む。
図1を詳しく参照すると、次に、ライン14a、14b及び14cは、当技術分野では公知の堆積技術を用いて金属層18で充填する。金属層18は、次に、アニールし、平坦化する。金属層18は、典型的には、通常はCuである導電材料を含む。最後に、誘電体キャップ層20を、金属層18、拡散バリア16及び誘電体12を覆って堆積させる。完成した配線構造体を図1に示す。
図2を参照すると、キャップ層20は、CoWP等の選択的に堆積させた金属を含むことができ、その結果、通常用いられる高いエレクトロマイグレーション耐性を有するCu/誘電体界面と比較したときに、より優れた付着強度をもつCu/金属界面を有する配線構造体が形成される。しかしながら、エレクトロマイグレーション耐性の向上にも関わらず、金属キャップ層の使用は、それぞれの導電性構造部の間の誘電体材料の表面上に存在する金属残留物による相互接続構造体をもたらす。この問題のある金属残留物は図2に示される。具体的には、図2は、内部に埋め込まれた導電性構造部を有する誘電体材料12を含む、従来技術の相互接続構造体を示す。導電性構造部は、誘電体材料12内に設けられた開口部内に配置された導電材料18を含む。導電材料18は、拡散バリア16により誘電体材料12から分離される。金属キャップ層20は、それぞれの導電性構造部の上部露出面の上、即ち、導電材料18の上に存在する。示されるように、金属残留物22は、金属キャップ層20の形成中に、誘電体材料12の露出した上部表面の上に生じる。それぞれの導電性構造部の間の金属残留物22の存在は、従来技術の相互接続構造体の信頼性を阻害し、最近の3世代の間、金属キャップ層の使用を遅らせてきた。
従って、従来技術の欠点を克服し、かつ現行の集積方法に適合する金属キャップを有する相互接続構造体を形成する簡単な方法に対する必要性が存在する。
本開示は、2層金属キャップを有する相互接続構造体を形成するための構造及び方法に向けられる。1つの実施形態においては、相互接続構造体を形成する方法が説明される。この方法は、誘電体材料層内に少なくとも1つの相互接続構造部を形成するステップと、少なくとも1つの相互接続構造部の上面の上に2層金属キャップを形成するステップとを含む。この方法は、誘電体材料層の露出面及び2層金属キャップの表面を覆う、誘電体キャップ層のブランケット層を堆積させるステップを更に含む。2層金属キャップを形成するステップは、少なくとも1つの相互接続構造部の導電面上に金属キャップ層を形成するステップと、金属キャップ層の頂部に金属窒化物を形成するための化学的プラズマ・プロセスを実施するステップとを含む。誘電体材料層の表面層は、化学的プラズマ・プロセスの間に損傷を受けるので、本方法は、損傷された表面層を除去するステップを更に含む。金属キャップ層は貴金属を含む。1つの特定の実施形態においては、貴金属は、Co、Ir、Ru、Rh、Pd、Pt、Ta、それらの合金材料、W、B、P、MO、Re、及びそれらの組合せから成る群から選択される。
1つの特定の実施形態においては、ハードマスクが誘電体材料の頂部に形成され、ここで相互接続構造部は、誘電体材料層及びハードマスクの内部に埋め込まれる。相互接続構造部は、ハードマスクの上面と同一平面となる導電表面を含む。別の実施形態においては、少なくとも1つの相互接続構造部は、誘電体材料層の上面と同一平面となる導電表面を含み、更に、金属キャップ層が導電表面上に形成される。
少なくとも1つの相互接続構造部を形成するステップは、少なくとも1つのライン及びビア構造部を誘電体材料層内に形成するステップと、少なくとも1つのライン及びビア内にバリア層を共形的に堆積させるステップと、バリア層を覆って金属層を堆積させるステップとを含む。金属層は、Cu、W、Al及びCu合金から成る群から選択することができる。1つの特定の実施形態においては、2層金属キャップは、少なくとも1つのライン及びビア構造部の内部に形成される。代替的には、導電材料層は、窪んだ上部導電面を形成するために部分的にエッチングされ、その場合2層金属キャップは、その窪んだ上部導電面の上に形成される。
更に別の実施形態においては、誘電体層内に形成された少なくとも1つの相互接続構造部、及び少なくとも1つの相互接続構造部の頂部に形成された2層金属キャップを有する相互接続構造体を含む。相互接続構造体は、2層金属キャップを覆って形成された誘電体キャップ層を更に含む。少なくとも1つの相互接続構造部は、導電材料層、及び導電材料層を囲む拡散バリア層を含む。1つの実施形態においては、導電材料層は、誘電体層の上面と同一平面となる上面を含む。別の実施形態においては、少なくとも1つの相互接続構造部は窪み部分を含み、ここで2層金属キャップはその窪み部分に配置される。
2層金属キャップは、金属キャップ層及び金属キャップ層の金属窒化物を含む。1つの実施形態においては、金属キャップ層は貴金属を含み、ここで貴金属は、Co、Ir、Ru、Rh、Pd、Pt、Ta、W、B、P、MO、Re、及びそれらの合金から成る群から選択される。
本明細書で開示される2層金属キャップを有する相互接続構造体の他の特徴は、本明細書で開示される2層金属キャップを有する相互接続構造体を例証として示す添付の図面と併せて記述される以下の詳細な説明から明らかとなる。
本明細書で開示される2層金属キャップを有する相互接続構造体の特徴は、図面を参照しながら、以下で詳細に説明されることになる。
ここで、同様の参照数字は同一の又は対応する要素を識別する図面を参照して、本明細書で開示される2層金属キャップを有する相互接続構造体の種々の実施形態が、詳細に説明されることになる。以下の説明において、多くの具体的な詳細(例えば、特定の構造体、コンポーネント、材料、寸法、処理ステップ及び技術等)が、本開示の完全な理解を与えるために説明される。しかしながら、ここで説明される発明は、これらの具体的な詳細がなくても実施できることを、当業者ならば認識するであろう。更に、公知の構造体又は処理ステップは、本発明を不明瞭にすることを避けるために詳しくは説明されていない。ここで説明される材料は、1つの出願における発明を示すために用いられるもので、限定するものと解釈するべきではない。
ある層が、別の層の「上に(on)」又は「覆って(over)」と言うときは、それは、他の要素の直接上に存在するか、又は、中間層が存在してもよいと理解されたい。対照的に、ある層が、別の層の「直接上に(directly on)」又は「直接覆って(directly over)」と言うときは、中間層は存在しない。また、ある層が、別の層に「接続する」又は「結合する」と言うときは、それは、他の層に直接接続する若しくは結合すること、又は、中間層が存在してもよいと理解されたい。
本開示は、改善されたエレクトロマイグレーション耐性を有する2層金属キャップを有する、新規の改善された相互接続構造体を提供する。1つの実施形態においては、少なくとも1つの配線ラインを含む垂直なサブリソグラフィック構造体が提供されるが、ここで各々のラインは、誘電体材料層上に形成されたビア開口部、ビアを充填する導電材料層、及び導電材料層の上面の上に配置された2層金属キャップを有する。
ここで説明される相互接続構造体は、当業者には既知の後工程(BEOL)処理の従来技術を用いて作成することができる。前工程(FEOL)処理及び中間工程(MOL)処理もまた想定される。
図3乃至図9は、本開示の1つの実施形態による、2層金属キャップを有する相互接続構造体を製造するための構造及び方法を示す。最初に図3を参照すると、半導体構造体が示され、一般的に半導体構造体100と称される。半導体構造体100は、一般的に、半導体基板(図示せず)上に形成された第1誘電体層102、及び、第1誘電体層102を覆って形成されたハードマスク層104を含む。
半導体基板は、例えば、バルク・シリコン半導体基板、シリコン・オン・インシュレータ(SOI)及びシリコン・オン・サファイア(SOS)等の、当技術分野では公知の幾つかの半導体材料の何れかを含むことができる。他の非限定的な例には、シリコン、ゲルマニウム、シリコン・ゲルマニウム合金、シリコン・カーバイド、シリコン・ゲルマニウム・カーバイド合金、及び化合物(即ちIII―V族及びII−VI族)半導体材料が含まれる。化合物半導体材料の非限定的な例には、ガリウム、ヒ化物、ヒ化インジウム及びリン化インジウムの半導体材料が含まれる。典型的には、半導体基板は、例えば、約0.5mmから約1.5mmまでの範囲の厚さのような、約数百ミクロンの厚さとすることができるが、これに限定はされない。
1つの実施形態においては、第1誘電体層102は、約4.0又はそれ以下の誘電率k、及び約200nmから約450nmまでの範囲の厚さを有する誘電体材料を含む。誘電体層102は、任意の層間又は層内誘電体を含むことができ、また、多孔質又は非多孔質とすることができる。適切な材料には、窒化シリコン(SiN)、二酸化シリコン(SiO)、Si、SiCOH、SiLK(Dow Chemical社から入手できるポリアリーレンエーテル)、JSR(JSR社から入手できる、スピン・オン・シリコン・カーボン含有ポリマ材料)、シルセスキオキサン、Si、C、O及び/又はH原子を含むCドープ酸化物(即ち有機シリケート)、熱硬化性ポリアリーレンエーテル等、又は複数のそれらの層が含まれるが、それらに限定はされない。しかしながら、異なる誘電率及び/又は厚さを有する他の材料を用いることができることを理解されたい。
ハードマスク層104は、例えば、CVD、原子層堆積法(ALD)、物理的気相堆積法(PVD)、プラズマ助長化学気相堆積法(PECVD)等のような従来の堆積法を用いて形成することができる。ハードマスク層104は、例えば、窒化シリコン(Si)及び酸窒化シリコン(SiO)のような、エッチング停止層として機能することができる任意の材料を含むことができる。1つの実施形態においては、ハードマスク層104は、約5nmから約70nmまでの範囲の厚さを有する。
図4は、複数のライン106a、106b及び106cが、誘電体層102及びハードマスク104の内部に形成された後の半導体構造体100の断面図を示す。ライン106a、106b及び106cは、任意の従来のリソグラフィ及びエッチング法を用いて形成される。例えば、ライン106a、106b及び106cは、フォトレジスト層(図示せず)をハードマスク層104を覆ってスピン・コートしパターン付けして、例えば深紫外(UV)光を用いた露光及び現像によりフォトマスクを形成する、フォトリソグラフィ法を用いて形成することができる。フォトマスクは、誘電体層102の上のエッチング位置又は部分を画定する役割を果たす。誘電体層102は、次に、図に示されるように、ライン106a、106b及び106cが形成されるまで部分的にエッチング貫通される。反応性イオン・エッチング(RIE)等の、任意の適切なエッチング法を用いることができる。ライン106a、106b及び106cを形成した後に、フォトレジスト層及びあらゆるエッチング残留物は、適切な剥離及び洗浄法により除去される。ハードマスク104もまた除去することができる。
図5は、相互接続構造部108が形成された後の、半導体構造体100の断面図を示す。それぞれの相互接続構造部108は、導電材料層110、及び導電材料層110が拡散するのを防ぐための高抵抗性の拡散バリア又はライナ112を含む。拡散バリア112は、ハードマスク104並びにライン106a、106b、及び106cを覆って堆積させ、その結果バリア112の一部分が、ライン106a、106b及び106cの底部、並びに誘電体層102の側壁に形成される。次に、導電材料層110をバリア112の上に堆積させ、それによりライン106a、106b及び106cが導電材料層110で、好ましくは完全に充填される。次に、誘電体層102の上面より上にある、ハードマスク104並びに導電材料層110及び拡散バリア112の一部分は、化学的/機械的研磨(CMP)等の任意の適切な平坦化法により除去される。
拡散バリア112は、誘電体層102と導電材料層110の間の接触による悪影響(例えば、ピッティング、スパイキング及び拡散)を防ぐのに適切な任意の材料を含む。典型的には、拡散バリア112は、例えば、Ta、TaN、TiN、Ru、RuN、W、WN、TaSiN、TiW、又は、任意の他の高融点金属、及びそれらの窒化物等の1つ又は複数の金属を含む。更に、拡散バリア112は、任意の適切な厚さを有することができる。例えば、1つの実施形態においては、拡散バリアは、約4nmから約40nmまでの範囲の厚さを有する。拡散バリア112は、スパッタ堆積法、原子層堆積法(ALD)、又は代りに、化学気相堆積法(CVD)、物理的気相堆積法(PVD)又はイオン化PVD法(iPVD)等による、任意の適切な方法により堆積することができる。
導電材料層110は、例えば、Cu、Al、W、Au、Ag、TiN、TaN、Ta、Mo又はそれらの合金のような任意の適切な金属を含むことができる。他の適切な導電材料もまた想定される。導電材料層110は、CVD、PECVD、PVD、スパッタ堆積法、電気めっき又は無電解めっき等の、任意の適切なメタライゼーション法を用いて堆積することができる。
図6を参照すると、金属キャップ層114のブランケット堆積が、例えば、ALD、CVD及びめっき法等の適切な堆積法を用いて、パターン形成された構造体の上全面に形成される。1つの実施形態においては、金属キャップ層114は、約2Aから約50Aまでの範囲の厚さを有する。更に、金属キャップ層114は、金属キャップ層114がCuと反応するのを防ぐため、及び、良好な拡散バリアとして機能するように、貴金属から選択することができる。1つの実施形態においては、金属キャップ層114は、例えば、Co、Ir、Ru、Rh、Pd、Pt、Ta、W、B、P、MO、Re及びそれらの合金等の任意の適切な貴金属を含む。図に示されるように、金属残留物116は、金属キャップ層114の形成中に、誘電体材料102の露出した上部表面の上に生じる。
図7を参照すると、例えば、方向矢印118により示される、NH/Nプラズマ灰化/表面処理等の化学的プラズマ・プロセスを構造体100の上全面に実行し、金属キャップ層114を窒素と化合させて金属キャップ層114の上面に金属窒化物120を形成し、これにより2層金属キャップ層121が形成される。更に、誘電体層102の表面層122は、化学的プラズマ・プロセス118により損傷される。化学的プラズマ・プロセスは、必ずしも常にではないが典型的には、誘電体層102からCを涸渇させることに注意されたい。損傷された表面層122の深さは、用いられたプラズマ条件により変わり得るが、損傷表面層122は、以前に形成された金属残留物116を封じ込めるのに適した厚さを有する。即ち、化学的プラズマ・プロセスは誘電体層102の表面部分を消費して金属残留物116が損傷表面層122の内部にあるようにする。1つの実施形態においては、金属窒化物120は、約1Aから約20Aまでの範囲の厚さを有することができ、損傷された表面層122は、約3Aから約100Aまでの範囲の厚さを有することができる。更に、金属窒化物120は、例えば、Co、Ir、Ru、Rh、Pd、Pt、Ta、W、B、P、Mo、Re及びそれらの組合せを含む。
図8は、誘電体層102の損傷表面層122、及び、あらゆる他の可能性のある金属残留物を除去するための湿式洗浄処理の後の、結果の構造体を示す。例えば、フッ化水素酸(HF)等の、任意の適切な湿式洗浄処理剤を用いることができる。示されるように、結果の構造体は、「窪んだ」誘電体材料123及び延長した2層金属キャップ層121を含む。
図9を参照すると、誘電体キャップ層124のブランケット堆積が、例えば、CVD法により、構造体100を覆って形成される。図に示されるように、誘電体キャップ層124は、誘電体層102の上部の露出面、並びに延長した2層金属キャップ層121を覆う。即ち、誘電体キャップ層124は、2層金属キャップ層121の延長した頂部を封じ込める。1つの実施形態においては、誘電体キャップ層124は、約5nmから約80nmまでの範囲の厚さを有する。
図10乃至図14を参照すると、2層金属キャップを有する相互接続構造体の第2の実施形態が説明される。この特定の実施形態においては、相互接続構造部は、以下に詳述されるように、誘電体層及びハードマスク層の内部に形成される。
先ず図10を参照すると、半導体構造体200は、第1誘電体層202と、誘電体層202上に形成されたハードマスク204と、複数のライン206a、206b及び206cの上に形成された相互接続構造部208とを含む。ハードマスク204は、第1実施形態に関して前述されたように平坦化プロセス後に誘電体層202から除去されてはいないことに注意されたい。相互接続構造部208は、導電材料層210、及び、導電材料層210が拡散することを防ぐために、ライン206a、206b及び206cの周囲に形成されたバリア又はライナ212を含む。
図11を参照すると、第1金属キャップ214のブランケット堆積は、適切な堆積技術を用いて、パターン形成された構造体の上全面に形成される。金属残留物216は、誘電体層202の上面の上に見られる。
図12を参照すると、NH/Nプラズマ灰化/表面処理218が構造体の上全面で実行されて、金属キャップ214の上面上の金属窒化物220、及び誘電体層102の頂部の損傷された誘電体層222が形成される。金属窒化物220は、約1Aから約20Aまでの範囲の厚さを有することができる。更に、損傷誘電体層222は、約3Aから約100Aまでの範囲の厚さを有することができる。
図13は、例えば希釈HFを用いて損傷誘電体層222、及びあらゆる他の可能性のある金属残留物を除去する湿式洗浄処理の後の、結果の構造体を示す。結果の構造体は、金属キャップ214から延びた2層金属キャップ層221を含む。最後に、図14を参照すると、誘電体キャップ層224のブランケット堆積が、例えば、CVD法により、構造体200を覆って形成される。図に示されるように、誘電体キャップ層224は、金属キャップ層221を覆う。1つの実施形態においては、誘電体キャップ層224は、約5nmから約80nmまでの範囲の厚さを有する。
図15乃至図21を参照すると、2層金属キャップを有する相互接続構造体の別の代替的な実施形態が説明される。先ず図15を参照すると、構造体300は、第1誘電体層302と、誘電体層302上に形成されたハードマスク304と、誘電体層302及びハードマスク304の内部に形成された相互接続構造部308とを含む。相互接続構造部308は、導電材料層310、及び導電材料層310が拡散するのを防ぐための、導電材料層310の周囲のバリア又はライナ312を含む。
図16を参照すると、等方的湿式エッチング法を用いて導電材料310を部分的に除去し、導電材料層310の一部分の上に開口部313を形成する。次に、金属キャップ314のブランケット堆積が、パターン形成された構造体の上全面に形成されて開口部313を充填する(図17)。
図18を参照すると、CMPを実行して、第1金属キャップ314及びハードマスク層304を誘電体層302から除去する。図19を参照すると、NH/Nプラズマ灰化/表面処理318を構造体の上全面に実行して、金属キャップ314の上面上の金属窒化物320、及び誘電体層302の頂部の損傷された誘電体層322を形成する。金属窒化物320は、約1Aから約30Aまでの範囲の厚さを有することができる。更に、損傷誘電体層322は、約3Aから約100Aまでの範囲の厚さを有することができる。
図20は、例えば希釈HFを用いて損傷誘電体層322、及びあらゆる他の可能性のある金属残留物を除去する湿式洗浄処理の後の、結果の構造体を示す。最後に、図21を参照すると、第2誘電体層324のブランケット堆積が、例えばCVD法により、構造体300を覆って形成される。1つの実施形態においては、第2誘電体層324は、約5nmから約80nmまでの範囲の厚さを有する。
本明細書で開示された、半導体デバイスの相互接続構造体を形成するための構造及び方法の実施形態に対して、形状及び細部に多くの修正及び変更を行うことができることを理解されたい。相互接続構造体の多くの他の構成を用いることができ、そして構造体の材料及び方法は、具体的に開示されたもの以外の多くの材料から選択することができると考えられる。従って、上記の説明は、開示された構造体及び方法を限定するものではなく、それらの種々の実施形態の単なる例証であると解釈されたい。当業者ならば、本明細書に添付された特許請求の範囲により規定される本開示の範囲内で、多くの修正を想定するであろう。このように、特許法により要求される細部及び詳細事項に従って、特許請求して保護されることを望むことが、添付の特許請求項において説明される。
従来技術の相互接続構造体の略断面図を示す。 従来技術の相互接続構造体の略断面図を示す。 従来のリソグラフィ・プロセスを用いて形成された半導体構造体の断面図を示す。 従来のリソグラフィ・プロセスを用いて形成された半導体構造体の断面図を示す。 本発明の第1の実施形態による、2層金属キャップを有する相互接続構造体を形成するための一連の方法ステップを示す、略断面図である。 本発明の第1の実施形態による、2層金属キャップを有する相互接続構造体を形成するための一連の方法ステップを示す、略断面図である。 本発明の第1の実施形態による、2層金属キャップを有する相互接続構造体を形成するための一連の方法ステップを示す、略断面図である。 本発明の第1の実施形態による、2層金属キャップを有する相互接続構造体を形成するための一連の方法ステップを示す、略断面図である。 本発明の第1の実施形態による、2層金属キャップを有する相互接続構造体を形成するための一連の方法ステップを示す、略断面図である。 本発明の別の実施形態による、2層金属キャップを有する相互接続構造体を形成するための一連の方法ステップを示す、略断面図である。 本発明の別の実施形態による、2層金属キャップを有する相互接続構造体を形成するための一連の方法ステップを示す、略断面図である。 本発明の別の実施形態による、2層金属キャップを有する相互接続構造体を形成するための一連の方法ステップを示す、略断面図である。 本発明の別の実施形態による、2層金属キャップを有する相互接続構造体を形成するための一連の方法ステップを示す、略断面図である。 本発明の別の実施形態による、2層金属キャップを有する相互接続構造体を形成するための一連の方法ステップを示す、略断面図である。 本発明の更に別の実施形態による、2層金属キャップを有する相互接続構造体を形成するための一連の方法ステップを示す、略断面図である。 本発明の更に別の実施形態による、2層金属キャップを有する相互接続構造体を形成するための一連の方法ステップを示す、略断面図である。 本発明の更に別の実施形態による、2層金属キャップを有する相互接続構造体を形成するための一連の方法ステップを示す、略断面図である。 本発明の更に別の実施形態による、2層金属キャップを有する相互接続構造体を形成するための一連の方法ステップを示す、略断面図である。 本発明の更に別の実施形態による、2層金属キャップを有する相互接続構造体を形成するための一連の方法ステップを示す、略断面図である。 本発明の更に別の実施形態による、2層金属キャップを有する相互接続構造体を形成するための一連の方法ステップを示す、略断面図である。 本発明の更に別の実施形態による、2層金属キャップを有する相互接続構造体を形成するための一連の方法ステップを示す、略断面図である。
符号の説明
12:誘電体層
14a、14b、14c、106a、106b、106c、206a、206b、206c:ライン(配線)
16、112、212、312:拡散バリア(ライナ)
18:金属層
20:誘電体キャップ層
22、116、216:金属残留物
100、200、300:半導体構造体
102、202、302:第1誘電体層
104、204、304:ハードマスク層
108、208、308:相互接続構造部
110、210、310:導電材料層
114、214、314:金属キャップ層
118:化学的プラズマ・プロセス
120、220、320:金属窒化物
121、221、321:2層金属キャップ層
122、222、322:損傷表面層(損傷誘電体層)
123:窪んだ誘電体材料
124、224:誘電体キャップ層
218、318:プラズマ灰化/表面処理
313:開口部
324:第2誘電体層

Claims (8)

  1. 少なくとも1つの相互接続構造部を誘電体材料層内に形成するステップと、
    2層金属キャップを、前記少なくとも1つの相互接続構造部の上面の上に形成するステップであって
    金属キャップ層を、前記少なくとも1つの相互接続構造部の導電面上に形成するステップと、
    化学的プラズマ・プロセスを実施して、金属窒化物を前記金属キャップ層の頂部に形成するステップと、を含むステップと
    前記化学的プラズマ・プロセスの間に損傷された前記誘電体材料層の表面層を除去するステップと
    前記除去するステップ後の前記誘電体材料層の露出面と前記2層金属キャップの表面とを覆う誘電体キャップ層からなるブランケット層を堆積するステップと
    を含む、相互接続構造体を製造する方法。
  2. 前記金属キャップ層は、Co、Ir、Ru、Rh、Pd、Pt、Ta、W、B、P、Mo、及びReから成る群から選択される、請求項に記載の方法。
  3. ハードマスクを前記誘電体材料の頂部に形成するステップを更に含み、
    前記相互接続構造部は、前記誘電体材料層及び前記ハードマスクの内部に埋め込まれ、かつ前記ハードマスクの上面と同一平面となる導電面を含む、請求項1に記載の方法。
  4. 少なくとも1つの相互接続構造部を前記形成するステップは、
    少なくとも1つのライン及びビア構造部を、前記誘電体材料層内に形成するステップと、
    バリア層を、前記少なくとも1つのライン及びビア内に共形的に堆積するステップと、
    前記バリア層を覆って金属層を堆積するステップと、を含む、請求項1に記載の方法。
  5. 前記金属層は、Cu、W、Al及びCu合金から成る群から選択される、請求項に記載の方法。
  6. 前記2層金属キャップは、前記少なくとも1つのライン及びビア構造部の内部に形成される、請求項に記載の方法。
  7. 前記金属層を部分的にエッチングして窪んだ上部導電面を形成するステップを更に含み、前記2層金属キャップは、前記窪んだ上部導電面上に形成される、請求項に記載の方法。
  8. 前記少なくとも1つの相互接続構造部は、前記誘電体材料層の上面と同一平面となる導電面を含み、更に、前記2層金属キャップは前記導電面上に形成される、請求項1に記載の方法。
JP2008028398A 2007-02-16 2008-02-08 2層金属キャップを有する相互接続構造体及びその製造方法 Expired - Fee Related JP5255292B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/675705 2007-02-16
US11/675,705 US7745282B2 (en) 2007-02-16 2007-02-16 Interconnect structure with bi-layer metal cap

Publications (2)

Publication Number Publication Date
JP2008205458A JP2008205458A (ja) 2008-09-04
JP5255292B2 true JP5255292B2 (ja) 2013-08-07

Family

ID=39705947

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008028398A Expired - Fee Related JP5255292B2 (ja) 2007-02-16 2008-02-08 2層金属キャップを有する相互接続構造体及びその製造方法

Country Status (4)

Country Link
US (1) US7745282B2 (ja)
JP (1) JP5255292B2 (ja)
CN (1) CN101246847A (ja)
TW (1) TW200849468A (ja)

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8138604B2 (en) * 2007-06-21 2012-03-20 International Business Machines Corporation Metal cap with ultra-low k dielectric material for circuit interconnect applications
US7737013B2 (en) * 2007-11-06 2010-06-15 Varian Semiconductor Equipment Associates, Inc. Implantation of multiple species to address copper reliability
US8772933B2 (en) * 2007-12-12 2014-07-08 International Business Machines Corporation Interconnect structure and method of making same
US7687877B2 (en) * 2008-05-06 2010-03-30 International Business Machines Corporation Interconnect structure with a mushroom-shaped oxide capping layer and method for fabricating same
US8354751B2 (en) * 2008-06-16 2013-01-15 International Business Machines Corporation Interconnect structure for electromigration enhancement
US8309407B2 (en) * 2008-07-15 2012-11-13 Sandisk 3D Llc Electronic devices including carbon-based films having sidewall liners, and methods of forming such devices
US8232645B2 (en) 2008-08-14 2012-07-31 International Business Machines Corporation Interconnect structures, design structure and method of manufacture
TWI394239B (zh) * 2008-12-17 2013-04-21 Univ Ishou The integrated circuit with the isolation layer of metal ion migration and its encapsulation structure
JP2010258213A (ja) 2009-04-24 2010-11-11 Renesas Electronics Corp 半導体装置及び半導体装置の製造方法
JP2010258215A (ja) * 2009-04-24 2010-11-11 Renesas Electronics Corp 半導体装置及び半導体装置の製造方法
US8598031B2 (en) 2009-09-28 2013-12-03 Globalfoundries Singapore Pte. Ltd. Reliable interconnect for semiconductor device
US8299365B2 (en) * 2010-01-07 2012-10-30 International Business Machines Corporation Self-aligned composite M-MOx/dielectric cap for Cu interconnect structures
KR101656444B1 (ko) 2010-01-25 2016-09-09 삼성전자주식회사 상보형 mos 트랜지스터, 상기 상보형 mos 트랜지스터를 포함하는 반도체 장치, 및 상기 반도체 장치를 포함하는 반도체 모듈
JP5544943B2 (ja) * 2010-03-11 2014-07-09 富士通株式会社 半導体装置及びその製造方法
US8304906B2 (en) * 2010-05-28 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Partial air gap formation for providing interconnect isolation in integrated circuits
US8912658B2 (en) * 2010-10-29 2014-12-16 International Business Machines Corporation Interconnect structure with enhanced reliability
US8519516B1 (en) * 2012-03-12 2013-08-27 Micron Technology, Inc. Semiconductor constructions
US9034664B2 (en) * 2012-05-16 2015-05-19 International Business Machines Corporation Method to resolve hollow metal defects in interconnects
US8962479B2 (en) * 2013-05-10 2015-02-24 International Business Machines Corporation Interconnect structures containing nitrided metallic residues
US9059257B2 (en) 2013-09-30 2015-06-16 International Business Machines Corporation Self-aligned vias formed using sacrificial metal caps
US9368448B2 (en) * 2013-12-20 2016-06-14 Applied Materials, Inc. Metal-containing films as dielectric capping barrier for advanced interconnects
US9064801B1 (en) 2014-01-23 2015-06-23 International Business Machines Corporation Bi-layer gate cap for self-aligned contact formation
US9299605B2 (en) * 2014-03-07 2016-03-29 Applied Materials, Inc. Methods for forming passivation protection for an interconnection structure
US9379057B2 (en) 2014-09-02 2016-06-28 International Business Machines Corporation Method and structure to reduce the electric field in semiconductor wiring interconnects
US9559107B2 (en) 2015-05-20 2017-01-31 International Businesss Machines Corporation Structure and method for BEOL nanoscale damascene sidewall-defined non-volatile memory element
CN117577592A (zh) * 2016-03-25 2024-02-20 株式会社力森诺科 有机插入体及有机插入体的制造方法
US9911698B1 (en) * 2016-08-25 2018-03-06 International Business Machines Corporation Metal alloy capping layers for metallic interconnect structures
CN108573942B (zh) * 2017-03-09 2021-09-14 联华电子股份有限公司 内连线结构及其制作方法
US10431494B2 (en) 2018-01-29 2019-10-01 International Business Machines Corporation BEOL self-aligned interconnect structure
US10886465B2 (en) * 2018-02-28 2021-01-05 Taiwan Semiconductor Manufacturing Co., Ltd. Resistive random access memory device
US10734278B2 (en) * 2018-06-15 2020-08-04 Tokyo Electron Limited Method of protecting low-K layers
US10741441B2 (en) 2018-09-28 2020-08-11 International Business Machines Corporation Collar formation for chamfer-less and chamfered vias
US11227792B2 (en) 2019-09-19 2022-01-18 International Business Machines Corporation Interconnect structures including self aligned vias
JP2021136269A (ja) * 2020-02-25 2021-09-13 キオクシア株式会社 半導体装置
US11289375B2 (en) 2020-03-23 2022-03-29 International Business Machines Corporation Fully aligned interconnects with selective area deposition
US20230187350A1 (en) * 2021-12-13 2023-06-15 International Business Machines Corporation Dual-metal ultra thick metal (utm) structure

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5098860A (en) 1990-05-07 1992-03-24 The Boeing Company Method of fabricating high-density interconnect structures having tantalum/tantalum oxide layers
US5933753A (en) 1996-12-16 1999-08-03 International Business Machines Corporation Open-bottomed via liner structure and method for fabricating same
US5930669A (en) 1997-04-03 1999-07-27 International Business Machines Corporation Continuous highly conductive metal wiring structures and method for fabricating the same
US6383920B1 (en) 2001-01-10 2002-05-07 International Business Machines Corporation Process of enclosing via for improved reliability in dual damascene interconnects
US7268074B2 (en) * 2004-06-14 2007-09-11 Enthone, Inc. Capping of metal interconnects in integrated circuit electronic devices
US20060175708A1 (en) * 2005-02-10 2006-08-10 Nec Electronics Corporation Semiconductor device and method of manufacturing the same
JP2006253666A (ja) * 2005-02-10 2006-09-21 Nec Electronics Corp 半導体装置およびその製造方法
US7422983B2 (en) * 2005-02-24 2008-09-09 International Business Machines Corporation Ta-TaN selective removal process for integrated device fabrication
JP4191692B2 (ja) * 2005-03-09 2008-12-03 富士通マイクロエレクトロニクス株式会社 SiC系膜の成膜方法及び半導体装置の製造方法
US8987085B2 (en) * 2006-08-01 2015-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for improving uniformity of cap layers
US7666753B2 (en) * 2007-01-11 2010-02-23 International Business Machines Corporation Metal capping process for BEOL interconnect with air gaps
US20080197499A1 (en) * 2007-02-15 2008-08-21 International Business Machines Corporation Structure for metal cap applications

Also Published As

Publication number Publication date
TW200849468A (en) 2008-12-16
CN101246847A (zh) 2008-08-20
JP2008205458A (ja) 2008-09-04
US20080197500A1 (en) 2008-08-21
US7745282B2 (en) 2010-06-29

Similar Documents

Publication Publication Date Title
JP5255292B2 (ja) 2層金属キャップを有する相互接続構造体及びその製造方法
JP5089575B2 (ja) 相互接続構造体及びその製造方法
JP5430946B2 (ja) 相互接続構造体形成方法
JP5419328B2 (ja) 障壁冗長構成要素を有する相互接続構造体および相互接続構造体を形成する方法
US7834457B2 (en) Bilayer metal capping layer for interconnect applications
US8354751B2 (en) Interconnect structure for electromigration enhancement
TWI442512B (zh) 具有介電質氣隙之內連線結構
US8110342B2 (en) Method for forming an opening
US8232196B2 (en) Interconnect structure having a via with a via gouging feature and dielectric liner sidewalls for BEOL integration
US7964966B2 (en) Via gouged interconnect structure and method of fabricating same
US7867895B2 (en) Method of fabricating improved interconnect structure with a via gouging feature absent profile damage to the interconnect dielectric
US20090250429A1 (en) Methods of Forming Dual-Damascene Metal Wiring Patterns for Integrated Circuit Devices and Wiring Patterns Formed Thereby
US7846834B2 (en) Interconnect structure and method for Cu/ultra low k integration
US20070023868A1 (en) Method of forming copper metal line and semiconductor device including the same
US7662711B2 (en) Method of forming dual damascene pattern

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100924

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121127

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20130218

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130221

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20130219

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130402

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20130402

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130419

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160426

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees