US9368448B2 - Metal-containing films as dielectric capping barrier for advanced interconnects - Google Patents

Metal-containing films as dielectric capping barrier for advanced interconnects Download PDF

Info

Publication number
US9368448B2
US9368448B2 US14/268,727 US201414268727A US9368448B2 US 9368448 B2 US9368448 B2 US 9368448B2 US 201414268727 A US201414268727 A US 201414268727A US 9368448 B2 US9368448 B2 US 9368448B2
Authority
US
United States
Prior art keywords
dielectric layer
layer
metal containing
low
containing dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
US14/268,727
Other versions
US20150179581A1 (en
Inventor
Yihong Chen
Abhijit Basu Mallick
Mehul B. Naik
Srinivas D. Nemani
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US14/268,727 priority Critical patent/US9368448B2/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: NAIK, MEHUL B., NEMANI, SRINIVAS D., CHEN, YIHONG, MALLICK, ABHIJIT BASU
Priority to KR1020167019667A priority patent/KR101767538B1/en
Priority to CN201480069735.8A priority patent/CN105830210B/en
Priority to PCT/US2014/067254 priority patent/WO2015094606A1/en
Priority to TW103142212A priority patent/TWI594370B/en
Publication of US20150179581A1 publication Critical patent/US20150179581A1/en
Application granted granted Critical
Publication of US9368448B2 publication Critical patent/US9368448B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • aspects of the present invention relate generally to interconnect structures for use in semiconductor devices and methods for forming such structures.
  • Copper interconnects have become the industry standard since 180 nm CMOS technology nodes because of its high interconnect conductivity and electromigration resistance. However, comparing to other transition metals, copper has much higher diffusivity in silicon-based materials. The rapid diffusion of copper atoms into the surrounding silicon dioxide or other low-k dielectric materials during device operation creates shortcut paths that degrade the dielectric layer and result in device failure. Therefore, the dielectric reliability becomes one of the major concerns in copper interconnect structures.
  • a current solution is to embed the copper interconnect into a tantalum nitride or a tantalum sidewall barrier and enclose the copper interconnect with a silicon-containing dielectric capping layer, such as silicon nitride or silicon carbide. The properties of the capping layer are especially critical since a common failure mechanism for electromigration is through the capping layer.
  • the capping layer thicker to prevent electromigration is not an effective solution as the dielectric constant of capping layer is highest in the metallization stack, which strongly impairs the effective dielectric constant of an interconnect level.
  • the thickness of the capping layer must be minimized while still maintaining sufficient diffusion-blocking features and good adhesion strength with adjoining layers
  • silicon-containing dielectric capping layers such as silicon nitride or silicon carbide layers
  • the thickness of silicon-containing dielectric capping layers has been limited to about 20 nm because it is difficult to ensure the necessary diffusion-blocking properties at thicknesses less than 20 nm.
  • Alternative approaches such as using a selective metal capping barrier or a copper silicon nitride buffer layer between the copper and silicon-containing dielectric capping layer have been proposed. However, such approaches are undesirable due to an increase in interconnect resistance, which reduces device performance.
  • a method for forming an interconnect structure for use in semiconductor devices.
  • the method includes forming a low-k bulk dielectric layer on a substrate; forming a trench in the low-k bulk dielectric layer; forming a liner layer on the low-k bulk dielectric layer, the liner layer deposited conformally to the trench, forming a copper layer on the liner layer, wherein the copper layer fills the trench, removing portions of the copper layer and the liner layer to expose an upper surface of the low-k bulk dielectric layer, an upper surface of the liner layer, and an upper surface of the copper layer, and forming a metal containing dielectric layer on the upper surface of the low-k bulk dielectric layer, the upper surface of the liner layer, and the upper surface of the copper layer, wherein the metal containing dielectric layer is a metallic compound selected from the group consisting of metal oxides, metal nitrides and metal oxynitrides
  • a semiconductor interconnect structure in another embodiment, includes a substrate, a low-k bulk dielectric layer having a trench formed therein, a liner layer deposited conformally on the low-k bulk dielectric layer within the trench, a copper layer disposed on the liner layer and filling the trench, and a metal containing dielectric layer having a bottom surface contacting the low-k bulk dielectric layer, the liner layer, and the copper layer, wherein the metal containing dielectric layer is a metallic compound selected from the group consisting of metal oxides, metal nitrides and metal oxynitrides.
  • FIG. 1 is a process flow diagram of a process for forming an interconnect structure.
  • FIGS. 2A-2G illustrate an interconnect structure at different stages of the process of FIG. 1 .
  • Interconnect structures used in semiconductor devices and methods for forming such structures are described that provide advantages in blocking copper diffusion.
  • a metal containing dielectric layer is employed as a capping layer in an interconnect structure to reduce electromigration through the capping layer, thus enabling more robust interconnects at small critical dimensions.
  • FIG. 1 is a process flow diagram summarizing a process 100 for forming an interconnect structure having a plurality of layers.
  • FIGS. 2A-2G are cross-sectional views of an interconnect structure at different stages of the process 100 .
  • a substrate 200 is transferred into a processing chamber of a deposition reactor.
  • the deposition reactor may be a chemical vapor deposition (CVD) chamber, a plasma enhanced chemical vapor deposition (PECVD) chamber, an atomic layer deposition (ALD) chamber, or a physical vapor deposition (PVD) chamber, or other chamber suitable for depositing a low-k bulk dielectric layer 210 .
  • the substrate 200 provides a surface on which devices may be formed which are selectively connected utilizing the interconnect structure formed thereover.
  • the substrate 200 may be a semiconductor material such as silicon, germanium, or a compound semiconductor, a dielectric material such as glass, ceramic, or plastic, or a conductive material such as aluminum or another metal.
  • a low-k bulk dielectric layer 210 is formed on the substrate 200 .
  • the low-k bulk dielectric layer 210 may be deposited to a thickness of at least about 1000 ⁇ .
  • the low-k bulk dielectric layer 210 is formed from a material with a dielectric constant less than that silicon dioxide (or less than about 4.0), such as carbon doped silicon oxides, for example, BLACK DIAMOND® low-k dielectric film, available from Applied Materials, Inc., located in Santa Clara, Calif.
  • Process gases suitable for forming a low-k bulk dielectric layer 210 of BLACK DIAMOND® low-k dielectric film may include octamethylcyclotetrasiloxane (OMCTS), helium, and oxygen.
  • the flowrate of OMCTS may be from about 2000 to sccm to about 3500 sccm, for example about 2700 sccm.
  • the flowrate of helium may be from about 600 sccm to about 1200 sccm, for example about 900 sccm.
  • the flowrate of oxygen may be from about 100 sccm to about 200 sccm, for example about 160 sccm.
  • a PECVD process is used to form the low k bulk dielectric layer 210 , but other deposition methods may be used.
  • the gas mixture provided to the processing chamber to form the low k bulk dielectric layer 210 may be ionized into a plasma after the gas mixture is supplied to the processing chamber.
  • the PECVD process may use high and low frequency RF power.
  • the high frequency RF power may be provided at a power level from about 100 Watts to about 1500 Watts at a frequency between about 1 MHz and about 20 MHz, for example about 13.56 MHz.
  • the low frequency RF power may be provided at a power level from about 0 Watts to about 500 Watts at a frequency between about 200 kHz and about 1 MHz, for example about 350 kHz.
  • the RF power may be cycled or pulsed and may be continuous or discontinuous.
  • the processing chamber of the deposition reactor may be maintained at a temperature between about 200° C. and about 650° C., for example, 350° C., and at a pressure of between about 0.5 Torr and 20 Torr, for example 5 Torr.
  • the spacing between the showerhead and the substrate support pedestal during the deposition of the low k bulk dielectric layer 210 may be between about 200 mils and about 1,000 mils, for example 350 mils.
  • a trench 212 is formed in the low-k bulk dielectric layer 210 .
  • Trench 212 may be formed by patterning a photoresist layer on the low-k bulk dielectric layer 210 and using a suitable etching process.
  • a liner layer 214 is formed on the low-k bulk dielectric layer 210 .
  • the liner layer 214 is also conformally deposited to the trench 212 .
  • the liner layer 214 may be deposited to a thickness of about 0.5 ⁇ to about 20 ⁇ .
  • the liner layer 214 may be a layer of tantalum, tantalum nitride, ruthenium, or other suitable material. In some embodiments an ALD or PVD process is used to form the liner layer 214 , but other deposition methods may be used.
  • a copper layer 216 is formed on the liner layer 214 .
  • the copper layer 216 fills the trench 212 and may overlay a portion of the low-k bulk dielectric layer 210 .
  • the copper layer 216 may be deposited by any suitable technique. For example, a portion of the copper layer 216 may be deposited by using ALD or PVD to form a copper seed layer, and then the remainder of the copper layer 216 is formed by a plating process.
  • portions of the copper layer 216 and the liner layer 214 are removed to expose an upper surface of the low-k bulk dielectric layer 210 , an upper surface of the liner layer 214 , and an upper surface of the copper layer 216 .
  • Chemical mechanical polishing may be used to remove the portions of the copper layer 216 and the liner layer 214 .
  • the upper surfaces of the low-k bulk dielectric layer 210 , the liner layer 214 , and the copper layer 216 may be substantially coplanar.
  • a metal containing dielectric layer 218 is formed on the upper surface of the low-k bulk dielectric layer 210 , the upper surface of the liner layer 214 , and the upper surface of the copper layer 216 .
  • the metal containing dielectric layer 218 may be a metallic dielectric compound selected from the group consisting of metal oxides, metal nitrides, and metal oxynitrides.
  • metal oxides examples include aluminum oxide, zinc oxide, magnesium oxide, nickel oxide, hafnium oxide, zirconium oxide, tantalum oxide, titanium oxide, copper oxide, cerium oxide and their non-stoichiometric forms or combinations.
  • the metal oxide may be deposited by use of ALD, CVD, or PVD, spin-on techniques, or other suitable techniques.
  • aluminum oxide is used as the metal containing dielectric layer 218 .
  • the aluminum oxide layer may be formed through ALD by using trimethylaluminum (TMA) and water as the precursors.
  • TMA trimethylaluminum
  • a plasma enhanced process may be used to form the aluminum oxide layer by using TMA and oxygen as the precursors.
  • magnesium oxide is used as the metal containing dielectric layer 218 .
  • the magnesium oxide layer may be formed through ALD by using diethyl magnesium, bis(cylcopentadienyl) magnesium, or bis(ethylcyclopentadienyl) magnesium as the first precursor and water as the second precursor.
  • metal nitrides examples include aluminum nitride, titanium nitride, and zirconium nitride.
  • aluminum nitride is used as the metal containing dielectric layer 218 .
  • the aluminum nitride layer may be formed through a plasma enhanced ALD process by using TMA and ammonia as the precursors.
  • Aluminum oxynitride is an exemplary metal oxynitride that may be used for the metal containing dielectric layer 218 .
  • An aluminum oxynitride layer may be formed using TMA, ammonia and water as the precursors.
  • the thickness of the metal containing dielectric layer 218 may be less than about 20 nm, for example about 5 nm. In some embodiments the thickness of the metal containing dielectric layer 218 may be between about 0.5 nm and about 1.0 nm, for example about 0.7 nm.
  • metallic dielectric compounds with a dielectric constant less than 12 and a dielectric strength between 5 MV/cm and 20 MV/cm are used as the metal containing dielectric layer 218 .
  • Metallic dielectric compounds with such a combination of dielectric constant and dielectric strength can perform as an effective capping layer for copper interconnects at thicknesses of less than 1 nm, for example 0.5 nm.
  • an additional low-k bulk dielectric layer 220 is formed on the metal containing dielectric layer 218 .
  • the additional low-k bulk dielectric layer 220 may be formed according to a process similar to that described above in connection with forming the low-k bulk dielectric layer 210 .
  • the process of forming a trench 212 in the low-k bulk dielectric layer 210 , forming a liner layer 214 on the low-k bulk dielectric layer 210 , forming a copper layer 216 on the liner layer 214 filling the trench 212 , removing portions of the copper layer 216 and the liner layer 214 layer to expose an upper surface of the low-k bulk dielectric layer 210 , an upper surface of the liner layer 214 , and an upper surface of the copper layer 216 , forming a metal containing dielectric layer 218 on the upper surface of the low-k bulk dielectric layer 210 , the upper surface of the liner layer 214 , and the upper surface of the copper layer 216 , and forming an additional low-k bulk dielectric layer 220 on the metal containing dielectric layer 218 may be repeated as illustrated by decision operation 118 . Any number of interconnects may be provided by repeating the process any desired number of times.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Geometry (AREA)

Abstract

A method is provided for forming an interconnect structure for use in semiconductor devices. The method starts with forming a low-k bulk dielectric layer on a substrate and then forming a trench in the low-k bulk dielectric layer. A liner layer is formed on the low-k bulk dielectric layer being deposited conformally to the trench. A copper layer is formed on the liner layer filling the trench. Portions of the copper layer and liner layer are removed to form an upper surface of the low-k bulk dielectric layer, the liner layer, and the copper layer. A metal containing dielectric layer is formed on the upper surface of the low-k bulk dielectric layer, the liner layer, and the copper layer.

Description

CROSS REFERENCE TO RELATED APPLICATIONS
This application claims benefit of U.S. provisional patent application Ser. No. 61/918,973, filed Dec. 20, 2013, which is herein incorporated by reference.
BACKGROUND
1. Field
Aspects of the present invention relate generally to interconnect structures for use in semiconductor devices and methods for forming such structures.
2. Description of the Related Art
Copper interconnects have become the industry standard since 180 nm CMOS technology nodes because of its high interconnect conductivity and electromigration resistance. However, comparing to other transition metals, copper has much higher diffusivity in silicon-based materials. The rapid diffusion of copper atoms into the surrounding silicon dioxide or other low-k dielectric materials during device operation creates shortcut paths that degrade the dielectric layer and result in device failure. Therefore, the dielectric reliability becomes one of the major concerns in copper interconnect structures. A current solution is to embed the copper interconnect into a tantalum nitride or a tantalum sidewall barrier and enclose the copper interconnect with a silicon-containing dielectric capping layer, such as silicon nitride or silicon carbide. The properties of the capping layer are especially critical since a common failure mechanism for electromigration is through the capping layer.
Making the capping layer thicker to prevent electromigration is not an effective solution as the dielectric constant of capping layer is highest in the metallization stack, which strongly impairs the effective dielectric constant of an interconnect level. Thus, the thickness of the capping layer must be minimized while still maintaining sufficient diffusion-blocking features and good adhesion strength with adjoining layers
Manufacturers of semiconductor devices are ever in pursuit of smaller geometries with increased capacity at less cost. As such, the dimensions of interconnect structures and their respective capping layers must be reduced as well. The thickness of silicon-containing dielectric capping layers, such as silicon nitride or silicon carbide layers, has been limited to about 20 nm because it is difficult to ensure the necessary diffusion-blocking properties at thicknesses less than 20 nm. Alternative approaches such as using a selective metal capping barrier or a copper silicon nitride buffer layer between the copper and silicon-containing dielectric capping layer have been proposed. However, such approaches are undesirable due to an increase in interconnect resistance, which reduces device performance.
Therefore, a need exists for improved interconnect structures and methods for forming such structures.
SUMMARY
In one embodiment, a method is provided for forming an interconnect structure for use in semiconductor devices. The method includes forming a low-k bulk dielectric layer on a substrate; forming a trench in the low-k bulk dielectric layer; forming a liner layer on the low-k bulk dielectric layer, the liner layer deposited conformally to the trench, forming a copper layer on the liner layer, wherein the copper layer fills the trench, removing portions of the copper layer and the liner layer to expose an upper surface of the low-k bulk dielectric layer, an upper surface of the liner layer, and an upper surface of the copper layer, and forming a metal containing dielectric layer on the upper surface of the low-k bulk dielectric layer, the upper surface of the liner layer, and the upper surface of the copper layer, wherein the metal containing dielectric layer is a metallic compound selected from the group consisting of metal oxides, metal nitrides and metal oxynitrides
In another embodiment, a semiconductor interconnect structure is provided. The interconnect structure includes a substrate, a low-k bulk dielectric layer having a trench formed therein, a liner layer deposited conformally on the low-k bulk dielectric layer within the trench, a copper layer disposed on the liner layer and filling the trench, and a metal containing dielectric layer having a bottom surface contacting the low-k bulk dielectric layer, the liner layer, and the copper layer, wherein the metal containing dielectric layer is a metallic compound selected from the group consisting of metal oxides, metal nitrides and metal oxynitrides.
BRIEF DESCRIPTION OF THE DRAWINGS
So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
FIG. 1 is a process flow diagram of a process for forming an interconnect structure.
FIGS. 2A-2G illustrate an interconnect structure at different stages of the process of FIG. 1.
To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. It is contemplated that elements disclosed in one embodiment may be beneficially utilized on other embodiments without specific recitation.
DETAILED DESCRIPTION
Interconnect structures used in semiconductor devices and methods for forming such structures are described that provide advantages in blocking copper diffusion. In particular, a metal containing dielectric layer is employed as a capping layer in an interconnect structure to reduce electromigration through the capping layer, thus enabling more robust interconnects at small critical dimensions.
FIG. 1 is a process flow diagram summarizing a process 100 for forming an interconnect structure having a plurality of layers. FIGS. 2A-2G are cross-sectional views of an interconnect structure at different stages of the process 100. At block 102, a substrate 200 is transferred into a processing chamber of a deposition reactor. The deposition reactor may be a chemical vapor deposition (CVD) chamber, a plasma enhanced chemical vapor deposition (PECVD) chamber, an atomic layer deposition (ALD) chamber, or a physical vapor deposition (PVD) chamber, or other chamber suitable for depositing a low-k bulk dielectric layer 210. The substrate 200 provides a surface on which devices may be formed which are selectively connected utilizing the interconnect structure formed thereover. As such, the substrate 200 may be a semiconductor material such as silicon, germanium, or a compound semiconductor, a dielectric material such as glass, ceramic, or plastic, or a conductive material such as aluminum or another metal.
At block 104, a low-k bulk dielectric layer 210 is formed on the substrate 200. The low-k bulk dielectric layer 210 may be deposited to a thickness of at least about 1000 Å. The low-k bulk dielectric layer 210 is formed from a material with a dielectric constant less than that silicon dioxide (or less than about 4.0), such as carbon doped silicon oxides, for example, BLACK DIAMOND® low-k dielectric film, available from Applied Materials, Inc., located in Santa Clara, Calif. Process gases suitable for forming a low-k bulk dielectric layer 210 of BLACK DIAMOND® low-k dielectric film may include octamethylcyclotetrasiloxane (OMCTS), helium, and oxygen. The flowrate of OMCTS may be from about 2000 to sccm to about 3500 sccm, for example about 2700 sccm. The flowrate of helium may be from about 600 sccm to about 1200 sccm, for example about 900 sccm. The flowrate of oxygen may be from about 100 sccm to about 200 sccm, for example about 160 sccm.
In one embodiment a PECVD process is used to form the low k bulk dielectric layer 210, but other deposition methods may be used. The gas mixture provided to the processing chamber to form the low k bulk dielectric layer 210 may be ionized into a plasma after the gas mixture is supplied to the processing chamber. The PECVD process may use high and low frequency RF power. The high frequency RF power may be provided at a power level from about 100 Watts to about 1500 Watts at a frequency between about 1 MHz and about 20 MHz, for example about 13.56 MHz. The low frequency RF power may be provided at a power level from about 0 Watts to about 500 Watts at a frequency between about 200 kHz and about 1 MHz, for example about 350 kHz. The RF power may be cycled or pulsed and may be continuous or discontinuous. During the deposition of the low k bulk dielectric layer 210, the processing chamber of the deposition reactor may be maintained at a temperature between about 200° C. and about 650° C., for example, 350° C., and at a pressure of between about 0.5 Torr and 20 Torr, for example 5 Torr. The spacing between the showerhead and the substrate support pedestal during the deposition of the low k bulk dielectric layer 210 may be between about 200 mils and about 1,000 mils, for example 350 mils.
At block 106, a trench 212 is formed in the low-k bulk dielectric layer 210. Trench 212 may be formed by patterning a photoresist layer on the low-k bulk dielectric layer 210 and using a suitable etching process.
At block 108, a liner layer 214 is formed on the low-k bulk dielectric layer 210. The liner layer 214 is also conformally deposited to the trench 212. The liner layer 214 may be deposited to a thickness of about 0.5 Å to about 20 Å. The liner layer 214 may be a layer of tantalum, tantalum nitride, ruthenium, or other suitable material. In some embodiments an ALD or PVD process is used to form the liner layer 214, but other deposition methods may be used.
At block 110, a copper layer 216 is formed on the liner layer 214. The copper layer 216 fills the trench 212 and may overlay a portion of the low-k bulk dielectric layer 210. The copper layer 216 may be deposited by any suitable technique. For example, a portion of the copper layer 216 may be deposited by using ALD or PVD to form a copper seed layer, and then the remainder of the copper layer 216 is formed by a plating process.
At block 112, portions of the copper layer 216 and the liner layer 214 are removed to expose an upper surface of the low-k bulk dielectric layer 210, an upper surface of the liner layer 214, and an upper surface of the copper layer 216. Chemical mechanical polishing may be used to remove the portions of the copper layer 216 and the liner layer 214. After block 112, the upper surfaces of the low-k bulk dielectric layer 210, the liner layer 214, and the copper layer 216 may be substantially coplanar.
At block 114, a metal containing dielectric layer 218 is formed on the upper surface of the low-k bulk dielectric layer 210, the upper surface of the liner layer 214, and the upper surface of the copper layer 216. The metal containing dielectric layer 218 may be a metallic dielectric compound selected from the group consisting of metal oxides, metal nitrides, and metal oxynitrides.
Examples of metal oxides that may be used as the metal containing dielectric layer 218 include aluminum oxide, zinc oxide, magnesium oxide, nickel oxide, hafnium oxide, zirconium oxide, tantalum oxide, titanium oxide, copper oxide, cerium oxide and their non-stoichiometric forms or combinations. The metal oxide may be deposited by use of ALD, CVD, or PVD, spin-on techniques, or other suitable techniques.
In one embodiment, aluminum oxide is used as the metal containing dielectric layer 218. The aluminum oxide layer may be formed through ALD by using trimethylaluminum (TMA) and water as the precursors. Alternatively, a plasma enhanced process may be used to form the aluminum oxide layer by using TMA and oxygen as the precursors.
In another embodiment, magnesium oxide is used as the metal containing dielectric layer 218. The magnesium oxide layer may be formed through ALD by using diethyl magnesium, bis(cylcopentadienyl) magnesium, or bis(ethylcyclopentadienyl) magnesium as the first precursor and water as the second precursor.
Examples of metal nitrides that may be used as the metal containing dielectric layer 218 include aluminum nitride, titanium nitride, and zirconium nitride. In one embodiment, aluminum nitride is used as the metal containing dielectric layer 218. The aluminum nitride layer may be formed through a plasma enhanced ALD process by using TMA and ammonia as the precursors.
Aluminum oxynitride is an exemplary metal oxynitride that may be used for the metal containing dielectric layer 218. An aluminum oxynitride layer may be formed using TMA, ammonia and water as the precursors.
The thickness of the metal containing dielectric layer 218 may be less than about 20 nm, for example about 5 nm. In some embodiments the thickness of the metal containing dielectric layer 218 may be between about 0.5 nm and about 1.0 nm, for example about 0.7 nm.
In some embodiments, metallic dielectric compounds with a dielectric constant less than 12 and a dielectric strength between 5 MV/cm and 20 MV/cm are used as the metal containing dielectric layer 218. Metallic dielectric compounds with such a combination of dielectric constant and dielectric strength can perform as an effective capping layer for copper interconnects at thicknesses of less than 1 nm, for example 0.5 nm.
At block 116, an additional low-k bulk dielectric layer 220 is formed on the metal containing dielectric layer 218. The additional low-k bulk dielectric layer 220 may be formed according to a process similar to that described above in connection with forming the low-k bulk dielectric layer 210.
If additional interconnects are required on substrate 200, then the process of forming a trench 212 in the low-k bulk dielectric layer 210, forming a liner layer 214 on the low-k bulk dielectric layer 210, forming a copper layer 216 on the liner layer 214 filling the trench 212, removing portions of the copper layer 216 and the liner layer 214 layer to expose an upper surface of the low-k bulk dielectric layer 210, an upper surface of the liner layer 214, and an upper surface of the copper layer 216, forming a metal containing dielectric layer 218 on the upper surface of the low-k bulk dielectric layer 210, the upper surface of the liner layer 214, and the upper surface of the copper layer 216, and forming an additional low-k bulk dielectric layer 220 on the metal containing dielectric layer 218 may be repeated as illustrated by decision operation 118. Any number of interconnects may be provided by repeating the process any desired number of times.
While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (20)

The invention claimed is:
1. A method for forming an interconnect structure, the method comprising:
(a) forming a low-k bulk dielectric layer on a substrate;
(b) forming a trench in the low-k bulk dielectric layer;
(c) forming a liner layer on the low-k bulk dielectric layer, the liner layer deposited conformally to the trench;
(d) forming a copper layer on the liner layer, wherein the copper layer fills the trench;
(e) removing portions of the copper layer and the liner layer to expose an upper surface of the low-k bulk dielectric layer, an upper surface of the liner layer, and an upper surface of the copper layer; and
(f) forming a metal containing dielectric layer on the upper surface of the low-k bulk dielectric layer, the upper surface of the liner layer, and the upper surface of the copper layer, wherein the metal containing dielectric layer is a metallic compound selected from a group consisting of aluminum nitride, titanium nitride, and zirconium nitride, wherein the metal containing dielectric layer is a material with a dielectric constant less than 12 and a dielectric strength greater than 8 MV/cm.
2. The method of claim 1 further comprising:
(g) forming a second low-k bulk dielectric layer on the metal containing dielectric layer; and
(h) repeating steps (b) through (f).
3. The method of claim 1, wherein a thickness of the metal containing dielectric layer is 20 nm or less.
4. The method of claim 1, wherein a thickness of the metal containing dielectric layer is 5 nm or less.
5. The method of claim 1, wherein a thickness of the metal containing dielectric layer is within a range of about 0.5 nm to about 1 nm.
6. The method of claim 1, wherein the metal containing dielectric layer is titanium nitride.
7. The method of claim 1, wherein the metal containing dielectric layer is zirconium nitride.
8. The method of claim 1, wherein the metal containing dielectric layer is aluminum nitride.
9. The method of claim 6, wherein a thickness of the metal containing dielectric layer is within a range of about 0.5 nm to about 1 nm.
10. A semiconductor interconnect structure comprising:
a substrate;
a low-k bulk dielectric layer having a trench formed therein;
a liner layer deposited conformally on the low-k bulk dielectric layer within the trench;
a copper layer disposed on the liner layer and filling the trench; and
a metal containing dielectric layer having a bottom surface contacting the low-k bulk dielectric layer, the liner layer, and the copper layer, wherein the metal containing dielectric layer is a metallic compound selected from a group consisting of aluminum nitride, titanium nitride and zirconium nitride, wherein the metal containing dielectric layer is a material with a dielectric constant less than 12 and a dielectric strength greater than 8 MV/cm.
11. The structure of claim 10 further comprising:
a second low-k bulk dielectric layer having a trench formed therein;
a second liner layer deposited conformally on the second low-k bulk dielectric layer within the trench;
a second copper layer disposed on the second liner layer and filling the trench formed in the second low-k bulk dielectric layer; and
a second metal containing dielectric layer having a bottom surface contacting the second low-k bulk dielectric layer, the second liner layer, and the second copper layer, wherein the second metal containing dielectric layer is a metallic compound selected from a group consisting of aluminum nitride, titanium nitride, and zirconium nitride.
12. The structure of claim 10, wherein a thickness of the metal containing dielectric layer is 20 nm or less.
13. The structure of claim 10, wherein a thickness of the metal containing dielectric layer is 5 nm or less.
14. The structure of claim 10, wherein a thickness of the metal containing dielectric layer is within a range of about 0.5 nm to about 1 nm.
15. The structure of claim 10, wherein the metal containing dielectric layer is titanium nitride.
16. The structure of claim 15, wherein a thickness of the metal containing dielectric layer is 5 nm or less.
17. The structure of claim 15, wherein a thickness of the metal containing dielectric layer is within a range of about 0.5 nm to about 1 nm.
18. A semiconductor interconnect structure comprising:
a substrate;
a low-k bulk dielectric layer having a trench formed therein;
a liner layer deposited conformally on the low-k bulk dielectric layer within the trench;
a copper layer disposed on the liner layer and filling the trench; and
a metal containing dielectric layer having a bottom surface contacting the low-k bulk dielectric layer, the liner layer, and the copper layer, wherein the metal containing dielectric layer comprises zirconium nitride, wherein the metal containing dielectric layer is a material with a dielectric constant less than 12 and a dielectric strength greater than 8 MV/cm.
19. The structure of claim 10, wherein the metal containing dielectric layer is aluminum nitride.
20. The structure of claim 10, wherein the metal containing dielectric layer is zirconium nitride.
US14/268,727 2013-12-20 2014-05-02 Metal-containing films as dielectric capping barrier for advanced interconnects Active US9368448B2 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
US14/268,727 US9368448B2 (en) 2013-12-20 2014-05-02 Metal-containing films as dielectric capping barrier for advanced interconnects
KR1020167019667A KR101767538B1 (en) 2013-12-20 2014-11-25 Metal-containing films as dielectric capping barrier for advanced interconnects
CN201480069735.8A CN105830210B (en) 2013-12-20 2014-11-25 As the dielectric for advanced interconnection bind barrier layer containing metal film
PCT/US2014/067254 WO2015094606A1 (en) 2013-12-20 2014-11-25 Metal-containing films as dielectric capping barrier for advanced interconnects
TW103142212A TWI594370B (en) 2013-12-20 2014-12-04 Metal-containing films as dielectric capping barrier for advanced interconnects

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201361918973P 2013-12-20 2013-12-20
US14/268,727 US9368448B2 (en) 2013-12-20 2014-05-02 Metal-containing films as dielectric capping barrier for advanced interconnects

Publications (2)

Publication Number Publication Date
US20150179581A1 US20150179581A1 (en) 2015-06-25
US9368448B2 true US9368448B2 (en) 2016-06-14

Family

ID=53400883

Family Applications (1)

Application Number Title Priority Date Filing Date
US14/268,727 Active US9368448B2 (en) 2013-12-20 2014-05-02 Metal-containing films as dielectric capping barrier for advanced interconnects

Country Status (5)

Country Link
US (1) US9368448B2 (en)
KR (1) KR101767538B1 (en)
CN (1) CN105830210B (en)
TW (1) TWI594370B (en)
WO (1) WO2015094606A1 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9806018B1 (en) * 2016-06-20 2017-10-31 International Business Machines Corporation Copper interconnect structures
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
CN109273402B (en) * 2018-09-13 2020-08-25 德淮半导体有限公司 Manufacturing method of metal barrier layer, metal interconnection structure and manufacturing method thereof

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6436817B2 (en) * 1999-12-29 2002-08-20 Hyundai Electronics Industries Co., Ltd. Method for manufacturing a copper interconnection with an aluminum oxide-conductive layer stack barrier layer in semiconductor memory device
US6620721B1 (en) * 2002-06-04 2003-09-16 United Microelectronics Corp. Method of forming a self-aligning pad
US20050186801A1 (en) * 1999-06-24 2005-08-25 Shouochi Uno Method of manufacture of semiconductor integrated circuit
US20070099417A1 (en) 2005-10-28 2007-05-03 Applied Materials, Inc. Adhesion and minimizing oxidation on electroless CO alloy films for integration with low K inter-metal dielectric and etch stop
US20080096380A1 (en) 2006-10-24 2008-04-24 Chung-Chi Ko Low-k interconnect structures with reduced RC delay
US20080124924A1 (en) 2006-07-18 2008-05-29 Applied Materials, Inc. Scheme for copper filling in vias and trenches
US20080280432A1 (en) * 2004-12-01 2008-11-13 Chung-Liang Chang Barrier Material and Process for Cu Interconnect
US20090137111A1 (en) * 2007-11-26 2009-05-28 Jeong-Ho Lee Method of fabricating metal interconnection and method of fabricating image sensor using the same
US7618889B2 (en) 2006-07-18 2009-11-17 Applied Materials, Inc. Dual damascene fabrication with low k materials
US20110223759A1 (en) 2010-03-15 2011-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Low-k Cu Barriers in Damascene Interconnect Structures
US20120220057A1 (en) * 2005-06-02 2012-08-30 Fujitsu Semiconductor Limited Semiconductor device and method for manufacturing the same
US20130005146A1 (en) 2011-04-01 2013-01-03 Applied Materials, Inc. MULTILAYERED LOW k CAP WITH CONFORMAL GAP FILL AND UV STABLE COMPRESSIVE STRESS PROPERTIES
US20130040464A1 (en) 2010-09-24 2013-02-14 Yifeng Zhou Method of patterning a low-k dielectric film
US20130109187A1 (en) 2011-10-28 2013-05-02 Srinivas D. Nemani Post etch treatment (pet) of a low-k dielectric film

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6433429B1 (en) * 1999-09-01 2002-08-13 International Business Machines Corporation Copper conductive line with redundant liner and method of making
TW478101B (en) * 2000-03-23 2002-03-01 Ibm Structure for protecting copper interconnects in low dielectric constant materials from oxidation
US7749879B2 (en) 2006-08-03 2010-07-06 Micron Technology, Inc. ALD of silicon films on germanium
US7745282B2 (en) * 2007-02-16 2010-06-29 International Business Machines Corporation Interconnect structure with bi-layer metal cap
US8268722B2 (en) * 2009-06-03 2012-09-18 Novellus Systems, Inc. Interfacial capping layers for interconnects
CN102054756A (en) * 2009-11-10 2011-05-11 中芯国际集成电路制造(上海)有限公司 Copper interconnection structure and formation method thereof
JP5773306B2 (en) * 2010-01-15 2015-09-02 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated Method and apparatus for forming a semiconductor device structure

Patent Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050186801A1 (en) * 1999-06-24 2005-08-25 Shouochi Uno Method of manufacture of semiconductor integrated circuit
US6436817B2 (en) * 1999-12-29 2002-08-20 Hyundai Electronics Industries Co., Ltd. Method for manufacturing a copper interconnection with an aluminum oxide-conductive layer stack barrier layer in semiconductor memory device
US6620721B1 (en) * 2002-06-04 2003-09-16 United Microelectronics Corp. Method of forming a self-aligning pad
US20080280432A1 (en) * 2004-12-01 2008-11-13 Chung-Liang Chang Barrier Material and Process for Cu Interconnect
US20120220057A1 (en) * 2005-06-02 2012-08-30 Fujitsu Semiconductor Limited Semiconductor device and method for manufacturing the same
US20070099417A1 (en) 2005-10-28 2007-05-03 Applied Materials, Inc. Adhesion and minimizing oxidation on electroless CO alloy films for integration with low K inter-metal dielectric and etch stop
US7910476B2 (en) 2005-10-28 2011-03-22 Applied Materials, Inc. Adhesion and minimizing oxidation on electroless CO alloy films for integration with low K inter-metal dielectric and etch stop
US20080124924A1 (en) 2006-07-18 2008-05-29 Applied Materials, Inc. Scheme for copper filling in vias and trenches
US7618889B2 (en) 2006-07-18 2009-11-17 Applied Materials, Inc. Dual damascene fabrication with low k materials
US20080096380A1 (en) 2006-10-24 2008-04-24 Chung-Chi Ko Low-k interconnect structures with reduced RC delay
US20090137111A1 (en) * 2007-11-26 2009-05-28 Jeong-Ho Lee Method of fabricating metal interconnection and method of fabricating image sensor using the same
US20110223759A1 (en) 2010-03-15 2011-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Low-k Cu Barriers in Damascene Interconnect Structures
US20130040464A1 (en) 2010-09-24 2013-02-14 Yifeng Zhou Method of patterning a low-k dielectric film
US20130005146A1 (en) 2011-04-01 2013-01-03 Applied Materials, Inc. MULTILAYERED LOW k CAP WITH CONFORMAL GAP FILL AND UV STABLE COMPRESSIVE STRESS PROPERTIES
US20130109187A1 (en) 2011-10-28 2013-05-02 Srinivas D. Nemani Post etch treatment (pet) of a low-k dielectric film

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
PCT Notification of Transmittal of the International Search Report and the Written Opinion of the International Searching Authority for International Application No. PCT/US2014/067254 dated Feb. 25, 2015; 8 total pages.

Also Published As

Publication number Publication date
WO2015094606A9 (en) 2015-08-13
US20150179581A1 (en) 2015-06-25
WO2015094606A1 (en) 2015-06-25
KR20160098502A (en) 2016-08-18
KR101767538B1 (en) 2017-08-11
CN105830210A (en) 2016-08-03
TWI594370B (en) 2017-08-01
TW201528430A (en) 2015-07-16
CN105830210B (en) 2019-07-16

Similar Documents

Publication Publication Date Title
US7163721B2 (en) Method to plasma deposit on organic polymer dielectric film
CN112242295A (en) Method of forming topologically controlled amorphous carbon polymer films
CN102237272B (en) Semiconductor device and manufacturing method for semiconductor device
US8404582B2 (en) Structure and method for manufacturing interconnect structures having self-aligned dielectric caps
US20110256715A1 (en) Barrier layer for copper interconnect
US9105697B2 (en) Trench formation using rounded hard mask
US20080026579A1 (en) Copper damascene process
CN105336680B (en) Semiconductor device, manufacturing method thereof and electronic device
US6958524B2 (en) Insulating layer having graded densification
US20130309866A1 (en) Method of manufacturing a semiconductor device
US9184093B2 (en) Integrated cluster to enable next generation interconnect
US9368448B2 (en) Metal-containing films as dielectric capping barrier for advanced interconnects
US10950500B2 (en) Methods and apparatus for filling a feature disposed in a substrate
US9449872B1 (en) Method for forming cobalt barrier layer and metal interconnection process
US7199048B2 (en) Method for preventing metalorganic precursor penetration into porous dielectrics
US7531902B2 (en) Multi-layered metal line of semiconductor device having excellent diffusion barrier and method for forming the same
US20070072412A1 (en) Preventing damage to interlevel dielectric
US20070128553A1 (en) Method for forming feature definitions
JP2005129937A (en) Low k integrated circuit interconnection structure
JP2010034517A (en) Semiconductor device and method of manufacturing the same
US6774053B1 (en) Method and structure for low-k dielectric constant applications
CN102956539B (en) Copper interconnection structure and manufacture method thereof
TW202111870A (en) Methods and apparatus for hybrid feature metallization
KR20240113592A (en) Tungsten fluoride immersion and treatment for tungsten oxide removal
KR100891524B1 (en) Method of manufacturing semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHEN, YIHONG;MALLICK, ABHIJIT BASU;NAIK, MEHUL B.;AND OTHERS;SIGNING DATES FROM 20140604 TO 20140610;REEL/FRAME:033698/0795

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8