JP5430946B2 - 相互接続構造体形成方法 - Google Patents

相互接続構造体形成方法 Download PDF

Info

Publication number
JP5430946B2
JP5430946B2 JP2008557413A JP2008557413A JP5430946B2 JP 5430946 B2 JP5430946 B2 JP 5430946B2 JP 2008557413 A JP2008557413 A JP 2008557413A JP 2008557413 A JP2008557413 A JP 2008557413A JP 5430946 B2 JP5430946 B2 JP 5430946B2
Authority
JP
Japan
Prior art keywords
dielectric material
opening
forming
dielectric
interconnect
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2008557413A
Other languages
English (en)
Other versions
JP2009528702A (ja
Inventor
ヤン、チーチャオ
スプーナー、テリー、エー
ヴァン、デル、ストラッテン、オスカー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2009528702A publication Critical patent/JP2009528702A/ja
Application granted granted Critical
Publication of JP5430946B2 publication Critical patent/JP5430946B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32131Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by physical means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

本発明は、半導体構造体及びこれを製造する方法に関する。より具体的には、本発明は、ビア開口部の上方に配置されたライン開口部内に連続的な拡散バリアを含む相互接続構造体と、このような半導体構造体を製造する方法とに関する。連続的な拡散バリアは、ビア開口部の下方に配置された導電性構造部内にガウジング構造部(gouging feature)を形成した後に形成される。このため、ガウジング構造部の形成中、誘電体材料内に損傷が導入されることはない。
一般に、半導体デバイスは、半導体基板上に製造された集積回路を形成する複数の回路を含む。信号経路の複雑なネットワークは、通常、基板表面上に分配された回路素子を接続するようにルートが決定される。デバイスを横断するこれらの信号の効率的なルート決定は、例えば、シングル又はデュアル・ダマシン配線構造体のような多重レベル又は多層構造の形成を必要とする。典型的な相互接続構造体内では、金属ビアが半導体基板に対して垂直に走り、金属ラインは半導体基板に対して平行に走る。
何百万というデバイス及び回路が1つの半導体チップ上に詰め込まれるに従って、世代を経るごとに配線密度及び金属レベルの数の両方が増大される。高い信号速度に対して低いRCを提供するために、二酸化シリコンより低い誘電率を有する低k誘電体材料及び銅含有ラインが不可欠なものになりつつある。歩留まり及び信頼性を確実にするために、従来のダマシン・プロセスによって形成された薄い金属配線及びスタッドの品質は、極めて重要である。今日この領域において直面する主要な問題は、低k誘電体材料内に埋め込まれた深いサブミクロンの金属スタッドの機械的完全性が不十分であることであり、このことが、相互接続構造体内に不満足な熱サイクル及びストレス・マイグレーション抵抗を引き起こすことがある。この問題は、新しいメタライゼーション手法又は多孔性低k誘電体材料のいずれかを用いるときに、より深刻になる。
相互接続構造体内に銅ダマシン及び低k誘電体材料を用いながら、この弱い機械的強度の問題を解決するために、半導体業界によって、所謂「ビア・パンチスルー」技術が採用された。ビア・パンチスルーは、相互接続構造体内にビア・ガウジング構造部(すなわち、アンカー領域)を提供するものである。このようなビア・ガウジング構造部は、適度なコンタクト抵抗、及び、コンタクト・スタッドの機械的強度の増大を達成することが報告されている。これらの発見は、例えば、非特許文献1、非特許文献2、並びにChang他への特許文献1、Simon他への特許文献2、Geffken他への特許文献3、Uzoh他への特許文献4及びYang他への特許文献5において報告された。
しかしながら、従来技術においてビア・ガウジングを生成するのに用いられるアルゴン・スパッタリング技術は、トレンチ(すなわち、ライン開口部)底部から、例えばTaNなどの付着されたライナ材料を除去するだけでなく、低k誘電体材料にも損傷を与える。ガウジング構造部を生成する要件のために、最終的な相互接続構造体は、トレンチ底部におけるライナ被覆率が低いだけでなく、Arスパッタリング・プロセスにより、深刻な損傷が低k誘電体材料に導入された。このことが、高度なチップ製造に関する主な歩留まり低下原因及び信頼性の問題になっている。
ビア・ガウジングに関する既存の従来技術の手法の詳細なプロセス・ステップが、図1−図5に示され、ここで以下に説明される。最初に、下部相互接続レベル100の上に配置された上部相互接続レベル108のデュアル・ダマシンをパターン形成した後に形成される従来技術の構造体を示す図1を参照する。下部相互接続レベル100は、内部に金属(Cu)の構造部104を含む第1の低k誘電体材料102を含む。下部相互接続レベル100は、キャップ層106によって、上部相互接続レベル108から部分的に分離されている。上部相互接続レベル108は、内部に配置されたライン開口部112及びビア開口部114の両方を含む第2の低k誘電体材料110を含む。図1に示されるように、ビア開口部114の下方にある下部相互接続レベル100の金属構造部104の表面は露出されている。
図2は、露出面の全ての上に、例えばTaNなどの拡散バリア116を形成した後の図1の従来技術の構造体を示す。次いで、ビア開口部114内の底部の水平面を洗浄し、かつ、下部相互接続レベル100の金属構造部104内にガウジング構造部(すなわち、アンカー領域)118を形成するために、図3に示されるようなアルゴン・スパッタリングが行われる。ガウジング構造部118は、示される種々の相互接続レベル間の相互接続強度を強化するために用いられる。Arスパッタリング・プロセス中、ライン開口部112の各々の底部から拡散バリア116が除去され、ライン開口部112の各々の底部に、誘電体の損傷120(第2の低k誘電体材料110内の丸印で示される)が形成される。スパッタリング・プロセス中に形成される誘電体の損傷120は、従来技術のスパッタリング・プロセスの固有の攻撃的な性質に起因するものである。
図4は、その露出面上に、例えばTa、Ru、Ir、Rh、又はPtなどの金属ライナ層122を形成した後の図3の従来技術の構造体を示す。図5は、ライン開口部112及びビア開口部114を、例えばCuなどの導電性金属124で充填し、平坦化した後の従来技術の構造体を示す。図5に示されるように、従来技術の構造体は、金属充填ラインの底部における拡散バリア116の被覆率(参照符号126で示される)が低く、第2の低k誘電体材料110内に形成された損傷120の結果として構造部の底部が粗い。これらの特性の両方が、拡散バリア116の品質を低減させ、全体的な配線の信頼性を低下させる。さらに、上述の特性の両方により、高レベルの金属間漏れを示す構造体がもたらされる。
多孔性超低k誘電体材料(約2.8以下の誘電率を有する)が開発され、層間誘電体の1つとして相互接続構造体に用いられてきた。高密度の(すなわち、非多孔性の)低k誘電体と比較すると、アルゴン・スパッタリングによる損傷の影響は、試験された大部分の超低k誘電体材料において著しく高いものであり、このことは、現在のメタライゼーション手法(例えば、図1乃至図5を参照されたい)を超低k誘電体材料と統合することを不可能に近いものにする。その結果、バリアの完全性を試験する間、現在の超低kハードウェアの全てが故障した。超低k誘電体内にCu相互接続部を有する従来技術の相互接続構造体の走査型電子顕微鏡写真(SEM)の断面が、図6に示される。SEM画像に含まれる矢印は、Arスパッタリング中に超低k誘電体材料内に形成された損傷部を指し示す。
米国特許第4,184,909号 米国特許第5,933,753号 米国特許第5,985,762号 米国特許第6,429,519号 米国特許第6,784,105号 M.−Si.Liang著、「Challenges in Cu/Low k Integration」、IEEE Int.Electron Devices Meeting、313(2004年) D.Edelstein他著、「Comprehensive Reliability Evaluation of a 90nm CMOS Technology with Cu/PECVD Low k BEOL」、IEEE Int.Reliability Physics Symp.、316(2004年)
従来技術の相互接続構造体、特に層間誘電体材料の1つとして多孔性超低k誘電体を含む相互接続構造体に関する上記の欠点に鑑みて、誘電体材料(低k及び超低kを含む)内に形成されたライン開口部の水平面から拡散バリアが除去されるのを回避し、そのため、誘電体材料内に損傷を導入しない、新しい改善された統合方法の開発に対する必要性が引き続き存在する。
本発明は、ビア開口部の底部にガウジング構造部を含む相互接続構造体の形成方法を提供するものであり、この方法は、上を覆うライン開口部内の付着された拡散バリアの被覆率を低下させず、かつ、ビア開口部及びライン開口部を含む誘電体材料内に、Arスパッタリングにより引き起こされる損傷を導入しない。本発明によると、このような相互接続構造体は、ライン開口部を形成し、かつ、ライン開口部内に拡散バリアを付着させる前に、ビア開口部の底部にガウジング構造部を提供することによって達成される。
本発明により形成される相互接続構造体のライン領域において拡散バリアの被覆率は連続的であり、相互接続誘電体材料内に損傷が導入されないので、本発明により形成される相互接続構造体は、図1−図5に示される処理の流れを用いて製造される従来の相互接続構造体に比べて、配線の信頼性が改善され、金属間の漏れのレベルが低い。
本発明により製造される半導体構造体は、
少なくとも1つの導電性構造部が内部に埋め込まれた第1の誘電体材料を含む下部相互接続レベルと、
第1の誘電体材料及び少なくとも1つの導電性構造部の全てではなく一部分の上に配置された誘電体キャップ層と、
少なくとも1つの導電的に充填されたビア及び上を覆う導電的に充電されたラインが内部に配置された第2の誘電体材料を含む上部相互接続レベルであって、導電的に充填されたビアは、アンカー領域によって第1の相互接続レベルの少なくとも1つの導電性構造部の露出面と接触している、上部相互接続レベルと
を含み、
導電的に充填されたビアは、第1の拡散バリア層によって第2の誘電体材料から分離されており、
導電的に充填されたラインは、第2の連続的な拡散バリア層によって第2の誘電体材料から分離されており、そのため、第2の誘電体材料は導電的に充填されたラインに隣接する領域内に損傷領域を含まな
本発明により形成される好ましい相互接続構造体は、Cu又はCu含有合金で充填されたビア及びラインを含み、第1及び第2の誘電体材料は、約2.8以下の誘電率を有する同じ又は異なる多孔性誘電体材料である。
本発明により製造される半導体構造体は、
少なくとも1つの導電性構造部が内部に埋め込まれた第1の誘電体材料を含む下部相互接続レベルと、
第1の誘電体材料及び少なくとも1つの導電性構造部の全てではなく一部分の上に配置された誘電体キャップ層と、
少なくとも1つの導電的に充填されたビア及び上を覆う導電的に充電されたラインが内部に配置された第2の誘電体材料を含む上部相互接続レベルであって、導電的に充填されたビアは、アンカー領域によって、少なくとも1つの第1の相互接続レベル内の少なくとも1つの導電性構造部と接触している、上部相互接続レベルと
を含み、
導電的に充填されたビアは、第1の拡散バリア層によって第2の誘電体材料から分離されており、
導電的に充填されたラインは、第2の連続的な拡散バリア層によって第2の誘電体材料から分離されており、そのため、第2の誘電体材料は、導電的に充填されたラインに隣接した領域内に損傷領域を含まな
発明は、半導体構造体を製造する方法提供する。本発明の一実施形態において、方法は、
少なくとも1つの導電性構造部が内部に埋め込まれた第1の誘電体層を含む下部相互接続レベルと、下部相互接続レベルの上に配置された少なくとも1つの導電性構造部の一部を露出させる少なくとも1つのビア開口部を有する第2の誘電体とを含む上部相互接続レベルとを含む初期相互接続構造体を準備するステップであって、下部及び上部相互接続レベルは、誘電体キャップ層によって部分的に分離され、上部相互接続レベルの表面上にはパターン形成されたハードマスクがある、ステップと、
初期相互接続構造体の全ての露出面上に第1のバリア層を形成するステップと、
ビア開口部の底部に配置された少なくとも1つの導電性構造部内にパンチスルー・ガウジング構造部をスパッタリングにより形成するステップと、
第2の誘電体材料内に、少なくとも1つのビア開口部の上方に延びる少なくとも1つのライン開口部を形成するステップと、
少なくとも少なくとも1つのライン開口部内に第2の連続的な拡散バリア層を形成するステップと、
少なくとも1つのライン開口部及び少なくとも1つのビア開口部の両方の中に、接着・めっきシード層を形成するステップと、
少なくとも1つのライン開口部及び少なくとも1つのビア開口部を導電性材料で充填するステップと、を含む。
本発明の好ましい実施形態において、本発明の方法は、Cu又はCu含有合金でビア及びラインを充填するステップと、第1及び第2の誘電体材料の両方として約2.8以下の誘電率を有する多孔性誘電体材料を用いるステップとを含む。
本発明の更に別の実施形態において、方法は、
少なくとも1つの導電性構造部が内部に埋め込まれた第1の誘電体層を含む下部相互接続レベルと、下部相互接続レベルの上に配置された少なくとも1つの導電性構造部の一部を露出させる少なくとも1つのビア開口部を有する第2の誘電体を含む上部相互接続レベルとを含む初期相互接続構造体を準備するステップであって、下部及び上部相互接続レベルは、誘電体キャップ層によって部分的に分離され、上部相互接続レベルの表面上にはパターン形成されたハードマスクがある、ステップと、
初期相互接続構造体の全ての露出面上に第1のバリア層を形成するステップと、
ビア開口部の底部に配置された少なくとも1つの導電性構造部内にパンチスルー・ガウジング構造部をスパッタリングにより形成するステップと、
ガウジング構造部の上に金属界面層を形成するステップと、
第2の誘電体材料内に、少なくとも1つのビア開口部の上方に延びる少なくとも1つのライン開口部を形成するステップと、
少なくとも少なくとも1つのライン開口部内に第2の連続的な拡散バリア層を形成するステップと、
少なくとも1つのライン開口部及び少なくとも1つのビア開口部の両方の中に、接着・めっきシード層を形成するステップと、
少なくとも1つのライン開口部及び少なくとも1つのビア開口部を導電性材料で充填するステップと、を含む。
本発明は、ガウジングされた(掘られた)ビア構造部(すなわち、固定されたビア底部(anchored via bottom))を含む相互接続構造体、及びその製造方法を提供するものであり、ここで以下の議論及び本出願に添付の図面を参照することによってより詳細に説明される。本明細書で以下でより詳細に言及される本出願の図面は、例示目的のために提供されるものであり、一定の縮尺では描かれていない。
本発明のプロセス・フローは、図7に示される初期相互接続構造体10を準備することで開始する。具体的には、図7に示される初期相互接続構造体10は、誘電体キャップ層14によって部分的に分離される下部相互接続レベル12及び上部相互接続レベル16を含む多重レベル相互接続部を含む。半導体基板(図示せず)の上方に配置することができ、1つ又は複数の半導体デバイスを含む下部相互接続レベル12は、バリア層(図示せず)によって第1の誘電体層18から分離される少なくとも1つの導電性構造部(すなわち、導電性領域)20を有する第1の誘電体材料18を含む。上部相互接続レベル16は、少なくとも1つのビア開口部26が内部に配置された第2の誘電体材料24を含む。示されるように、少なくとも1つのビア開口部26は、導電性構造部20の一部を露出させる。上部相互接続レベル16の上には、パターン形成されたハードマスク28がある。図7に示される構造体は単一のビア開口部26を示すが、本発明は、第2の誘電体材料24内に、第1の誘電体材料18内に存在し得る他の導電性構造部20を露出させる任意の数のこうしたビア開口部を形成することも考慮する。
図7に示される初期相互接続構造体10は、当業者には周知の通常の技術を用いて作製される。例えば、初期相互接続構造体は、最初に第1の誘電体材料18を基板(図示せず)の表面に適用することによって形成することができる。図示されていない基板は、半導体材料、絶縁材料、導電性材料、又はそれらのいずれかの組み合わせを含むことができる。基板が半導体材料で構成される場合、Si、SiGe、SiGeC、SiC、Ge合金、GaAs、InAs、InP及びその他のIII/V族又はII/VI族化合物半導体のような任意の半導体を用いてもよい。これらの列挙された種類の半導体材料に加えて、本発明は、半導体基板が、例えばSi/SiGe、Si/SiC、シリコン・オン・インシュレータ(SOI)、又はシリコン・ゲルマニウム・オン・インシュレータ(SGOI)のような層状半導体である場合も考慮する。
基板が絶縁材料である場合、絶縁材料は、有機絶縁体、無機絶縁体、又は多層構造を含むそれらの組み合わせとすることができる。基板が導電性材料である場合、基板は、例えば、ポリSi、元素金属(elemental metal)、元素金属の合金、金属シリサイド、金属窒化物、又は多層構造を含むそれらの組み合わせを含むことができる。基板が半導体材料を含む場合、例えば、相補型金属酸化膜半導体(CMOS)デバイスのような1つ又は複数の半導体デバイスをその上に製造することができる。
下部相互接続レベル12の第1の誘電体材料18は、無機誘電体又は有機誘電体を含むどのような層間誘電体又は層内誘電体を含んでいてもよい。第1の誘電体材料18は、多孔性であっても、又は非多孔性であってもよく、本発明の幾つかの実施形態において、多孔性誘電体は、約2.8以下の誘電率を有することが非常に好ましい。第1の誘電体材料18として使用できる好適な誘電体の幾つかの例は、これらに限られるものではないが、SiO、シルセスキオキサン、Si、C、O及びH原子を含むCドープ酸化物(すなわち、オルガノシリケート)、熱硬化性ポリアリーレンエーテル、又はそれらの多層構造を含む。「ポリアリーレン」という用語は、本出願においては、結合、縮合環、又は、例えば酸素、硫黄、スルホン、スルホキシド、カルボニルなどのような不活性結合基によって共に連結された、アリール部分又は不活性置換されたアリール部分を表すために使用される。
第1の誘電体材料18は、典型的には約4.0以下の誘電率を有し、2.8以下の誘電率がさらにより典型的である。これらの誘電体は、一般に、誘電率が4.0より高い誘電体材料に比べて寄生クロストークが低い。第1の誘電体材料18の厚さは、使用される誘電体材料、及び下部相互接続レベル12内の誘電体の正確な数に依存して変わり得る。典型的には、通常の相互接続構造体に関して、第1の誘電体材料18は、約200nmから約450nmまでの厚さを有する。
下部相互接続レベル12は、第1の誘電体材料18内に埋め込まれた(すなわち、第1の誘電体材料18内に配置された)少なくとも1つの導電性構造部20も有する。導電性構造部20は、バリア層(図示せず)によって第1の誘電体材料18から分離される導電性材料を含む。導電性構造部20は、リソグラフィを行い(すなわち、フォトレジストを第1の誘電体材料18の表面に塗布し、そのフォトレジストを所望の放射パターンで露光し、従来のレジスト現像剤を利用して露光されたレジストを現像する)、第1の誘電体材料18内に開口部をエッチング(乾式エッチング又は湿式エッチング)し、そのエッチングされた領域をバリア層で、次いで導電性材料で充填し、導電性領域を形成することによって形成される。バリア層は、Ta、TaN、Ti、TiN、Ru、RuN、W、WN、又は導電性材料がバリア層を通って拡散するのを防止するためのバリアとして作用できる他のいずれかの材料を含むことができ、例えば、原子層付着(ALD)、化学気相付着(CVD)、プラズマ強化化学気相付着(PECVD)、物理気相付着(PVD)、スパッタリング、化学溶液付着、又はめっきのような付着プロセスによって形成される。
バリア層の厚さは、正確な付着プロセス手段並びに使用される材料に応じて変わり得る。典型的には、バリア層は、約4nmから約40nmの厚さを有し、約7nmから約20nmまでの厚さがより典型的である。
バリア層の形成に続いて、第1の誘電体材料18内の開口部の残りの領域が、導電性材料で充填され、導電性構造部20を形成する。導電性構造部20を形成するのに使用される導電性材料は、例えば、ポリSi、導電性金属、少なくとも1つの導電性金属を含む合金、導電性金属シリサイド、又はそれらの組み合わせを含む。導電性構造部20を形成するのに使用される導電性材料は、Cu、W、又はAlのような導電性金属であることが好ましく、本発明においては、Cu又はCu合金(AlCuのような)が極めて好ましい。導電性材料は、これらに限られるものではないが、CVD、PECVD、スパッタリング、化学溶液付着、又はめっきを含む従来の付着プロセスを用いて、第1の誘電体材料18内の残りの開口部に充填される。これらの付着の後、例えば、化学機械研磨(CMP)のような従来の平坦化プロセスを用いて、バリア層及び導電性構造部20のそれぞれが第1の誘電体材料18の上面と実質的に同一平面である上面を有する構造体を提供することができる。
少なくとも1つの導電性構造部20を形成した後、例えば、CVD、PECVD、化学溶液付着、又は蒸着のような従来の付着プロセスを用いて、下部相互接続レベル12の表面上に、ブランケット誘電体キャップ層14が形成される。この誘電体キャップ層14は、例えば、SiC、SiNH、SiO、炭素ドープ酸化物、窒素及び水素ドープ炭化シリコンSiC(N,H)、又はそれらの多層構造のような、任意の好適な誘電体キャップ材料を含む。キャップ層14の厚さは、これを形成するのに使用される技術並びに層の材料構成に応じて変わり得る。典型的には、キャップ層14は、約15nmから約55nmまでの厚さを有し、約25nmから約45nmまでの厚さがより典型的である。
次に、上部相互接続レベル16が、第2の誘電体材料24をキャップ層14の上方の露出面に塗布することによって形成される。第2の誘電体材料24は、下部相互接続レベル12の第1の誘電体材料18のものと同じ又は異なる誘電体材料、好ましくは同じ誘電体材料を含むことができる。第1の誘電体材料18に関する処理技術及び厚さの範囲は、第2の誘電体材料24にも適用可能である。第2の誘電体材料24はまた、2つの異なる材料を含むこともでき、すなわち、最初に1つの誘電体材料を付着させ、続いて異なる誘電体材料を付着させることができる。本発明の一実施形態においては、第2の誘電体材料24は、2つの異なる低k誘電体材料を含み、よって、上部相互接続レベル16は、多孔性誘電体材料内に埋め込まれた、後に充填される導電的充填ラインと、高密度の(すなわち、非多孔性の)誘電体材料内に埋め込まれた、後に充填されるビアとを有するハイブリッド構造体を有する。このような実施形態においては、多孔性低k誘電体は、約2.8以下の誘電率を有し、高密度低k誘電体は、約4.0以下の誘電率を有する。
次に、少なくとも1つのビア開口部26が、最初に第2の誘電体材料24の上面の上にブランケット・ハードマスク材料を形成することによって、第2の誘電体材料24内に形成される。ブランケット・ハードマスク材料は、酸化物、窒化物、酸窒化物、又はそれらの多層構造を含む任意の組み合わせを含む。典型的には、ハードマスク材料は、SiOのような酸化物、又はSiのような窒化物である。ブランケット・ハードマスク材料は、例えば、CVD、PECVD、化学溶液付着、又は蒸着のような従来の付着プロセスを用いて形成される。付着されたままのハードマスク材料の厚さは、形成されるハードマスク材料のタイプ、ハードマスク材料を構成する層の数及びこれを形成するのに用いられる付着技術に応じて変わり得る。典型的には、付着されたままのハードマスク材料は、約10nmから約80nmまでの厚さを有し、約20nmから約60nmまでの厚さがさらにより典型的である。
ハードマスク材料のブランケット層を形成した後、例えば、CVD、PECVD、スピンオン・コーティング、化学溶液付着、又は蒸着のような従来の付着プロセスを用いて、ハードマスク材料の上にフォトレジスト(図示せず)が形成される。フォトレジストは、その各々が当業者には周知のものである、ポジティブ・トーン材料、ネガティブ・トーン材料、又はそれらのハイブリッド材料とすることができる。次いで、フォトレジストを放射パターンに露光し、従来のレジスト現像剤を用いて露光されたレジストを現像することを含むリソグラフィ・プロセスが、フォトレジストに施される。リソグラフィ・ステップは、ハードマスク材料の上に、ビア開口部26の幅を定めるパターン形成されたフォトレジストを提供する。
パターン形成されたフォトレジストを提供した後、1つ又は複数のエッチング・プロセスを用いて、ハードマスク材料内に、次に引き続いて第2の誘電体材料24内に、ビア・パターンが転写される。ハードマスク内にビア・パターンを転写し、パターン形成されたハードマスク28を形成した直後に、従来の剥離プロセスを用いて、パターン形成されたフォトレジストを剥離することができる。代替的に、第2の誘電体材料24内にビア・パターンを転写した後に、パターン形成されたフォトレジストを剥離してもよい。ビア・パターンを転写するのに用いられるエッチングは、乾式エッチング・プロセス、湿式化学エッチング・プロセス、又はそれらの組み合わせを含むことができる。ここで用いられる「乾式エッチング」という用語は、反応性イオン・エッチング、イオン・ビーム・エッチング、プラズマ・エッチング、又はレーザ・アブレーションのようなエッチング技術を示すために用いられる。
図7に示される初期相互接続構造体10を形成した後、次いで、初期相互接続構造体の露出面の全ての上に、拡散バリア材料層(特許請求される発明のためには、第1の拡散バリア層に関する)30が形成され、例えば、図8に示されるような構造体を提供する。示されるように、拡散バリア材料30は、パターン形成されたハードマスク28の露出面、ビア開口部26内の第2の誘電体材料24の側壁、並びに導電性構造部20の露出された部分を覆う。本発明によると、拡散バリア材料30は、その厚さが典型的には約0.5nmから約20nmまでの範囲内にあり、約1nmから約10nmまでの厚さがより典型的である、薄い層である。拡散バリア材料層30は、これらに限られるものではないが、CVD、PVD、ALD、又はスピンオン・コーティングを含む従来の付着プロセスを用いて形成される。拡散バリア材料30は、例えば、TaN、Ta、Ti、TiN、RuTa、RuTaN、W、Ru、又はIrなどの金属含有材料、例えばSiO、Si、SiC、SiC(N,H)などの絶縁体、又はそれらの任意の組み合わせを含む。
拡散バリア材料30の形成に続いて、次に、図8に示される構造体に、ビアの底部から拡散バリア材料30を除去し、下にある導電性構造部20をパンチスルーする(punch through)Arスパッタリング・プロセスを施し、導電性構造部20内にガウジング構造部(すなわち、アンカー領域)32を生成する。Arスパッタリング・プロセスの際に結果として得られる構造体が、例えば、図9に示される。このスパッタリング・プロセスは、ハードマスク28の水平面上に配置された拡散バリア材料30も除去することが観察される。ハードマスク28によって保護されているので、第2の誘電体材料24は、このプロセス中に損傷を受けない。ガウジング構造部32を形成するのに用いられるArスパッタリング・プロセスは、こうした構造部を形成するために相互接続技術において典型的に用いられる任意の従来のスパッタリング・プロセスを含む。例証として、Arスパッタリングは、次の限定されない条件、すなわち20sccmのArガス流、25°Cの温度、400KHz及び750Wの上部電極バイアス、13.6KHz及び400Wのテーブルバイアス、及び0.6mtorrのプロセス圧力を用いて行なうことができる。例証の目的でArが示されたが、スパッタリング・プロセスのために、He、Ne、Xe、N、H、NH、N、又はそれらの混合物のような他の任意のガスを用いることもできる。
図10は、金属の界面層34が図9に示される露出面の全ての上に形成される、本発明の随意的な実施形態を示す。金属界面層34は、例えば、CVD、PECVD、化学溶液付着、蒸着、有機金属付着、ALD、スパッタリング、PVP、又はめっき(電解又は非電解)を含む、任意の従来の付着プロセスを用いて形成される。金属界面層34の厚さは、使用される正確な金属界面材料、及び、金属界面層を形成するのに用いられた付着技術に応じて変わり得る。典型的には、金属界面層34は、約0.5nmから約40nmまでの厚さを有し、約1nmから約10nmまでの厚さがさらにより典型的である。金属界面層34は、例えば、Co、TaN、Ta、Ti、TiN、Ru、Ir、Au、Rh、Pt、Pd、又はAgなどの金属バリア材料を含む。こうした材料の合金も考慮される。
次に、平坦化層36が付着され、図9及び図10のいずれの構造体のビア開口部26も充填する。平坦化層36は、例えば、CVD、PECVD、スピンオン・コーティング、蒸着、又は化学溶液付着を含む従来の付着プロセスを用いて付着される。平坦化材料は、従来の反射防止コーティング材料、又はスパンガラス材料を含む。図11に示されるように、平坦化層36は、ビア開口部26を完全に充填し、ハードマスク28(図11に示されるような)の露出面上又は金属界面層(図示せず)の上のビア開口部26の上方に延びている。
平坦化層36に加えて、図11に示される構造体はまた、平坦化層36の表面上に配置された第2のハードマスク38、及び、第2のハードマスク38の表面上に配置されたパターン形成されたフォトレジスト40も含む。第2のハードマスク38は、ハードマスク28の形成において説明されたものと同じ処理技術を用いて形成され、ハードマスク28と関連して上述されたハードマスク材料の1つから構成される。パターン形成されたフォトレジスト40は、付着及びリソグラフィによって形成され、ライン開口部の幅を有する開口部を含む。
次いで、図11に示される構造体に、図12に示される構造体を形成することができる1つ又は複数のエッチング・プロセスが施される。この図に示されるように、1つ又は複数のエッチング・プロセスは、第2の誘電体材料24内にライン開口部42を形成する。本発明によると、ライン開口部42の少なくとも1つは、残りの平坦化層36によって保護されるビア開口部26の上方に配置され、ビア開口部に結合される。1つ又は複数のエッチング・ステップは、第2のハードマスク38の露出された部分、平坦化層36の下にある部分、及び第2の誘電体材料24露出された部分を連続的な順序で除去する。パターン形成されたフォトレジスト40及びパターン形成された第2のハードマスク38は、典型的には、上述のエッチング・ステップの間に消費される。
図13は、残りの平坦化層36がビア開口部26内から剥離された後の図12の構造体を示す。残りの平坦化層36の剥離は、化学湿式エッチング・プロセス、又は構造体から平坦化材料を選択的に除去する化学アッシング・プロセスのいずれかを用いて行なわれる。本発明の幾つかの実施形態においては、酸化物又はエッチング残留物44が、ガウジング構造部32内に残ることがある。
このような場合、酸化物又はエッチング残留物44は、化学湿式エッチング・プロセス及び/又は少量のAr衝撃(bombardment)を含むことができる表面洗浄プロセスを用いて、ガウジング構造部32から除去することができる。Ar衝撃の条件は、ガウジング構造部32を形成するのに従来技術において用いられるものほど厳しくないので、この場合、損傷は生じない。典型的には、この場合に用いられる表面洗浄だけのためのプロセス時間は、従来技術において用いられる、ガウジング構造部を生成するための10秒以上に比べて、5秒未満である。例証として、Arスパッタリングは、次の限定されない条件、すなわち20sccmのArガス流、25°Cの温度、400KHz及び400Wの上部電極バイアス、13.6MKHz及び200Wのテーブルバイアス、及び0.6mtorrのプロセス圧力を用いて行なうことができる。例証のためにArが示されたが、スパッタリング・プロセスのために、He、Ne、Xe、N、H、NH、N、又はそれらの混合物のような任意の他のガスを用いることもできる。
本発明の幾つかの実施形態において、エッチング残留物が、少なくとも1つのライン開口部及び少なくとも1つのビア開口部領域から除去される。1つの実施形態においては、O、H、N、CO、CO、又はNHの少なくとも1つ又は組み合わせを含むプラズマ・エッチングが用いられる。別の実施形態においては、エッチング残留物は、HF、HCl、HSO、又はHNOの少なくとも1つ又は組み合わせを含む湿式洗浄によって除去される。図14は、このような洗浄プロセスを行った後の結果として得られる構造体を示す。
図15及び図16は、次に形成することができる2つの異なる構造体を示す。図15及び図16に示される構造体の両方とも、拡散バリア46(特許請求される発明のためには、拡散バリア46は第2の拡散バリアを表す)を含む。図15に示されるように、拡散バリア46は、ライン開口部42内の露出面だけを覆い、図16においては、拡散バリア46は、ライン開口部42及びビア開口部26の両方の中の露出面を覆う。拡散バリア46の被覆率の程度は、拡散バリアを形成するのに用いられる付着プロセスの条件及び長さによって決定される。本発明のプロセス全体を通して、拡散バリア46は、ライン開口部42内に連続的に存在することが留意される。
本発明によると、拡散バリア46は、Ta、TaN、Ti、TiN、Ru、RuN、RuTa、RuTaN、W、WN、又は導電性材料が拡散バリアを通って拡散するのを防止するためのバリアとして作用できる他のいずれかの材料を含む。多層構造のスタックされた拡散バリアを形成するのに、これらの材料の組み合わせも考慮される。拡散バリア46は、例えば、原子層付着(ALD)、化学気相付着(CVD)、プラズマ強化化学気相付着(PECVD)、物理気相付着(PVD)、スパッタリング、化学溶液付着、又はめっきなどの付着プロセスを用いて形成される。
拡散バリア46の厚さは、バリア内の材料層の数、拡散バリアを形成するのに使用される技術、並びに拡散バリア自体の材料に応じて変わり得る。典型的には、拡散バリア46は、約4nmから約40nmまでの厚さを有し、約7nmから約20nmまでの厚さがさらにより典型的である。
図17及び図18は、それぞれ図15及び図16に示される構造体から次に形成することができる2つの異なる構造体を示す。図17及び図18に示される構造体の両方とも、接着・めっきシード層48を含む。
接着・めっきシード層48は、元素周期表のVIIIA族からの金属又は金属合金から成る。接着・めっきシード層のための好適なVIIIA族元素の例は、これらに限られるものではないが、Ru、TaRu、Ir、Rh、Pt、Pd、及びそれらの合金を含む。幾つかの実施形態においては、層48としてRu、Ir、又はRhを使用することが好ましい。
接着・めっきシード層48は、例えば、化学気相付着(CVD)、プラズマ強化化学気相付着(PECVD)、原子層付着(ALD)、めっき、スパッタリング、及び物理気相付着(PVD)を含む従来の付着プロセスによって形成される。接着・めっきシード層48の厚さは、例えば、接着・めっきシード層48の組成材料、及び接着・めっきシード層を形成するのに使用された技術を含む多数の要因に応じて変わり得る。典型的には、接着・めっきシード層48は、約0.5nmから約10nmまでの厚さを有し、約6nm未満の厚さがさらにより典型的である。
図19及び図20は、それぞれ図17及び図18に示される構造体から形成することができる異なる相互接続構造体を示す。図19及び図20に示される示された構造体の各々は、ビア開口部及びライン開口部並びにガウジング構造部32を、相互接続導電性材料50で充填し、平坦化した後のものである。相互接続導電性材料50は、導電性構造部20のものと(導電性材料がポリシリコンでないという条件で)同じ又は異なる導電性材料、好ましくは同じ導電性材料を含むことができる。Cu、Al、W、又はそれらの合金が用いられることが好ましく、Cu又はAlCuが最も好ましい。導電性材料50は、導電性構造部20の形成において上述されたものと同じ付着処理を用いて形成され、導電性材料の付着に続いて、構造体に平坦化が施される。平坦化プロセスは、上部相互接続レベル16の第2の低k誘電体材料24の上に配置される種々の材料を除去する。
本出願の方法は、図7−図20に示されるレベルの上に付加的な相互接続レベルを形成するのにも適用可能である。種々の相互接続レベルの各々は、上述されたガウジング構造部を含む。
上述の統合処理スキームのために、ガウジング構造部32の形成中、第2の誘電体材料24内に損傷領域が形成されない。さらに、本発明の統合プロセスにより、金属ライン領域内に均一の厚さ(すなわち、2nm未満の厚さのばらつき)を有する拡散バリア46の連続的な被覆が可能になる。本発明の相互接続構造体のライン領域において、拡散バリア46の被覆率は連続的であり、相互接続誘電体材料内に損傷が導入されないので、本発明の相互接続構造体は、図1−図5に示される相互接続構造体と比べて、配線の信頼性が改善され、金属間の漏れがより低レベルである。拡散バリア材料30は、ビア開口部26の内部にのみ存在し、ライン開口部42内に存在しないことも留意すべきである。この特徴は、ライン開口部42の内部の導体50の体積含有率を減少させることなく、ビア開口部領域の周りの機械的強度及び拡散特性の両方を強化する。幾つかの実施形態においては、第1の拡散バリア層30及び導電的に充填されたビア内の第2の連続的な拡散バリア46の全体の拡散バリア厚は、導電的に充填されたライン内の第2の連続的な拡散バリア46よりも厚いことがさらに留意される。
本発明をその好ましい実施形態について特に示し、説明したが、当業者であれば、本発明の精神及び範囲から逸脱することなく、形態及び細部について前述の及び他の変更をなし得ることを理解するであろう。従って、本発明は、記載され図示された通りの正確な形態及び細部に限定されるものではなく、添付の特許請求の範囲内であることが意図される。
相互接続構造体を形成する際に従来技術に用いられる基本的な処理ステップを示す図形的表示(断面図による)である。 相互接続構造体を形成する際に従来技術に用いられる基本的な処理ステップを示す図形的表示(断面図による)である。 相互接続構造体を形成する際に従来技術に用いられる基本的な処理ステップを示す図形的表示(断面図による)である。 相互接続構造体を形成する際に従来技術に用いられる基本的な処理ステップを示す図形的表示(断面図による)である。 相互接続構造体を形成する際に従来技術に用いられる基本的な処理ステップを示す図形的表示(断面図による)である。 超低k誘電体内にCu相互接続部を有する従来技術の相互接続構造体を示すSEM画像(断面図による)である。 上部相互接続レベルを用いてビア・コンタクト開口部(以下、ビア開口部)を形成した後の、本発明の初期構造体を示す図形的表示(断面図による)である。 少なくともビア開口部内に第1の拡散バリアを形成した後の、図7の構造体を示す図形的表示(断面図による)である。 ビア・コンタクト開口部の底部から第1の拡散バリアを除去するためのスパッタリング、及び、下にある導電性構造部へのパンチスルーを行って内部にガウジング構造部を形成した後の、図8の構造体を示す図形的表示(断面図による)である。 図9に示される構造体に金属の界面層が形成された、本発明の随意的な実施形態を示す。 平坦化層、ハードマスク及びパターン形成されたフォトレジストを形成した後の、図9の構造体を示す図形的表示(断面図による)である。 上部相互接続レベル内に少なくとも1つのライン開口部を生成した後の、図11の構造体を示す図形的表示(断面図による)である。 ライン開口部の形成中にビア開口部を保護した残りの平坦化材料を除去した後の、図12の構造体を示す図形的表示(断面図による)である。可能な残留物は、ビア底部に存在するものとして示される。 ビア底部の酸化物/残留物を除去した後の、図13の構造体を示す図形的表示(断面図による)である。 第2の拡散バリアを形成した後に形成される、図14の構造体を示す図形的表示(断面図による)である。 第2の拡散バリアを形成した後に形成される、図14の構造体を示す図形的表示(断面図による)である。 接着・めっきシード層を形成した後に形成される、図15の構造体を示す図形的表示(断面図による)である。 接着・めっきシード層を形成した後に形成される、図16の構造体を示す図形的表示(断面図による)である。 金属充填及び平坦化の後に形成される、図17の構造体を示す図形的表示(断面図による)である。 金属充填及び平坦化の後に形成される、図18の構造体を示す図形的表示(断面図による)である。

Claims (5)

  1. 半導体構造体を製造する方法であって、
    少なくとも1つの導電性構造部が内部に埋め込まれた第1の誘電体材料を含む下部相互接続レベルと、前記下部相互接続レベルの上に配置された前記少なくとも1つの導電性構造部の一部を露出させる少なくとも1つのビア開口部を有する第2の誘電体材料を含む上部相互接続レベルとを含む初期相互接続構造体を準備するステップであって、前記下部及び上部相互接続レベルは、誘電体キャップ層によって部分的に分離され
    、前記上部相互接続レベルの表面上にはパターン形成されたハードマスクがある、ステップと、
    前記初期相互接続構造体の全ての露出面上に第1のバリア層を形成して前記第2の誘電体材料の側壁を露出させないステップと、
    前記ビア開口部の底部に配置された前記少なくとも1つの導電性構造部内に掘られた穴の構造部をスパッタリングにより形成するステップと、
    前記掘られた穴の構造部の上に金属界面層を形成するステップと、
    前記第2の誘電体材料内に、前記少なくとも1つのビア開口部の上方に延びる少なくとも1つのライン開口部を形成するステップと、
    前記少なくとも1つのライン開口部及び前記少なくとも1つのビア開口部からエッチング残留物を除去するステップと、
    少なくとも前記少なくとも1つのライン開口部内に、第2の連続的な拡散バリア層を形成するステップと、
    前記少なくとも1つのライン開口部及び前記少なくとも1つのビア開口部の両方の中に、接着・めっきシード層を形成するステップと、
    前記少なくとも1つのライン開口部及び前記少なくとも1つのビア開口部を導電性材料で充填するステップと
    を含む前記方法。
  2. 前記金属界面層は、Co、Ru、Ir、Rh、Pt、Pd、Ta、又はそれらの合金の少なくとも1つ又は組み合わせを付着させることによって形成される、請求項1に記載の方法。
  3. 前記金属界面層は、PVD、CVD、ALD、電解めっき及び無電解めっきによって形成される、請求項1に記載の方法。
  4. 前記少なくとも1つのライン開口部及び前記少なくとも1つのビア開口部領域からエッチング残留物を除去する前記ステップは、O、H、N、又はNHの少なくとも1つ又は組み合わせを含むプラズマによって行われる、請求項1に記載の方法。
  5. 前記少なくとも1つのライン開口部及び前記少なくとも1つのビア開口部領域からエッチング残留物を除去する前記ステップは、HF、HCl、HSO、又はHNOの少なくとも1つ又は組み合わせを含む湿式洗浄によって行われる、請求項1に記載の方法。
JP2008557413A 2006-03-01 2007-03-01 相互接続構造体形成方法 Active JP5430946B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/364,953 2006-03-01
US11/364,953 US7528066B2 (en) 2006-03-01 2006-03-01 Structure and method for metal integration
PCT/US2007/005414 WO2008036115A1 (en) 2006-03-01 2007-03-01 Novel structure and method for metal integration

Publications (2)

Publication Number Publication Date
JP2009528702A JP2009528702A (ja) 2009-08-06
JP5430946B2 true JP5430946B2 (ja) 2014-03-05

Family

ID=38470778

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008557413A Active JP5430946B2 (ja) 2006-03-01 2007-03-01 相互接続構造体形成方法

Country Status (6)

Country Link
US (2) US7528066B2 (ja)
EP (1) EP1992012B1 (ja)
JP (1) JP5430946B2 (ja)
CN (1) CN101390204B (ja)
TW (1) TWI402936B (ja)
WO (1) WO2008036115A1 (ja)

Families Citing this family (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100710201B1 (ko) * 2005-07-08 2007-04-20 동부일렉트로닉스 주식회사 반도체 소자의 금속배선 형성방법
US7402883B2 (en) * 2006-04-25 2008-07-22 International Business Machines Corporation, Inc. Back end of the line structures with liner and noble metal layer
JP5162869B2 (ja) * 2006-09-20 2013-03-13 富士通セミコンダクター株式会社 半導体装置およびその製造方法
US20080128907A1 (en) * 2006-12-01 2008-06-05 International Business Machines Corporation Semiconductor structure with liner
US8178436B2 (en) * 2006-12-21 2012-05-15 Intel Corporation Adhesion and electromigration performance at an interface between a dielectric and metal
JP5154789B2 (ja) * 2006-12-21 2013-02-27 ルネサスエレクトロニクス株式会社 半導体装置並びに半導体装置の製造方法
US7559017B2 (en) * 2006-12-22 2009-07-07 Google Inc. Annotation framework for video
US7867895B2 (en) * 2007-09-20 2011-01-11 International Business Machines Corporation Method of fabricating improved interconnect structure with a via gouging feature absent profile damage to the interconnect dielectric
KR100924865B1 (ko) * 2007-12-27 2009-11-02 주식회사 동부하이텍 반도체 소자의 금속배선 형성방법
US20090179328A1 (en) * 2008-01-14 2009-07-16 International Business Machines Corporation Barrier sequence for use in copper interconnect metallization
US7892968B2 (en) * 2008-01-21 2011-02-22 International Business Machines Corporation Via gouging methods and related semiconductor structure
US7846834B2 (en) * 2008-02-04 2010-12-07 International Business Machines Corporation Interconnect structure and method for Cu/ultra low k integration
US8354751B2 (en) * 2008-06-16 2013-01-15 International Business Machines Corporation Interconnect structure for electromigration enhancement
US8143138B2 (en) * 2008-09-29 2012-03-27 Applied Materials, Inc. Method for fabricating interconnect structures for semiconductor devices
DE102008049775B4 (de) * 2008-09-30 2018-08-09 Globalfoundries Inc. Herstellungsverfahren einer Metalldeckschicht mit besserer Ätzwiderstandsfähigkeit für kupferbasierte Metallgebiete in Halbleiterbauelementen
US8021974B2 (en) * 2009-01-09 2011-09-20 Internatioanl Business Machines Corporation Structure and method for back end of the line integration
US7745324B1 (en) 2009-01-09 2010-06-29 International Business Machines Corporation Interconnect with recessed dielectric adjacent a noble metal cap
JP2010238738A (ja) * 2009-03-30 2010-10-21 Toshiba Corp 半導体装置および半導体装置の製造方法
US7955971B2 (en) * 2009-06-11 2011-06-07 International Business Machines Corporation Hybrid metallic wire and methods of fabricating same
KR20100135521A (ko) * 2009-06-17 2010-12-27 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US7964966B2 (en) * 2009-06-30 2011-06-21 International Business Machines Corporation Via gouged interconnect structure and method of fabricating same
US8653664B2 (en) 2009-07-08 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layers for copper interconnect
US8232196B2 (en) * 2009-10-29 2012-07-31 International Business Machines Corporation Interconnect structure having a via with a via gouging feature and dielectric liner sidewalls for BEOL integration
US8653663B2 (en) 2009-10-29 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layer for copper interconnect
US8361900B2 (en) 2010-04-16 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layer for copper interconnect
US8404582B2 (en) * 2010-05-04 2013-03-26 International Business Machines Corporation Structure and method for manufacturing interconnect structures having self-aligned dielectric caps
DE102010040069A1 (de) * 2010-08-31 2012-03-01 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Verfahren und System zum Extrahieren von Proben nach der Strukturierung von Mikrostrukturbauelementen
CN102479747B (zh) * 2010-11-29 2015-01-28 中芯国际集成电路制造(上海)有限公司 双大马士革结构的形成方法
TWI447851B (zh) * 2011-01-19 2014-08-01 Macronix Int Co Ltd 多層連線結構及製造方法
US8633707B2 (en) 2011-03-29 2014-01-21 International Business Machines Corporation Stacked via structure for metal fuse applications
US9059169B2 (en) 2011-06-21 2015-06-16 International Business Machines Corporation E-fuse structures and methods of manufacture
CN102437144A (zh) * 2011-12-06 2012-05-02 西安交通大学 一种Ru-RuO/Ru-Ge-Cu自形成双层非晶扩散阻挡层及其制备方法
US8551877B2 (en) * 2012-03-07 2013-10-08 Tokyo Electron Limited Sidewall and chamfer protection during hard mask removal for interconnect patterning
US9214424B2 (en) * 2012-04-20 2015-12-15 Infineon Technologies Austria Ag Method for producing a conductor line
US8835305B2 (en) 2012-07-31 2014-09-16 International Business Machines Corporation Method of fabricating a profile control in interconnect structures
US8871639B2 (en) * 2013-01-04 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US10032712B2 (en) * 2013-03-15 2018-07-24 Taiwan Semiconductor Manufacturing Company Limited Semiconductor structure
CN104124199B (zh) * 2013-04-27 2018-02-06 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
CN104282656B (zh) * 2013-07-01 2017-03-08 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
US9349608B2 (en) * 2013-12-13 2016-05-24 Globalfoundries Inc. Methods of protecting a dielectric mask layer and related semiconductor devices
US20150255388A1 (en) * 2014-03-09 2015-09-10 International Business Machines Corporation Enhancement of iso-via reliability
US9384980B2 (en) * 2014-07-01 2016-07-05 Kabushiki Kaisha Toshiba Manufacturing method of semiconductor device
US9564359B2 (en) * 2014-07-17 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive structure and method of forming the same
US9349691B2 (en) 2014-07-24 2016-05-24 International Business Machines Corporation Semiconductor device with reduced via resistance
US9659856B2 (en) 2014-10-24 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Two step metallization formation
US9553044B2 (en) 2014-11-05 2017-01-24 International Business Machines Corporation Electrically conductive interconnect including via having increased contact surface area
EP3034655A1 (en) 2014-12-19 2016-06-22 ATOTECH Deutschland GmbH Trench pattern wet chemical copper metal filling using a hard mask structure
US10170358B2 (en) * 2015-06-04 2019-01-01 International Business Machines Corporation Reducing contact resistance in vias for copper interconnects
US9786550B2 (en) 2015-06-25 2017-10-10 International Business Machines Corporation Low resistance metal contacts to interconnects
US9761488B2 (en) * 2015-07-17 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method for cleaning via of interconnect structure of semiconductor device structure
US9530737B1 (en) * 2015-09-28 2016-12-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9837309B2 (en) * 2015-11-19 2017-12-05 International Business Machines Corporation Semiconductor via structure with lower electrical resistance
US10211148B2 (en) 2015-12-14 2019-02-19 International Business Machines Corporation Structural enhancement of Cu nanowires
US9449921B1 (en) * 2015-12-15 2016-09-20 International Business Machines Corporation Voidless contact metal structures
US10586732B2 (en) 2016-06-30 2020-03-10 International Business Machines Corporation Via cleaning to reduce resistance
US9935051B2 (en) 2016-08-18 2018-04-03 International Business Machines Corporation Multi-level metallization interconnect structure
US9786603B1 (en) 2016-09-22 2017-10-10 International Business Machines Corporation Surface nitridation in metal interconnects
JP2018107227A (ja) * 2016-12-26 2018-07-05 ソニーセミコンダクタソリューションズ株式会社 半導体装置、半導体装置の製造方法、及び、固体撮像素子
CN107180748A (zh) * 2017-07-07 2017-09-19 成都海威华芯科技有限公司 一种SiC晶圆的深孔清洗方法
US11348828B2 (en) * 2017-11-23 2022-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure and method of forming the same
US10504834B2 (en) 2018-03-01 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structure and the method of forming the same
JP2019153694A (ja) * 2018-03-02 2019-09-12 東芝メモリ株式会社 半導体装置およびその製造方法
US10727123B2 (en) 2018-06-18 2020-07-28 International Business Machines Corporation Interconnect structure with fully self-aligned via pattern formation
US11322402B2 (en) * 2019-08-14 2022-05-03 International Business Machines Corporation Self-aligned top via scheme
US11557482B2 (en) 2019-10-04 2023-01-17 International Business Machines Corporation Electrode with alloy interface
US11088076B2 (en) * 2019-12-27 2021-08-10 Sandisk Technologies Llc Bonding pads embedded in a dielectric diffusion barrier and having recessed metallic liners
CN113394191A (zh) * 2020-03-11 2021-09-14 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11177163B2 (en) * 2020-03-17 2021-11-16 International Business Machines Corporation Top via structure with enlarged contact area with upper metallization level
US11450602B2 (en) * 2020-04-01 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid method for forming semiconductor interconnect structure
US11694926B2 (en) * 2020-04-27 2023-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier free interface between beol interconnects
TWI764388B (zh) * 2020-04-27 2022-05-11 台灣積體電路製造股份有限公司 積體電路晶片及其形成方法
US11810857B2 (en) * 2020-08-25 2023-11-07 Taiwan Semiconductor Manufacturing Co., Ltd. Via for semiconductor device and method
US11923244B2 (en) * 2021-03-05 2024-03-05 Applied Materials, Inc. Subtractive metals and subtractive metal semiconductor structures
US11742290B2 (en) * 2021-03-10 2023-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure and method of forming thereof

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4101686A (en) * 1974-07-24 1978-07-18 Xerox Corporation Method of fusing toner images using functionalized polymeric release agents
US4029827A (en) * 1974-07-24 1977-06-14 Xerox Corporation Mercapto functional polyorganosiloxane release agents for fusers in electrostatic copiers
IT1088410B (it) * 1977-03-09 1985-06-10 Steinmueller Gmbh L & C Allacciamento per saldatura
US4184909A (en) * 1978-08-21 1980-01-22 International Business Machines Corporation Method of forming thin film interconnection systems
US5157445A (en) * 1990-04-12 1992-10-20 Fuji Xerox Co., Ltd. Fixing device
US5470790A (en) * 1994-10-17 1995-11-28 Intel Corporation Via hole profile and method of fabrication
US5933753A (en) * 1996-12-16 1999-08-03 International Business Machines Corporation Open-bottomed via liner structure and method for fabricating same
US5930669A (en) * 1997-04-03 1999-07-27 International Business Machines Corporation Continuous highly conductive metal wiring structures and method for fabricating the same
US5985762A (en) * 1997-05-19 1999-11-16 International Business Machines Corporation Method of forming a self-aligned copper diffusion barrier in vias
US6478773B1 (en) * 1998-12-21 2002-11-12 Micrus Corporation Apparatus for deployment of micro-coil using a catheter
US6576546B2 (en) 1999-12-22 2003-06-10 Texas Instruments Incorporated Method of enhancing adhesion of a conductive barrier layer to an underlying conductive plug and contact for ferroelectric applications
US6436814B1 (en) * 2000-11-21 2002-08-20 International Business Machines Corporation Interconnection structure and method for fabricating same
US6479391B2 (en) * 2000-12-22 2002-11-12 Intel Corporation Method for making a dual damascene interconnect using a multilayer hard mask
TW587306B (en) 2001-03-02 2004-05-11 Macronix Int Co Ltd Manufacturing method of low-resistance dual damascene via
US6607977B1 (en) * 2001-03-13 2003-08-19 Novellus Systems, Inc. Method of depositing a diffusion barrier for copper interconnect applications
US20030087514A1 (en) * 2001-11-02 2003-05-08 Tang Sanh Dang Hard mask damascene process used to form a semiconductor device
US20030134499A1 (en) * 2002-01-15 2003-07-17 International Business Machines Corporation Bilayer HDP CVD / PE CVD cap in advanced BEOL interconnect structures and method thereof
US7910165B2 (en) * 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
JP2004023030A (ja) * 2002-06-20 2004-01-22 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JP2004172337A (ja) * 2002-11-20 2004-06-17 Sony Corp 半導体装置およびその製造方法
US7241696B2 (en) * 2002-12-11 2007-07-10 International Business Machines Corporation Method for depositing a metal layer on a semiconductor interconnect structure having a capping layer
US6949461B2 (en) * 2002-12-11 2005-09-27 International Business Machines Corporation Method for depositing a metal layer on a semiconductor interconnect structure
US6784105B1 (en) * 2003-04-09 2004-08-31 Infineon Technologies North America Corp. Simultaneous native oxide removal and metal neutral deposition method
US6949442B2 (en) 2003-05-05 2005-09-27 Infineon Technologies Ag Methods of forming MIM capacitors
US7030031B2 (en) 2003-06-24 2006-04-18 International Business Machines Corporation Method for forming damascene structure utilizing planarizing material coupled with diffusion barrier material
US7122462B2 (en) * 2003-11-21 2006-10-17 International Business Machines Corporation Back end interconnect with a shaped interface
JP2005340601A (ja) * 2004-05-28 2005-12-08 Renesas Technology Corp 半導体装置の製造方法及び半導体装置
JP4832807B2 (ja) * 2004-06-10 2011-12-07 ルネサスエレクトロニクス株式会社 半導体装置
US7119018B2 (en) * 2004-07-09 2006-10-10 International Buisness Machines Corporation Copper conductor
JP2006032864A (ja) 2004-07-21 2006-02-02 Sony Corp 多層配線構造と多層配線構造を有する半導体装置とこれらの製造方法
US7217663B2 (en) * 2005-01-18 2007-05-15 Taiwan Semiconductor Manufacturing Company Via hole and trench structures and fabrication methods thereof and dual damascene structures and fabrication methods thereof
US7727888B2 (en) * 2005-08-31 2010-06-01 International Business Machines Corporation Interconnect structure and method for forming the same
US20070202689A1 (en) * 2006-02-27 2007-08-30 Samsung Electronics Co., Ltd. Methods of forming copper vias with argon sputtering etching in dual damascene processes
US20080057433A1 (en) * 2006-08-30 2008-03-06 Xerox Corporation Adhesive primer
US7807015B2 (en) * 2006-09-18 2010-10-05 Xerox Corporation Adhesion promoter
US7754812B2 (en) * 2007-01-16 2010-07-13 Xerox Corporation Adhesion promoter
US7579394B2 (en) * 2007-01-16 2009-08-25 Xerox Corporation Adhesion promoter
US8182875B2 (en) * 2007-04-05 2012-05-22 Xerox Corporation System and method for protecting a print
US8357763B2 (en) * 2007-05-02 2013-01-22 Xerox Corporation Adhesion promoter

Also Published As

Publication number Publication date
EP1992012B1 (en) 2013-11-20
US8664766B2 (en) 2014-03-04
EP1992012A1 (en) 2008-11-19
CN101390204B (zh) 2011-03-30
JP2009528702A (ja) 2009-08-06
CN101390204A (zh) 2009-03-18
EP1992012A4 (en) 2011-08-17
US20070205482A1 (en) 2007-09-06
WO2008036115A1 (en) 2008-03-27
US20090206485A1 (en) 2009-08-20
TW200741966A (en) 2007-11-01
US7528066B2 (en) 2009-05-05
TWI402936B (zh) 2013-07-21

Similar Documents

Publication Publication Date Title
JP5430946B2 (ja) 相互接続構造体形成方法
US7964966B2 (en) Via gouged interconnect structure and method of fabricating same
US7867895B2 (en) Method of fabricating improved interconnect structure with a via gouging feature absent profile damage to the interconnect dielectric
JP5255292B2 (ja) 2層金属キャップを有する相互接続構造体及びその製造方法
US8354751B2 (en) Interconnect structure for electromigration enhancement
US8232196B2 (en) Interconnect structure having a via with a via gouging feature and dielectric liner sidewalls for BEOL integration
US7834457B2 (en) Bilayer metal capping layer for interconnect applications
US7365001B2 (en) Interconnect structures and methods of making thereof
US6949461B2 (en) Method for depositing a metal layer on a semiconductor interconnect structure
JP5462807B2 (ja) 高い漏れ抵抗を有する相互接続構造体
US7846834B2 (en) Interconnect structure and method for Cu/ultra low k integration
US7671470B2 (en) Enhanced mechanical strength via contacts
US20080128907A1 (en) Semiconductor structure with liner
US7507659B2 (en) Fabrication process of a semiconductor device
JP2007251155A (ja) 障壁冗長構成要素を有する相互接続構造体および相互接続構造体を形成する方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20091120

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120724

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121024

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20121120

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130319

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20130326

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20130419

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131016

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20131204

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 5430946

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150