TWI402936B - 用於金屬集成之新穎結構及其製造方法 - Google Patents
用於金屬集成之新穎結構及其製造方法 Download PDFInfo
- Publication number
- TWI402936B TWI402936B TW096106804A TW96106804A TWI402936B TW I402936 B TWI402936 B TW I402936B TW 096106804 A TW096106804 A TW 096106804A TW 96106804 A TW96106804 A TW 96106804A TW I402936 B TWI402936 B TW I402936B
- Authority
- TW
- Taiwan
- Prior art keywords
- dielectric material
- layer
- diffusion barrier
- barrier layer
- conductive
- Prior art date
Links
- 229910052751 metal Inorganic materials 0.000 title claims description 42
- 239000002184 metal Substances 0.000 title claims description 42
- 238000000034 method Methods 0.000 title description 58
- 230000010354 integration Effects 0.000 title description 3
- 239000003989 dielectric material Substances 0.000 claims description 139
- 230000004888 barrier function Effects 0.000 claims description 88
- 238000009792 diffusion process Methods 0.000 claims description 75
- 239000000463 material Substances 0.000 claims description 55
- 239000004065 semiconductor Substances 0.000 claims description 43
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 claims description 21
- 239000010949 copper Substances 0.000 claims description 21
- 229910052802 copper Inorganic materials 0.000 claims description 21
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 claims description 15
- 229910052715 tantalum Inorganic materials 0.000 claims description 11
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 claims description 11
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims description 10
- 229910045601 alloy Inorganic materials 0.000 claims description 10
- 239000000956 alloy Substances 0.000 claims description 10
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 claims description 10
- KDLHZDBZIXYQEI-UHFFFAOYSA-N Palladium Chemical compound [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 claims description 8
- 238000009713 electroplating Methods 0.000 claims description 8
- 229910052739 hydrogen Inorganic materials 0.000 claims description 8
- 229910052721 tungsten Inorganic materials 0.000 claims description 8
- 239000010937 tungsten Substances 0.000 claims description 8
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 claims description 6
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 claims description 6
- 239000001257 hydrogen Substances 0.000 claims description 6
- 229910052758 niobium Inorganic materials 0.000 claims description 6
- 239000010955 niobium Substances 0.000 claims description 6
- GUCVJGMIXFAOAE-UHFFFAOYSA-N niobium atom Chemical compound [Nb] GUCVJGMIXFAOAE-UHFFFAOYSA-N 0.000 claims description 6
- 229910052757 nitrogen Inorganic materials 0.000 claims description 6
- 229910052719 titanium Inorganic materials 0.000 claims description 6
- 239000010936 titanium Substances 0.000 claims description 6
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 claims description 6
- 239000011810 insulating material Substances 0.000 claims description 5
- 229910052741 iridium Inorganic materials 0.000 claims description 5
- GKOZUEZYRPOHIO-UHFFFAOYSA-N iridium atom Chemical compound [Ir] GKOZUEZYRPOHIO-UHFFFAOYSA-N 0.000 claims description 5
- 229910052697 platinum Inorganic materials 0.000 claims description 5
- 229910052763 palladium Inorganic materials 0.000 claims description 4
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 3
- 229910052684 Cerium Inorganic materials 0.000 claims description 3
- 229910052799 carbon Inorganic materials 0.000 claims description 3
- 239000000203 mixture Substances 0.000 claims description 3
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 2
- 229910000577 Silicon-germanium Inorganic materials 0.000 claims description 2
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 claims description 2
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 claims description 2
- 229910017052 cobalt Inorganic materials 0.000 claims description 2
- 239000010941 cobalt Substances 0.000 claims description 2
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 claims description 2
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 claims description 2
- 229910052737 gold Inorganic materials 0.000 claims description 2
- 239000010931 gold Substances 0.000 claims description 2
- 229910000449 hafnium oxide Inorganic materials 0.000 claims description 2
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 claims description 2
- UNASZPQZIFZUSI-UHFFFAOYSA-N methylidyneniobium Chemical compound [Nb]#C UNASZPQZIFZUSI-UHFFFAOYSA-N 0.000 claims description 2
- 229910052703 rhodium Inorganic materials 0.000 claims description 2
- 239000010948 rhodium Substances 0.000 claims description 2
- MHOVAHRLVXNVSD-UHFFFAOYSA-N rhodium atom Chemical compound [Rh] MHOVAHRLVXNVSD-UHFFFAOYSA-N 0.000 claims description 2
- 229910052709 silver Inorganic materials 0.000 claims description 2
- 239000004332 silver Substances 0.000 claims description 2
- -1 tungsten nitride Chemical class 0.000 claims description 2
- GWXLDORMOJMVQZ-UHFFFAOYSA-N cerium Chemical compound [Ce] GWXLDORMOJMVQZ-UHFFFAOYSA-N 0.000 claims 2
- 229910052746 lanthanum Inorganic materials 0.000 claims 2
- FZLIPJUXYLNCLC-UHFFFAOYSA-N lanthanum atom Chemical compound [La] FZLIPJUXYLNCLC-UHFFFAOYSA-N 0.000 claims 2
- 239000011248 coating agent Substances 0.000 claims 1
- 238000000576 coating method Methods 0.000 claims 1
- ZKEYULQFFYBZBG-UHFFFAOYSA-N lanthanum carbide Chemical compound [La].[C-]#[C] ZKEYULQFFYBZBG-UHFFFAOYSA-N 0.000 claims 1
- 239000010410 layer Substances 0.000 description 156
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 36
- 238000004544 sputter deposition Methods 0.000 description 24
- 239000004020 conductor Substances 0.000 description 22
- 229920002120 photoresistant polymer Polymers 0.000 description 22
- 229910052786 argon Inorganic materials 0.000 description 18
- 230000006378 damage Effects 0.000 description 14
- 238000005229 chemical vapour deposition Methods 0.000 description 13
- 238000005137 deposition process Methods 0.000 description 13
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 13
- 239000000758 substrate Substances 0.000 description 13
- 238000007747 plating Methods 0.000 description 11
- 230000005540 biological transmission Effects 0.000 description 10
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 9
- 238000000231 atomic layer deposition Methods 0.000 description 8
- 230000015572 biosynthetic process Effects 0.000 description 8
- 238000000224 chemical solution deposition Methods 0.000 description 8
- 238000005530 etching Methods 0.000 description 8
- 229910052732 germanium Inorganic materials 0.000 description 8
- 238000000151 deposition Methods 0.000 description 7
- 238000005240 physical vapour deposition Methods 0.000 description 7
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 6
- 239000012212 insulator Substances 0.000 description 6
- 238000001704 evaporation Methods 0.000 description 5
- 230000008020 evaporation Effects 0.000 description 5
- 238000004519 manufacturing process Methods 0.000 description 5
- 239000000126 substance Substances 0.000 description 5
- OAKJQQAXSVQMHS-UHFFFAOYSA-N Hydrazine Chemical compound NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 description 4
- 238000005516 engineering process Methods 0.000 description 4
- 150000002431 hydrogen Chemical class 0.000 description 4
- 239000011229 interlayer Substances 0.000 description 4
- 238000001459 lithography Methods 0.000 description 4
- NFFIWVVINABMKP-UHFFFAOYSA-N methylidynetantalum Chemical compound [Ta]#C NFFIWVVINABMKP-UHFFFAOYSA-N 0.000 description 4
- 229910052762 osmium Inorganic materials 0.000 description 4
- SYQBFIAQOQZEGI-UHFFFAOYSA-N osmium atom Chemical compound [Os] SYQBFIAQOQZEGI-UHFFFAOYSA-N 0.000 description 4
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 3
- 229910021529 ammonia Inorganic materials 0.000 description 3
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 3
- 238000009412 basement excavation Methods 0.000 description 3
- 229910000420 cerium oxide Inorganic materials 0.000 description 3
- 238000004140 cleaning Methods 0.000 description 3
- 230000008021 deposition Effects 0.000 description 3
- 150000004767 nitrides Chemical class 0.000 description 3
- BMMGVYCKOGBVEV-UHFFFAOYSA-N oxo(oxoceriooxy)cerium Chemical compound [Ce]=O.O=[Ce]=O BMMGVYCKOGBVEV-UHFFFAOYSA-N 0.000 description 3
- 229910052760 oxygen Inorganic materials 0.000 description 3
- 239000001301 oxygen Substances 0.000 description 3
- 229910052707 ruthenium Inorganic materials 0.000 description 3
- 238000004528 spin coating Methods 0.000 description 3
- 229910003468 tantalcarbide Inorganic materials 0.000 description 3
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 description 2
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 2
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 description 2
- QAOWNCQODCNURD-UHFFFAOYSA-N Sulfuric acid Chemical compound OS(O)(=O)=O QAOWNCQODCNURD-UHFFFAOYSA-N 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- WPPDFTBPZNZZRP-UHFFFAOYSA-N aluminum copper Chemical compound [Al].[Cu] WPPDFTBPZNZZRP-UHFFFAOYSA-N 0.000 description 2
- 239000007789 gas Substances 0.000 description 2
- 229910052734 helium Inorganic materials 0.000 description 2
- 239000001307 helium Substances 0.000 description 2
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 2
- 229910001092 metal group alloy Inorganic materials 0.000 description 2
- 229910001507 metal halide Inorganic materials 0.000 description 2
- 150000005309 metal halides Chemical class 0.000 description 2
- 238000001465 metallisation Methods 0.000 description 2
- 229910052754 neon Inorganic materials 0.000 description 2
- GKAOGPIIYCISHV-UHFFFAOYSA-N neon atom Chemical compound [Ne] GKAOGPIIYCISHV-UHFFFAOYSA-N 0.000 description 2
- 238000001020 plasma etching Methods 0.000 description 2
- 230000005855 radiation Effects 0.000 description 2
- 238000001878 scanning electron micrograph Methods 0.000 description 2
- HQZPMWBCDLCGCL-UHFFFAOYSA-N tantalum telluride Chemical compound [Te]=[Ta]=[Te] HQZPMWBCDLCGCL-UHFFFAOYSA-N 0.000 description 2
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- UGFAIRIUMAVXCW-UHFFFAOYSA-N Carbon monoxide Chemical compound [O+]#[C-] UGFAIRIUMAVXCW-UHFFFAOYSA-N 0.000 description 1
- 229910000881 Cu alloy Inorganic materials 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- 229910000673 Indium arsenide Inorganic materials 0.000 description 1
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 1
- GRYLNZFGIOXLOG-UHFFFAOYSA-N Nitric acid Chemical compound O[N+]([O-])=O GRYLNZFGIOXLOG-UHFFFAOYSA-N 0.000 description 1
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 1
- NINIDFKCEFEMDL-UHFFFAOYSA-N Sulfur Chemical compound [S] NINIDFKCEFEMDL-UHFFFAOYSA-N 0.000 description 1
- 239000000853 adhesive Substances 0.000 description 1
- 230000001070 adhesive effect Effects 0.000 description 1
- 239000006117 anti-reflective coating Substances 0.000 description 1
- 125000003118 aryl group Chemical group 0.000 description 1
- 238000004380 ashing Methods 0.000 description 1
- 229910052797 bismuth Inorganic materials 0.000 description 1
- JCXGWMGPZLAOME-UHFFFAOYSA-N bismuth atom Chemical compound [Bi] JCXGWMGPZLAOME-UHFFFAOYSA-N 0.000 description 1
- 229910002092 carbon dioxide Inorganic materials 0.000 description 1
- 239000001569 carbon dioxide Substances 0.000 description 1
- 229910002091 carbon monoxide Inorganic materials 0.000 description 1
- 125000002915 carbonyl group Chemical group [*:2]C([*:1])=O 0.000 description 1
- CETPSERCERDGAM-UHFFFAOYSA-N ceric oxide Chemical compound O=[Ce]=O CETPSERCERDGAM-UHFFFAOYSA-N 0.000 description 1
- ZMIGMASIKSOYAM-UHFFFAOYSA-N cerium Chemical compound [Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce][Ce] ZMIGMASIKSOYAM-UHFFFAOYSA-N 0.000 description 1
- 229910000422 cerium(IV) oxide Inorganic materials 0.000 description 1
- HPQRSQFZILKRDH-UHFFFAOYSA-M chloro(trimethyl)plumbane Chemical compound C[Pb](C)(C)Cl HPQRSQFZILKRDH-UHFFFAOYSA-M 0.000 description 1
- 150000001860 citric acid derivatives Chemical class 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 239000000470 constituent Substances 0.000 description 1
- 238000005553 drilling Methods 0.000 description 1
- 238000001312 dry etching Methods 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 230000005611 electricity Effects 0.000 description 1
- 150000002170 ethers Chemical class 0.000 description 1
- 239000003365 glass fiber Substances 0.000 description 1
- 125000004435 hydrogen atom Chemical group [H]* 0.000 description 1
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 1
- 238000011016 integrity testing Methods 0.000 description 1
- 238000009830 intercalation Methods 0.000 description 1
- 230000002687 intercalation Effects 0.000 description 1
- 238000010884 ion-beam technique Methods 0.000 description 1
- 229910052743 krypton Inorganic materials 0.000 description 1
- DNNSSWSSYDEUBZ-UHFFFAOYSA-N krypton atom Chemical compound [Kr] DNNSSWSSYDEUBZ-UHFFFAOYSA-N 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- 238000013508 migration Methods 0.000 description 1
- 230000005012 migration Effects 0.000 description 1
- 229910017604 nitric acid Inorganic materials 0.000 description 1
- 125000002524 organometallic group Chemical group 0.000 description 1
- 230000003071 parasitic effect Effects 0.000 description 1
- 230000000737 periodic effect Effects 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 229920000412 polyarylene Polymers 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 230000009528 severe injury Effects 0.000 description 1
- 229910052710 silicon Inorganic materials 0.000 description 1
- 239000010703 silicon Substances 0.000 description 1
- 125000003107 substituted aryl group Chemical group 0.000 description 1
- 150000003457 sulfones Chemical class 0.000 description 1
- 150000003462 sulfoxides Chemical class 0.000 description 1
- 229910052717 sulfur Inorganic materials 0.000 description 1
- 239000011593 sulfur Substances 0.000 description 1
- XSOKHXFFCGXDJZ-UHFFFAOYSA-N telluride(2-) Chemical compound [Te-2] XSOKHXFFCGXDJZ-UHFFFAOYSA-N 0.000 description 1
- 238000005382 thermal cycling Methods 0.000 description 1
- 229920001187 thermosetting polymer Polymers 0.000 description 1
- 230000005641 tunneling Effects 0.000 description 1
- 238000001039 wet etching Methods 0.000 description 1
- 238000004804 winding Methods 0.000 description 1
- 229910052724 xenon Inorganic materials 0.000 description 1
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76831—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02057—Cleaning during device manufacture
- H01L21/0206—Cleaning during device manufacture during, before or after processing of insulating layers
- H01L21/02063—Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31144—Etching the insulating layers by chemical or physical means using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32131—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by physical means only
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76805—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
- H01L21/76808—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76814—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
- H01L21/76844—Bottomless liners
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76871—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
- H01L21/76873—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/5226—Via connections in a multilevel interconnection structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
- H01L23/53228—Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
- H01L23/53238—Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/5329—Insulating materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/0001—Technical content checked by a classifier
- H01L2924/0002—Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Chemical & Material Sciences (AREA)
- Inorganic Chemistry (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Description
本發明係有關於一種半導體結構及其製造方法。更明確地說,本發明係有關於一種內連線結構,其在位於一介層洞開口上方的線開口內含有一連續擴散阻障層,以及製造此種半導體結構的方法。該連續擴散阻障層係在位於該介層洞開口下方的導電結構內提供一挖鑿特徵之後形成。因此,不會在形成該挖鑿特徵期間對該介電材料造成傷害。
一般來說,半導體元件包含複數個電路,其形成製造在一半導體基材上的積體電路。通常會繞線出一複雜的訊號路徑網路以連接分布在該基材表面上的電路構件。這些訊號在該元件上的有效繞線需要形成多重或多層結構,例如,單或雙嵌線路結構。在一典型的內連線結構中,金屬介層洞垂直於該半導體基材延伸,而金屬線則平行於該半導體基材延伸。
由於數以百萬計的元件和電路被壓縮在一半導體晶片上,線路密度及金屬層數量雙雙隨著世代演進而增加。為了提供高訊號速度下的低RC(電阻/電容),介電常數低於二氧化矽的低k介電材料以及含銅線路成為必要配備。習知嵌入製程形成的薄金屬線路及鑲入(stud)的品質是非常重要的,以確保良率及可靠度。現今此領域所遭遇的主要問題是嵌入在低k介電材料內的深次微米金屬鑲入有不佳的機械完整性,其可導致令人不滿意的熱循環以及內連線結構內的應力遷移阻力。此問題在既不使用新式金屬化方法也不使用多孔性低k介電材料時變得更加嚴重。
為了在內連線結構內使用銅嵌入和低k介電材料的同時解決此機械強度差的問題,半導體業採用所謂的”介層洞貫穿(via punch-through)”技術。介層洞貫穿提供一介層洞挖鑿特徵(或錨狀區)。據稱此種介層洞挖鑿特徵可達到合理的接觸電阻以及增加的接觸鑲入之機械強度。這些發現在,例如,M.-Si.Liang在IEEE國際電子元件會議(IEEE Int.Electron Devices Meeting),313(2004)的「銅/低k集成之挑戰」、D.Edelstein等在IEEE國際可靠度物理座談會(IEEE Int.Reliability Physics Symp.)316(2004)的「具有銅/電漿輔助化學氣相沉積之低k後段製程之90奈米CMOS技術的综合可靠度評估」、以及核准予Chang等之美國專利第4,184,909號、核准予Simon等之美國專利第5,933,753號、核准予Geffken等之美國專利第5,985,762號、核准予Uzoh等之美國專利第6,429,519號以及核准予Yang等之美國專利第6,784,105號中指出。
但是,先前技藝用來形成介層洞挖鑿之氬濺鍍技術不僅會從該溝槽(即線開口)底部除去所沉積的內襯材料,例如氮化鉭,並且也會傷害到該低k介電材料。因為形成該挖鑿特徵的需要,最終的內連線結構不僅在該溝槽底部有不佳的內襯覆蓋,並且因為氬濺鍍製程而在低k介電材料內造成嚴重損害。這變成主要的良率貶抑以及先進晶片製造的可靠度問題。
既存的先前技藝方法之介層洞挖鑿的詳細製程步驟在第1A-1E圖中示出,並且在下面描述。首先參見第1A圖,其示出在位於一下內連線層100上方的上內連線層108進行雙嵌圖案化後所形成的先前技藝結構。該下內連線層100包含一第一低k介電材料102,其包含一金屬、銅特徵104在其內。該下內連線層100藉由一覆蓋層106與該上內連線層108部份分離。該上內連線層108包含一第二低k介電材料110,其包含位於其內的線112和介層洞114開口兩者。位於該介層洞開口114下方之該下介層洞100的金屬特徵104表面係暴露出的,如第1A圖所示。
第1B圖示出在所有的暴露表面上形成擴散阻障層,例如氮化鉭,116之後的第1A圖的先前技藝結構。接著執行氬濺鍍,例如在第1C圖中所示者,以清潔該介層洞開口114內的底部水平表面並在該下內連線層100的金屬特徵104內形成挖鑿特徵(即錨狀區)118。該挖鑿特徵118係經運用以增強所示各種內連線層之間的內連線強度。在氬濺鍍製程期間,會從每一個線開口112底部除去該擴散阻障層116,並且在每一個線開口112底部造成介電損害120(其在該第二低k介電材料110內由圓圈表示)。在該濺鍍製程期間形成的介電損害120是來自於先前技藝濺鍍製程固有的侵略特性。
第1D圖示出在其暴露出的表面上形成金屬內襯層,例如鉭、釕、銥、銠或鉑,122之後的第1C圖之先前技藝結構。第1E圖示出以導電金屬,例如銅,124填充該線及介層洞開口(分別是112和114)並平坦化之後的先前技藝結構。如第1E圖所示,該先前技藝結構在該填充金屬的線底部有不佳的擴散阻障層116覆蓋(以元件符號126表示)以及特徵底部粗糙,其係形成在該第二低k介電材料110內的損害120造成的結果。這兩個特徵雙雙降低該擴散阻障層116的品質,並劣化整體線路可靠度。此外,前述特性兩者皆使該結構展現出高度的金屬對金屬洩漏。
已研發出多孔性超低k介電材料(介電常數約2.8或更低),並且已經使用在內連線結構內做為層間介電材料之一。與密實的(即非多孔性)低k介電材料相比,氬濺鍍的損害衝擊在所測試的大部分超低k介電材料上更顯著,這使得目前的金屬化方法(見第1A-1E圖,例如)與超低k介電材料的集成幾乎不可能存在。因此,所有現今的超低k硬體皆在阻障層完整性測試中失敗。第2圖示出擁有包含超低k介電材料的銅內連線之先前技藝結構的掃描式電子顯微鏡(SEM)剖面。該SEM影像中的箭頭指出氬濺鍍期間形成在該超低k介電材料內的損害。
鑒於上述內連線結構的缺點,並且特別是含有多孔性超低k介電材料做為層間介電材料之一者,持續需要研發一種新穎的並且改善的集成結構,其避免該擴散阻障層從形成在介電材料(包含低k和超低k)內的線開口水平表面移除,因而不會在該介電材料內造成損害。
本發明提供一種在介層洞開口底部含有一挖鑿特徵的內連線結構及其形成方法,其既不會破壞上方線開口內沉積的擴散阻障層之覆蓋,並且本發明方法也不會帶來因為氬在包含該介層洞及線開口之介電材料內濺鍍所造成的損害。根據本發明,此種內連線結構係利用在形成該線開口之前先在介層洞開口底部提供該挖鑿特徵,並在該線開口內沉積擴散阻障層來實現。
因為本發明內連線結構的線區域內之擴散阻障層覆蓋是連續的,並且不會在該內連線介電材料內造成損害,與利用第1A-1E圖所示的製程流程製出的先前技藝內連線結構相比,本發明內連線結構擁有改善的線路可靠度以及較低程度的金屬對金屬洩漏。
在本發明之一實施例中,本發明提供一種半導體結構,其至少包含:一下內連線層,包含一第一介電材料,其擁有至少一導電特徵嵌入在其內;一介電覆蓋層,位於該第一介電材料以及該至少一導電特徵的某些,但並非所有,部分上;以及一上內連線層,包含一第二介電材料,其擁有至少一導電填充介層洞及一位於上方的導電填充線路配置在其內,其中該導電填充介層洞係利用一錨狀區與該第一內連線層之至少一導電特徵暴露出的表面接觸,該導電填充介層洞係利用一第一擴散阻障層與該第二介電材料分離,並且該導電填充線路係利用一第二連續擴散阻障層與該第二介電材料分離,因此該第二介電材料在毗鄰該導電填充線路的區域內不含有受到損害的區域。
在本發明之較佳實施例中,該內連線結構包含填充有銅或含銅合金的介層洞及線路,並且該第一及第二介電材料是介電常數約2.8或更低之相同或不同的多孔性介電材料。
在本發明之又另一實施例中,本發明提供一種半導體結構,其至少包含:一下內連線層,包含一第一介電材料,其擁有至少一導電特徵嵌入在其內;一介電覆蓋層,位於該第一介電材料以及該至少一導電特徵的某些,但並非所有,部分上;以及一上內連線層,包含一第二介電材料,其擁有至少一導電填充介層洞及一位於上方的導電填充線路配置在其內,其中該導電填充介層洞係利用一錨狀區與該至少一第一內連線層之至少一導電特徵接觸,一金屬介面層,位於該錨狀區之一表面上,並且與該導電填充介層洞接觸,該導電填充介層洞係利用一第一擴散阻障層與該第二介電材料分離,以及該導電填充線路係利用一第二連續擴散阻障層與該第二介電材料分離,因此該第二介電材料在毗鄰該導電填充線路的區域內不含有受到損害的區域。
除了提供前述半導體結構外,本發明也提供其製造方法。在本發明之一實施例中,該方法包含:提供一初始內連線結構,其含有一下內連線層,該內連線層包含擁有至少一導電特徵嵌入在其內的第一介電材料,一上內連線層,其包含擁有至少一介層洞開口的第二介電材料,該介層洞開口暴露出位於該下內連線層上之該至少一導電特徵的一部分,該下及上內連線層係利用一介電覆蓋層部分隔離,以及位於該上內連線層表面上的圖案化硬罩幕;在該初始內連線結構所有暴露出的表面上形成一第一阻障層;在該至少一導電特徵內形成一貫穿挖鑿特徵,其係位於該介層洞開口底部;在該第二介電材料內形成至少一線開口,其延伸在該至少一介層洞開口上;至少在該至少一線開口內形成一第二連續擴散阻障層;在該至少一線開口及該至少一介層洞開口兩者內形成一黏合/電鍍種層;以及以一導電材料填充該至少一線開口及至少一介層洞開口。
在本發明之較佳實施例中,本發明之方法包含以銅或含銅合金填充該介層洞及線路,並且使用介電常數約2.8或更低的多孔性介電材料做為該第一及第二介電材料。
在本發明之又另一實施例中,該方法包含如下步驟:提供一初始內連線結構,其含有一下內連線層,該內連線層包含擁有至少一導電特徵嵌入在其內的第一介電材料,一上內連線層,其包含擁有至少一介層洞開口的第二介電材料,該介層洞開口暴露出位於該下內連線層上之該至少一導電特徵的一部分,該下及上內連線層係利用一介電覆蓋層部分隔離,以及位於該上內連線層表面上的圖案化硬罩幕;在該初始內連線結構所有暴露出的表面上形成一第一阻障層;在該至少一導電特徵內形成一貫穿挖鑿特徵,其係位於該介層洞開口底部;在該挖鑿特徵上形成一金屬介面層;在該第二介電材料內形成至少一線開口,其延伸在該至少一介層洞開口上;從該至少一線開口及該至少一介層洞開口移除蝕刻殘餘物;至少在該至少一線開口內形成一第二連續擴散阻障層;在該至少一線開口及該至少一介層洞開口兩者內形成一黏合/電鍍種層;以及以一導電材料填充該至少一線開口及至少一介層洞開口。
現在將藉由參考如下討論及伴隨本申請案之圖式更詳細描述本發明,其提供含有一挖鑿的介層洞特徵(即錨狀介層洞底部)之內連線結構及其製造方法。本申請案之圖式,此後更詳細談論,係經提供做為例示用,因此,並沒有按照比例繪製。
本發明之製程流程始於提供第3圖所示之初始內連線結構10。明確地說,第3圖所示之初始內連線結構10包含一多層內連線,其含有利用一介電覆蓋層14部分分離的下內連線層12及上內連線層16。該下內連線層12,其可位於含有一或多個半導體元件之半導體基材(未示出)上,包含擁有至少一導電特徵(即導電區)20之第一介電材料18,該導電特徵係利用一阻障層(未示出)與該第一介電層18隔離。該上內連線層16包含一第二介電材料24,其擁有至少一介層洞開口26在其內。如所示,該至少一介層洞開口26暴露出一部分的導電特徵20。位於該上內連線層16上方的是一圖案化硬罩幕28。雖然第3圖所示結構示出單一個介層洞開口26,但本發明預期到在該第二介電材料24內形成任何數量的此種介層洞開口,其暴露出可能存在於該第一介電材料18內的其他導電特徵20。
第3圖所示之該初始結構10係利用熟知技藝者習知的技術製成。例如,該初始內連線結構可藉由首先施加該第一介電材料18至一基材(未示出)表面上形成。該基材,其未經示出,可含有半導體材料、絕緣材料、導電材料或其任何組合。當該基材係由半導體材料組成時,可使用任何半導體,例如矽、鍺化矽、矽鍺碳、碳化矽、鍺合金、砷化鎵、砷化銦、磷化銦及其他Ⅲ/V或Ⅱ/Ⅵ族化合物半導體。除了所列出的半導體材料類型之外,本發明也預期到半導體基材係一多層半導體的情況,例如,矽/鍺化矽、矽/碳化矽、絕緣層上矽(silicon-on-insulators,SOIs)或絕緣層上矽鍺(silicon germanium-on-insulators,SGOIs)。
當該基材係一絕緣材料時,該絕緣材料可以是有機絕緣體、無機絕緣體或其含有多層的組合。當該基材係一導電材料時,該基材可包含,例如,多晶矽、元素金屬、元素金屬合金、金屬矽化物、金屬氮化物或其含有多層的組合。當該基材包含半導體材料時,可在其上製造一或多種半導體元件,例如互補式金氧半導體(CMOS)元件。
該下內連線層12的第一介電材料18可含有任何層間或層內介電材料,包含無機介電材料或有機介電材料。該第一介電材料18可以是多孔的或無孔的,在本發明之某些實施例中,高度傾向使用介電材料約2.8或更低的多孔介電材料。可用來做為該第一介電材料18之適合的介電材料之某些範例包含,但不限於:二氧化矽、倍半矽氧烷(silsesquixoanes)、含有矽、碳、氧和氫原子之摻雜碳的氧化物(即有機矽酸鹽)、熱固性聚多芳基酯(polyarylene ethers)、或其多層。此申請案中使用「聚多芳基」一詞來表示芳基(aryl)份額或惰性取代之芳基份額,其係藉由鍵結、稠環(fused-ring)、或例如氧、硫、碸(sulfone)、亞碸(sulfoxide)、羰基(carbonyl)及諸如此類之惰性連結族群連結在一起。
該第一介電材料18的介電常數通常是約4.0或更低,擁有約2.8或更低的介電常數甚至是更典型的。與介電常數大於4的介電材料相比,這些介電材料一般擁有較低的寄生串訊(crosstalk)。該第一介電材料18的厚度可取決於所使用的介電材料以及該下內連線層12內的確實介電材料數量而改變。一般來說,並且就正常內連線結構而言,該第一介電材料18的厚度為約200至約450奈米。
該下內連線層12也擁有至少一導電特徵20,其係嵌入在該第一介電材料18內(即位於其內)。該導電特徵20包含利用一阻障層(未示出)與該第一介電材料18隔離的導電材料。該導電特徵20的形成係利用微影(即,施加一光阻至該第一介電材料18表面,將該光阻暴露在預期圖案之幅射下,並利用習知光阻顯影劑顯影經曝光的光阻),在該第一介電材料18內蝕刻(乾蝕刻或濕蝕刻)一開口以及以該阻障層填充該蝕刻出的區域,然後利用一導電材料形成該導電區域。該阻障層,其可包含鉭、氮化鉭、鈦、氮化鈦、釕、氮化釕、鎢、氮化鎢或可作用為阻障層以避免導電材料擴散通過其間的任何其他材料,係利用沉積製程形成,例如,原子層沉積(ALD)、化學氣相沉積(CVD)、電漿輔助化學氣相沉積(PECVD)、物理氣相沉積(PVD)、濺鍍、化學溶液沉積、或電鍍。
該阻障層的厚度可取決於該沉積製程的確實方法以及所使用的材料而改變。通常,該阻障層的厚度為約4至約40奈米,擁有約7至約20奈米的厚度是更典型的。
在形成該阻障層之後,以導電材料填充該第一介電材料18內該開口的剩餘區域,而形成該導電特徵20。用來形成該導電特徵20的導電材料包含,例如,多晶矽、導電金屬、含有至少一種導電金屬的合金、導電金屬矽化物或其組合。較佳地,用來形成該導電特徵20的導電材料係例如銅、鎢或鋁等導電金屬,在本發明中高度傾向於帶有銅或銅合金(例如鋁銅)。利用習知沉積製程將該導電材料填充進入該第一介電材料18內之剩餘開口中,包含但不限於,CVD、PECVD、濺鍍、化學溶液沉積或電鍍。在沉積後,可使用例如化學機械研磨(CMP)之習知平坦化製程來提供該阻障層和該導電特徵20的上表面實質上皆與該第一介電材料18的上表面共平面之結構。
在形成該至少一導電特徵20後,利用習知沉積製程在該下內連線層12表面上形成一全覆式介電覆蓋層14,例如CVD、PECVD、化學溶液沉積、或蒸鍍。該介電覆蓋層14包含任何適合的介電覆蓋材料,例如碳化矽、四矽氨(Si4
NH3
)、二氧化矽、摻雜碳的氧化物、摻雜氮及氫的碳化矽(SiC(N,H))或其多層。該覆蓋層14的厚度可根據其形成技術以及組成該層的材料而改變。通常,該覆蓋層14的厚度為約15至約55奈米,擁有約25至約45奈米的厚度是更典型的。
接著,藉由施加該第二介電材料24至該覆蓋層14暴露出的上表面來形成該上內連線層16。該第二介電材料24可含有與該下內連線層12的第一介電材料18相同或不同,較佳地相同,的介電材料。該第一介電材料l8所用之製程技術和厚度範圍在此也可運用在該第二介電材料24上。該第二介電材料24也可包含兩種不同的材料,即先沉積一種介電材料,然後沉積另一種不同的介電材料。在本發明之一實施例中,該第二介電材料24包含兩種不同的低k介電材料,因此該上內連線層16擁有一混合結構,其具有隨後填充之嵌入在一多孔性介電材料內的導電填充線路,以及隨後填充之嵌入在一密實(即非多孔性)介電材料內的介層洞。在此種實施例中,該多孔性低k介電材料的介電常數為約2.8或更低,而該密實的低k介電材料的介電常數為約4.0或更低。
接著,在該第二介電材料24內形成至少一介層洞開口26,藉由首先在該第二介電材料24上表面上形成一全覆式硬罩幕材料。該全覆式硬罩幕材料包含氧化物、氮化物、氮氧化物或含有其多層之任何組合。通常,該硬罩幕材料係例如二氧化矽的氧化物或例如四氮化三矽的氮化物。該全覆式硬罩幕材料係利用習知沉積製程形成,例如CVD、PECVD、化學溶液沉積、或蒸鍍。如此沉積出的硬罩幕材料之厚度可取決於所形成的硬罩幕材料類型、組成該硬罩幕材料的沉積層數量以及所用之沉積技術而改變。通常,如此沉積出的硬罩幕材料的厚度為約10至約80奈米,擁有約20至約60奈米的厚度甚至是更典型的。
在形成該全覆式硬罩幕材料層之後,利用習知沉積製程在該硬罩幕材料上形成光阻(未示出),例如CVD、PECVD、旋轉塗佈、化學溶液沉積或蒸鍍。該光阻可以是正光阻材料、負光阻材料或混合材料,每一種對熟知技藝者而言都是習知的。然後硬光阻經受微影製程,其包含將該光阻暴露在一圖案之輻射下,並利用習知光阻顯影劑顯影經曝光的光阻。該微影步驟在該硬罩幕材料上提供一圖案化光阻,其界定出該介層洞開口26的寬度。
在提供該圖案化光阻後,運用一或多種蝕刻製程將該介層洞圖案轉移至該硬罩幕材料上,然後轉移進入該第二介電材料24內。可在該介層洞圖案被轉移至該硬罩幕上之後利用習知去光阻製程立即將該圖案化光阻剝除,形成圖案化硬罩幕28。或者,可在該介層洞圖案被轉移至該第二介電材料24內之後才剝除該圖案化光阻。用來轉移該介層洞圖案之蝕刻可包含乾蝕刻製程、濕式化學蝕刻製程或其組合。在此使用「乾蝕刻」一詞來表示例如反應性離子蝕刻、離子束蝕刻、電漿蝕刻或雷射剝離等蝕刻技術。
在形成第3圖所示之初始內連線結構10之後,在該初始內連線結構所有暴露出的表面上形成一擴散阻障材料(其,為了本發明之主張,係有關於第一擴散阻障層)30,提供例如第4圖所示之結構。如所示,擴散阻障材料30覆蓋該圖案化硬罩幕28暴露出的表面,位於該介層洞開口26內之該第二介電材料24的側壁以及該導電特徵20暴露出的部分。根據本發明,該擴散阻障材料30係一薄層,其厚度通常在約0.5至約20奈米範圍內,擁有約1至約10奈米的厚度甚至是更典型的。該擴散阻障材料層30係利用習知沉積製程形成,包含但不限於:CVD、PVD、ALD或旋轉塗佈。該擴散阻障材料30包含含金屬材料,例如,氮化鉭、鉭、鈦、氮化鈦、鉭化釕、氮化釕鉭、鎢、釕或銥,絕緣材料,例如二氧化矽、四氮化三矽、碳化矽、摻雜氮和氫的碳化矽或其任何組合。
在形成該擴散阻障材料30之後,接著使第4圖之結構經受氬濺鍍製程,其從該介層洞底部除去該擴散阻障材料30,並貫穿下方的導電特徵20,而在該導電特徵20內形成一挖鑿特徵(或錨狀區)32。該氬濺鍍製程期間所形成的結構在,例如,第5A圖示出。觀察到此濺鍍製程也除去位於該硬罩幕28表面上的擴散阻障材料30。該第二介電材料24並未在此製程期間受到損害,因為其受到該硬罩幕28的保護。用來形成該挖鑿特徵的氬濺鍍製程包含常在內連線技術中用來形成此種特徵之任何習知濺鍍製程。做為說明,可用以下非限制性條件來執行氬濺鍍:20 sccm的氬氣流、25℃的溫度、400 KHz及750 W之上電極偏壓、13.6 MHz及400 W的檯面偏壓(table bias)、以及0.6毫托耳的製程壓力。雖然是為了說明目的提出氬,也可使用任何其他氣體來進行濺鍍製程,例如氦、氖、氙、氮氣、氫氣、氨、聯胺(N2
H2
)、或其混合物。
第5B圖示出本發明之一選擇性實施例,其中在第5A圖示出之所有暴露出的表面上形成一金屬介面層34。該金屬介面層34係利用任何習知沉積製程形成,例如CVD、PECVD、化學溶液沉積、蒸鍍、有機金屬沉積、ALD、濺鍍、PVP或電鍍(無電或有電)、該金屬介面層34的厚度可取決於所使用的確實金屬界面材料以及所用的沉積技術而改變。通常,該金屬介面層34的厚度為約0.5至約40奈米,擁有約1至約10奈米的厚度甚至是更典型的。該金屬介面層34包含一金屬阻障材料,例如鈷、氮化鉭、鉭、鈦、氮化鈦、釕、銥、金、銠、鉑、鈀或銀。也預期到使用此類材料的合金。
接著,沉積一平坦化層36,填充第5A和5B圖所示結構之任一者的介層洞開口26。該平坦化層36係利用習知沉積製程沉積,例如CVD、PECVD、旋轉塗佈、蒸鍍或化學溶液沉積。該平坦化材料包含習知抗反射塗覆材料或玻璃纖維材料。如第6圖所示,該平坦化層36完全填充該介層洞開口26,並且延伸至該介層洞開口26上方該硬罩幕暴露出的表面上(如第6圖所示)或該金屬介面層34上方(未示出)。
除了平坦化層36,第6圖所示結構也包含配置在該平坦化層36表面上的第二硬罩幕38以及配置在該第二硬罩幕38表面上的圖案化光阻40。該第二硬罩幕38係利用與形成該硬罩幕28所述之相同製程技術形成,並且係由上面關於該硬罩幕28提及的硬罩幕材料之一組成。該圖案化光阻40係利用沉積及微影形成,並且其包含擁有線開口寬度的開口。
接著使第6圖所示結構經受能夠形成第7圖所示結構之一或多種蝕刻製程。如此圖所示,該一或多種蝕刻製程在該第二介電材料24內形成線開口42。根據本發明,至少一線開口42係位於該介層洞開口26上方並與其連接,該介層洞開口26係受到剩餘的平坦化層36的保護。該一或多種蝕刻步驟依序除去該第二硬罩幕38暴露出的部分、該下方的平坦化層36部分、以及該第二介電材料24暴露出的部分。該圖案化光阻40和該圖案化第二硬罩幕38通常在所提及的蝕刻步驟期間除去。
第8圖示出從該介層洞開口26內除去剩餘的平坦化層36之後的第7圖之結構。該剩餘的平坦化層之剝除係利用化學濕蝕刻製程或化學灰化製程來執行,其在從該結構上除去該平坦化材料時具有選擇性。在本發明之某些實施例中,氧化物或蝕刻殘餘物44可能殘存在該挖鑿特徵32內。
在此情況下,可利用表面清潔製程從該挖鑿特徵32除去該氧化物或蝕刻殘餘物44,其可包含濕式化學蝕刻製程及/或輕微的氬轟擊。在此例中不會產生任何損害,因為氬轟擊條件並不如先前技藝用來形成該挖鑿特徵32者那般嚴酷。通常,本情況中僅為表面清潔所使用的製程時間是低於5秒,與先前技藝用來產生該挖鑿特徵的多於10秒相比。做為說明,可用以下非限制性條件來執行氬濺鍍:20 sccm的氬氣流、25℃的溫度、400 KHz及400 W之上電極偏壓、13.6 MHz及200 W的檯面偏壓、以及0.6毫托耳的製程壓力。雖然是為了說明目的提出氬,也可使用任何其他氣體來進行濺鍍製程,例如氦、氖、氙、氮氣、氫氣、氨、聯胺(N2
H2
)、或其混合物。
在本發明之某些實施例中,從該至少一線開口及該至少一介層洞開口區中除去蝕刻殘餘物。在一實施例中,使用電漿蝕刻,其含有氧氣、氫氣、氮氣、一氧化碳、二氧化碳、或氨之至少一者或其組合。在另一實施例中,該蝕刻殘餘物係利用濕蝕刻除去,其含有氫氟酸、氫氯酸、硫酸、或硝酸之至少一種或其組合。第9圖示出執行此清潔製程之後所形成的結構。
第10A和10B圖示出接下來可形成的兩種不同結構。第10A和10B圖所示之兩種結構皆包含一擴散阻障層46(為了本發明之主張,該擴散阻障層46代表第二擴散阻障層)。如第10A圖所示,該擴散阻障層46僅覆蓋該線開口42內暴露出的表面,而在第10B圖中,該擴散阻障層46覆蓋該線開口42和該介層洞開口26兩者內暴露出的表面。該擴散阻障層46之覆蓋範圍係由其形成之沉積製程的條件和時間長短決定。注意到該擴散阻障層46在本製程期間持續存在該線開口42內。
根據本發明,該擴散阻障層46包含鉭、氮化鉭、鈦、氮化鈦、釕、氮化釕、鉭化釕、氮化釕鉭、鎢、鉭化鎢或可作用為阻障層以避免導電材料擴散通過其間的任何其他材料。也預期到這些材料的組合而形成一多層堆疊擴散阻障層。該擴散阻障層46係利用沉積製程形成,例如,原子層沉積(ALD)、化學氣相沉積(CVD)、電漿輔助化學氣相沉積(PECVD)、物理氣相沉積(PVD)、濺鍍、化學溶液沉積、或電鍍。
該擴散阻障層46的厚度可取決於該阻障層內的材料層數目、其形成技術以及該擴散阻障層本身的材料而改變。通常,該擴散阻障層46的厚度為約4至約40奈米,擁有約7至約20奈米的厚度甚至是更典型的。
第11A和11B圖示出接下來可分別從第10A和10B圖所示結構形成的兩種不同結構。第11A和11B圖所示之兩種結構皆包含一黏合/電鍍種層48。
該黏合/電鍍種層48係由元素週期表Ⅷ A族的金屬或金屬合金組成。適合用來做為該黏合/電鍍種層之Ⅷ A族元素的範例包含,但不限於:釕、釕化鉭、銥、銠、鉑、鈀及其合金。在某些實施例中,較佳地使用釕、銥或銠做為層48。
該黏合/電鍍種層48係利用習知沉積製程形成,包含,例如,化學氣相沉積(CVD)、電漿輔助化學氣相沉積(PECVD)、原子層沉積(ALD)、電鍍、濺鍍及物理氣相沉積(PVD)。該黏合/電鍍種層48的厚度可取決於若干因素而改變,包含,例如,該黏合/電鍍種層48的成分材料以及其形成技術。通常,該黏合/電鍍種層48的厚度為約1.5至約10奈米,擁有低於6奈米的厚度甚至是更典型的。
第12A和12B圖分別示出可從第11A和11B圖所示結構形成的不同內連線結構。第12A和12B圖所示之每一個結構係在以內連線導電材料50填充該介層洞及線開口以及該挖鑿特徵32並且平坦化之後的狀態。該內連線導電材料50可包含與該導電特徵20相同或不同,較佳地相同,的導電材料(在該導電材料不是多晶矽的前提下)。較佳地,使用銅、鋁、鎢或其合金,帶有銅或鋁銅是最佳的。該導電材料50係利用與上面形成該導電材料20所述者相同的沉積製程形成,並且在沉積該導電材料之後,使該結構經受平坦化。該平坦化製程除去位於該上內連線層16的第二低k介電材料24上之若干材料。
本發明之方法可用來在第3-12B圖所示各層上形成額外的內連線層。各個內連線層的每一者皆會包含上述之挖鑿特徵。
因為上述之集成製程結構,在形成該挖鑿特徵32期間不會在該第二介電材料24內形成受損區域。此外,本發明之集成製程使該擴散阻障層46可在該金屬線區域內有連續覆蓋,且擁有均勻的厚度(即,厚度變異低於2奈米)。因為擴散阻障層46在本發明之內連線結構的線區域內之覆蓋是連續的,並且不會在該內連線介電材料內造成損害,與第1A-1E圖所示之內連線結構相比,本發明之內連線結構擁有改善的線路可靠度以及較低程度的金屬-金屬洩漏。也應注意到擴散阻障材料30僅存在於該介層洞開口26內,但不存在於該線開口42內。此特徵增強介層洞開口周邊的機械強度及擴散特性,而不會降低該線開口42內的導體50的體積比率。進一步注意到在某些實施例中,該導電填充介層洞內之該第一擴散阻障層30和該第二連續擴散阻障層46的總擴散阻障層厚度比該導電填充線路內之第二連續擴散阻障層46厚。
雖然本發明已經關於其較佳實施例具體示出並描述,但熟知技藝者會了解前述及其他形式和細節的改變可在不背離本發明之精神及範圍下做出。因此意欲使不發明不受到所敘述及所示的確實形式及細節所限,而是落在附屬申請專利範圍的範圍內。
10...初始內連線結構
12、100...下內連線層
14、106...覆蓋層
16、108...上內連線層
18、102...第一低k介電材料
20、104...特徵
24、110...第二低k介電材料
26、114...介層洞開口
28、38...硬罩幕
30、46、116...擴散阻障材料
32...挖鑿特徵
34...金屬介面層
36...平坦化層
40...圖案化光阻
42、112...線開口
44...氧化物或蝕刻殘餘物
48...黏合/電鍍種層
50...內連線導電材料
118...挖鑿特徵
120...介電損害
122...金屬內襯層
124...導電金屬
第1A-1E圖係示出先前技藝用來形成內連線結構之基本製程步驟之圖示表示(透過剖面圖)。
第2圖係示出具有銅內連線在超低k介電材料內之先前技藝內連線結構之SEM影像(透過剖面圖)。
第3圖係示出利用一上內連線層形成一介層洞接觸開口(此後稱為介層洞開口)之後的本發明之初始結構之圖示表示(透過剖面圖)。
第4圖係示出至少在該介層洞開口內形成一第一擴散阻障層之後的第3圖之結構的圖示表示(透過剖面圖)。
第5A圖係示出在濺鍍以從該介層洞接觸開口底部除去該第一擴散阻障層並且貫穿進入下方的導電特徵而在其內形成一挖鑿特徵之後的第4圖之結構的圖示表示(透過剖面圖);第5B圖示出本發明之一選擇性實施例,其中提供第5A圖之結構一金屬界面層。
第6圖係示出形成一平坦化層、硬罩幕及圖案化光阻之後的第5A圖之結構的圖示表示(透過剖面圖)。
第7圖係示出在該上內連線層內形成至少一線開口之後的第6圖之結構的圖示表示(透過剖面圖)。
第8圖係示出除去在形成該線開口期間保護該介層洞開口的剩餘平坦化材料之後的第7圖之結構的圖示表示(透過剖面圖)。
第9圖係示出除去該介層洞底部氧化物/殘餘物之後的第8圖之結構的圖示表示(透過剖面圖)。
第10A-10B圖係示出形成一第二擴散阻障層之後所形成的第9圖之結構的圖示表示(透過剖面圖)。
第11A-11B圖分別示出形成一黏合/電鍍種層之後所形成的第10A和10B圖之結構的圖示表示(透過剖面圖)。
第12A-12B圖係分別示出金屬填充和平坦化之後所形成的第11A和11B圖之結構的圖示表示(透過剖面圖)。
12...下內連線層
14...覆蓋層
16...上內連線層
18...第一低k介電材料
20...特徵
24...第二低k介電材料
30、46...擴散阻障材料
48...黏合/電鍍種層
50...內連線導電材料
Claims (20)
- 一種半導體結構,其至少包含:一下內連線層,其包含一第一介電材料,該第一介電材料擁有至少一導電特徵嵌入在其內;一介電覆蓋層,其位於該第一介電材料以及該至少一導電特徵的某些,但並非所有,部分上;以及一上內連線層,其包含一第二介電材料,該第二介電材料擁有至少一導電填充介層洞及一位於上方的導電填充線路配置在其內,其中該導電填充介層洞係利用一錨狀區與該第一內連線層之至少一導電特徵暴露出的表面接觸,該導電填充介層洞係利用一第一擴散阻障層與該第二介電材料分離,並且該導電填充線路係利用一第二連續擴散阻障層與該第二介電材料分離,因此該第二介電材料在毗鄰該導電填充線路的區域內不含有受到損害的區域,並且其中該第一擴散阻障層僅存在於該至少一導電填充介層洞內之該第二介電材料與該介電覆蓋層的側壁上且僅存在於該至少一導電特徵之暴露上表面的某些部分上,並且其中該第二擴散阻障層與該至少一導電填充線路中之該第二介電材料的側壁直接接觸,並且其中該導電填充線路之上表面與該第二介電材料之上表面共平面。
- 如申請專利範圍第1項所述之半導體結構,其中上述之第一及第二介電材料包含相同或不同的密實低k介電材料,該密實低k介電材料之介電常數約4.0或更低。
- 如申請專利範圍第1項所述之半導體結構,其中上述之第一及第二介電材料包含相同或不同的多孔性低k介電材料,該多孔性低k介電材料之介電常數約2.8或更低。
- 如申請專利範圍第1項所述之半導體結構,其中上述之第二介電材料包含兩種不同的低k介電材料,並且該上內連線層擁有一混合結構,該混合結構具有嵌入在一多孔性介電材料中之該導電填充線路,以及嵌入在一密實介電材料中之該導電填充介層洞。
- 如申請專利範圍第4項所述之半導體結構,其中上述之多孔性低k介電材料之介電常數約2.8或更低,而該密實低k介電材料之介電常數約4.0或更低。
- 如申請專利範圍第1項所述之半導體結構,其中上述之介電覆蓋層包含碳化矽、四矽氨(Si4 NH3 )、二氧化矽、摻雜碳的氧化物、摻雜氮及氫的碳化矽(SiC(N,H))或其多層之一。
- 如申請專利範圍第1項所述之半導體結構,其中上述之嵌入在該第一介電材料中之至少一導電特徵包含銅或含銅合金。
- 如申請專利範圍第1項所述之半導體結構,其中上述之至少一導電填充介層洞和至少一上方之導電填充線路包含銅或含銅合金。
- 如申請專利範圍第1項所述之半導體結構,其中上述之第一擴散阻障層包含一種含金屬材料、一種絕緣材料或其任何組合。
- 如申請專利範圍第1項所述之半導體結構,其中上述之第二連續擴散阻障層包含鉭、氮化鉭、鈦、氮化鈦、釕、氮化釕、鉭化釕、氮化釕鉭、鎢或氮化鎢。
- 如申請專利範圍第1項所述之半導體結構,其中上述之第二連續擴散阻障層不存在於該導電填充介層洞內,但該導電填充介層洞係利用該第一擴散阻障層與該第二介電材料隔離。
- 如申請專利範圍第1項所述之半導體結構,其中上述之第二連續擴散阻障層也存在於該導電填充介層洞內該第 一擴散阻障層上方。
- 如申請專利範圍第1項所述之半導體結構,其中上述之導電填充介層洞內之該第一擴散阻障層和該第二連續擴散阻障層的總擴散阻障層厚度比該導電填充線路內之該第二連續擴散阻障層厚度更厚。
- 如申請專利範圍第1項所述之半導體結構,更包含一黏合/電鍍種層,其位於該至少一導電填充線路內該第二連續擴散阻障層上,並且位於該至少一導電填充介層洞內該第一擴散阻障層上。
- 如申請專利範圍第1項所述之半導體結構,更包含一黏合/電鍍種層,其位於該至少一導電填充線路內該第二連續擴散阻障層上,並且位於該至少一導電填充介層洞內該第二擴散阻障層上。
- 如申請專利範圍第14項所述之半導體結構,其中上述之黏合/電鍍種層包含釕、釕化鉭、銥、銠、鉑、鈀、鉭、銅或其合金之一或組合物。
- 如申請專利範圍第1項所述之半導體結構,其中上述之第二擴散阻障層存在於位於該導電填充介層洞上方之該 至少一導電填充線路中,但不存在於該至少一導電填充介層洞。
- 一種半導體結構,其至少包含:一下內連線層,其包含一第一介電材料,該第一介電材料擁有至少一導電特徵嵌入在其內;一介電覆蓋層,其位於該第一介電材料以及該至少一導電特徵的某些,但並非所有,部分上;以及一上內連線層,其包含一第二介電材料,該第二介電材料擁有至少一導電填充介層洞及一位於上方的導電填充線路配置在其內,其中該導電填充介層洞係利用一錨狀區與該至少一第一內連線層之至少一導電特徵接觸,一金屬介面層,其直接位於該錨狀區內之該至少依導電特徵之一表面上,並且與該導電填充介層洞接觸,該導電填充介層洞係利用一第一擴散阻障層與該第二介電材料分離,以及該導電填充線路係利用一第二連續擴散阻障層與該第二介電材料分離,因此該第二介電材料在毗鄰該導電填充線路的區域內不含有受到損害的區域,並且其中該第一擴散阻障層僅存在於該至少一導電填充介層洞內之該第二介電材料與該介電覆蓋層的側壁上且僅存在於該至少一導電特徵之暴露上表面的某些部分上,並且其中該第二擴散阻障層與該至少一導電填充線路中之該第二介電材料的側壁直接接觸,並且 其中該導電填充線路之上表面與該第二介電材料之上表面共平面。
- 如申請專利範圍第18項所述之半導體結構,其中上述之金屬介面層包含鈷、氮化鉭、鉭、鈦、氮化鈦、釕、銥、金、銠、鉑、鈀、銀或其合金之一或組合物。
- 如申請專利範圍第18項所述之半導體結構,其中上述之第二擴散阻障層存在於位於該導電填充介層洞上方之該至少一導電填充線路中,但不存在於該至少一導電填充介層洞。
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US11/364,953 US7528066B2 (en) | 2006-03-01 | 2006-03-01 | Structure and method for metal integration |
Publications (2)
Publication Number | Publication Date |
---|---|
TW200741966A TW200741966A (en) | 2007-11-01 |
TWI402936B true TWI402936B (zh) | 2013-07-21 |
Family
ID=38470778
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW096106804A TWI402936B (zh) | 2006-03-01 | 2007-02-27 | 用於金屬集成之新穎結構及其製造方法 |
Country Status (6)
Country | Link |
---|---|
US (2) | US7528066B2 (zh) |
EP (1) | EP1992012B1 (zh) |
JP (1) | JP5430946B2 (zh) |
CN (1) | CN101390204B (zh) |
TW (1) | TWI402936B (zh) |
WO (1) | WO2008036115A1 (zh) |
Cited By (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9530737B1 (en) | 2015-09-28 | 2016-12-27 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US9659856B2 (en) | 2014-10-24 | 2017-05-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Two step metallization formation |
TWI596703B (zh) * | 2015-07-17 | 2017-08-21 | 台灣積體電路製造股份有限公司 | 形成半導體裝置結構的方法 |
TWI764388B (zh) * | 2020-04-27 | 2022-05-11 | 台灣積體電路製造股份有限公司 | 積體電路晶片及其形成方法 |
Families Citing this family (68)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR100710201B1 (ko) * | 2005-07-08 | 2007-04-20 | 동부일렉트로닉스 주식회사 | 반도체 소자의 금속배선 형성방법 |
US7402883B2 (en) * | 2006-04-25 | 2008-07-22 | International Business Machines Corporation, Inc. | Back end of the line structures with liner and noble metal layer |
JP5162869B2 (ja) * | 2006-09-20 | 2013-03-13 | 富士通セミコンダクター株式会社 | 半導体装置およびその製造方法 |
US20080128907A1 (en) * | 2006-12-01 | 2008-06-05 | International Business Machines Corporation | Semiconductor structure with liner |
US8178436B2 (en) * | 2006-12-21 | 2012-05-15 | Intel Corporation | Adhesion and electromigration performance at an interface between a dielectric and metal |
JP5154789B2 (ja) * | 2006-12-21 | 2013-02-27 | ルネサスエレクトロニクス株式会社 | 半導体装置並びに半導体装置の製造方法 |
US7559017B2 (en) * | 2006-12-22 | 2009-07-07 | Google Inc. | Annotation framework for video |
US7867895B2 (en) * | 2007-09-20 | 2011-01-11 | International Business Machines Corporation | Method of fabricating improved interconnect structure with a via gouging feature absent profile damage to the interconnect dielectric |
KR100924865B1 (ko) * | 2007-12-27 | 2009-11-02 | 주식회사 동부하이텍 | 반도체 소자의 금속배선 형성방법 |
US20090179328A1 (en) | 2008-01-14 | 2009-07-16 | International Business Machines Corporation | Barrier sequence for use in copper interconnect metallization |
US7892968B2 (en) * | 2008-01-21 | 2011-02-22 | International Business Machines Corporation | Via gouging methods and related semiconductor structure |
US7846834B2 (en) * | 2008-02-04 | 2010-12-07 | International Business Machines Corporation | Interconnect structure and method for Cu/ultra low k integration |
US8354751B2 (en) * | 2008-06-16 | 2013-01-15 | International Business Machines Corporation | Interconnect structure for electromigration enhancement |
US8143138B2 (en) * | 2008-09-29 | 2012-03-27 | Applied Materials, Inc. | Method for fabricating interconnect structures for semiconductor devices |
DE102008049775B4 (de) * | 2008-09-30 | 2018-08-09 | Globalfoundries Inc. | Herstellungsverfahren einer Metalldeckschicht mit besserer Ätzwiderstandsfähigkeit für kupferbasierte Metallgebiete in Halbleiterbauelementen |
US7745324B1 (en) | 2009-01-09 | 2010-06-29 | International Business Machines Corporation | Interconnect with recessed dielectric adjacent a noble metal cap |
US8021974B2 (en) * | 2009-01-09 | 2011-09-20 | Internatioanl Business Machines Corporation | Structure and method for back end of the line integration |
JP2010238738A (ja) * | 2009-03-30 | 2010-10-21 | Toshiba Corp | 半導体装置および半導体装置の製造方法 |
US7955971B2 (en) * | 2009-06-11 | 2011-06-07 | International Business Machines Corporation | Hybrid metallic wire and methods of fabricating same |
KR20100135521A (ko) * | 2009-06-17 | 2010-12-27 | 주식회사 하이닉스반도체 | 반도체 소자 및 그 제조 방법 |
US7964966B2 (en) * | 2009-06-30 | 2011-06-21 | International Business Machines Corporation | Via gouged interconnect structure and method of fabricating same |
US8653664B2 (en) | 2009-07-08 | 2014-02-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Barrier layers for copper interconnect |
US8653663B2 (en) | 2009-10-29 | 2014-02-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Barrier layer for copper interconnect |
US8232196B2 (en) * | 2009-10-29 | 2012-07-31 | International Business Machines Corporation | Interconnect structure having a via with a via gouging feature and dielectric liner sidewalls for BEOL integration |
US8361900B2 (en) | 2010-04-16 | 2013-01-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | Barrier layer for copper interconnect |
US8404582B2 (en) * | 2010-05-04 | 2013-03-26 | International Business Machines Corporation | Structure and method for manufacturing interconnect structures having self-aligned dielectric caps |
DE102010040069A1 (de) * | 2010-08-31 | 2012-03-01 | GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG | Verfahren und System zum Extrahieren von Proben nach der Strukturierung von Mikrostrukturbauelementen |
CN102479747B (zh) * | 2010-11-29 | 2015-01-28 | 中芯国际集成电路制造(上海)有限公司 | 双大马士革结构的形成方法 |
TWI447851B (zh) * | 2011-01-19 | 2014-08-01 | Macronix Int Co Ltd | 多層連線結構及製造方法 |
US8633707B2 (en) | 2011-03-29 | 2014-01-21 | International Business Machines Corporation | Stacked via structure for metal fuse applications |
US9059169B2 (en) | 2011-06-21 | 2015-06-16 | International Business Machines Corporation | E-fuse structures and methods of manufacture |
CN102437144A (zh) * | 2011-12-06 | 2012-05-02 | 西安交通大学 | 一种Ru-RuO/Ru-Ge-Cu自形成双层非晶扩散阻挡层及其制备方法 |
US8551877B2 (en) * | 2012-03-07 | 2013-10-08 | Tokyo Electron Limited | Sidewall and chamfer protection during hard mask removal for interconnect patterning |
US9214424B2 (en) | 2012-04-20 | 2015-12-15 | Infineon Technologies Austria Ag | Method for producing a conductor line |
US8835305B2 (en) * | 2012-07-31 | 2014-09-16 | International Business Machines Corporation | Method of fabricating a profile control in interconnect structures |
US8871639B2 (en) * | 2013-01-04 | 2014-10-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor devices and methods of manufacture thereof |
US10032712B2 (en) | 2013-03-15 | 2018-07-24 | Taiwan Semiconductor Manufacturing Company Limited | Semiconductor structure |
CN104124199B (zh) * | 2013-04-27 | 2018-02-06 | 中芯国际集成电路制造(上海)有限公司 | 一种半导体器件的制造方法 |
CN104282656B (zh) * | 2013-07-01 | 2017-03-08 | 中芯国际集成电路制造(上海)有限公司 | 一种半导体器件及其制造方法 |
US9349608B2 (en) * | 2013-12-13 | 2016-05-24 | Globalfoundries Inc. | Methods of protecting a dielectric mask layer and related semiconductor devices |
US20150255388A1 (en) * | 2014-03-09 | 2015-09-10 | International Business Machines Corporation | Enhancement of iso-via reliability |
US9384980B2 (en) * | 2014-07-01 | 2016-07-05 | Kabushiki Kaisha Toshiba | Manufacturing method of semiconductor device |
US9564359B2 (en) * | 2014-07-17 | 2017-02-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Conductive structure and method of forming the same |
US9349691B2 (en) | 2014-07-24 | 2016-05-24 | International Business Machines Corporation | Semiconductor device with reduced via resistance |
US9553044B2 (en) | 2014-11-05 | 2017-01-24 | International Business Machines Corporation | Electrically conductive interconnect including via having increased contact surface area |
EP3034655A1 (en) | 2014-12-19 | 2016-06-22 | ATOTECH Deutschland GmbH | Trench pattern wet chemical copper metal filling using a hard mask structure |
US10170358B2 (en) | 2015-06-04 | 2019-01-01 | International Business Machines Corporation | Reducing contact resistance in vias for copper interconnects |
US9786550B2 (en) | 2015-06-25 | 2017-10-10 | International Business Machines Corporation | Low resistance metal contacts to interconnects |
US9837309B2 (en) | 2015-11-19 | 2017-12-05 | International Business Machines Corporation | Semiconductor via structure with lower electrical resistance |
US10211148B2 (en) | 2015-12-14 | 2019-02-19 | International Business Machines Corporation | Structural enhancement of Cu nanowires |
US9449921B1 (en) | 2015-12-15 | 2016-09-20 | International Business Machines Corporation | Voidless contact metal structures |
US10586732B2 (en) | 2016-06-30 | 2020-03-10 | International Business Machines Corporation | Via cleaning to reduce resistance |
US9935051B2 (en) | 2016-08-18 | 2018-04-03 | International Business Machines Corporation | Multi-level metallization interconnect structure |
US9786603B1 (en) * | 2016-09-22 | 2017-10-10 | International Business Machines Corporation | Surface nitridation in metal interconnects |
JP2018107227A (ja) * | 2016-12-26 | 2018-07-05 | ソニーセミコンダクタソリューションズ株式会社 | 半導体装置、半導体装置の製造方法、及び、固体撮像素子 |
CN107180748A (zh) * | 2017-07-07 | 2017-09-19 | 成都海威华芯科技有限公司 | 一种SiC晶圆的深孔清洗方法 |
US11348828B2 (en) * | 2017-11-23 | 2022-05-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Interconnect structure and method of forming the same |
US10504834B2 (en) * | 2018-03-01 | 2019-12-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contact structure and the method of forming the same |
JP2019153694A (ja) * | 2018-03-02 | 2019-09-12 | 東芝メモリ株式会社 | 半導体装置およびその製造方法 |
US10727123B2 (en) | 2018-06-18 | 2020-07-28 | International Business Machines Corporation | Interconnect structure with fully self-aligned via pattern formation |
US11322402B2 (en) * | 2019-08-14 | 2022-05-03 | International Business Machines Corporation | Self-aligned top via scheme |
US11557482B2 (en) | 2019-10-04 | 2023-01-17 | International Business Machines Corporation | Electrode with alloy interface |
US11088076B2 (en) * | 2019-12-27 | 2021-08-10 | Sandisk Technologies Llc | Bonding pads embedded in a dielectric diffusion barrier and having recessed metallic liners |
US11177163B2 (en) * | 2020-03-17 | 2021-11-16 | International Business Machines Corporation | Top via structure with enlarged contact area with upper metallization level |
US11450602B2 (en) * | 2020-04-01 | 2022-09-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Hybrid method for forming semiconductor interconnect structure |
US11694926B2 (en) * | 2020-04-27 | 2023-07-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Barrier free interface between beol interconnects |
US11923244B2 (en) * | 2021-03-05 | 2024-03-05 | Applied Materials, Inc. | Subtractive metals and subtractive metal semiconductor structures |
US11742290B2 (en) * | 2021-03-10 | 2023-08-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Interconnect structure and method of forming thereof |
Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20040115921A1 (en) * | 2002-12-11 | 2004-06-17 | International Business Machines Corporation | Method for depositing a metal layer on a semiconductor interconnect structure having a capping layer |
Family Cites Families (38)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4101686A (en) * | 1974-07-24 | 1978-07-18 | Xerox Corporation | Method of fusing toner images using functionalized polymeric release agents |
US4029827A (en) * | 1974-07-24 | 1977-06-14 | Xerox Corporation | Mercapto functional polyorganosiloxane release agents for fusers in electrostatic copiers |
IT1088410B (it) * | 1977-03-09 | 1985-06-10 | Steinmueller Gmbh L & C | Allacciamento per saldatura |
US4184909A (en) | 1978-08-21 | 1980-01-22 | International Business Machines Corporation | Method of forming thin film interconnection systems |
US5157445A (en) * | 1990-04-12 | 1992-10-20 | Fuji Xerox Co., Ltd. | Fixing device |
US5470790A (en) | 1994-10-17 | 1995-11-28 | Intel Corporation | Via hole profile and method of fabrication |
US5933753A (en) | 1996-12-16 | 1999-08-03 | International Business Machines Corporation | Open-bottomed via liner structure and method for fabricating same |
US5930669A (en) | 1997-04-03 | 1999-07-27 | International Business Machines Corporation | Continuous highly conductive metal wiring structures and method for fabricating the same |
US5985762A (en) | 1997-05-19 | 1999-11-16 | International Business Machines Corporation | Method of forming a self-aligned copper diffusion barrier in vias |
US6478773B1 (en) * | 1998-12-21 | 2002-11-12 | Micrus Corporation | Apparatus for deployment of micro-coil using a catheter |
US6576546B2 (en) | 1999-12-22 | 2003-06-10 | Texas Instruments Incorporated | Method of enhancing adhesion of a conductive barrier layer to an underlying conductive plug and contact for ferroelectric applications |
US6436814B1 (en) * | 2000-11-21 | 2002-08-20 | International Business Machines Corporation | Interconnection structure and method for fabricating same |
US6479391B2 (en) * | 2000-12-22 | 2002-11-12 | Intel Corporation | Method for making a dual damascene interconnect using a multilayer hard mask |
TW587306B (en) | 2001-03-02 | 2004-05-11 | Macronix Int Co Ltd | Manufacturing method of low-resistance dual damascene via |
US6607977B1 (en) * | 2001-03-13 | 2003-08-19 | Novellus Systems, Inc. | Method of depositing a diffusion barrier for copper interconnect applications |
US20030087514A1 (en) * | 2001-11-02 | 2003-05-08 | Tang Sanh Dang | Hard mask damascene process used to form a semiconductor device |
US20030134499A1 (en) * | 2002-01-15 | 2003-07-17 | International Business Machines Corporation | Bilayer HDP CVD / PE CVD cap in advanced BEOL interconnect structures and method thereof |
US7910165B2 (en) * | 2002-06-04 | 2011-03-22 | Applied Materials, Inc. | Ruthenium layer formation for copper film deposition |
JP2004023030A (ja) * | 2002-06-20 | 2004-01-22 | Matsushita Electric Ind Co Ltd | 半導体装置の製造方法 |
JP2004172337A (ja) * | 2002-11-20 | 2004-06-17 | Sony Corp | 半導体装置およびその製造方法 |
US6949461B2 (en) * | 2002-12-11 | 2005-09-27 | International Business Machines Corporation | Method for depositing a metal layer on a semiconductor interconnect structure |
US6784105B1 (en) | 2003-04-09 | 2004-08-31 | Infineon Technologies North America Corp. | Simultaneous native oxide removal and metal neutral deposition method |
US6949442B2 (en) | 2003-05-05 | 2005-09-27 | Infineon Technologies Ag | Methods of forming MIM capacitors |
US7030031B2 (en) | 2003-06-24 | 2006-04-18 | International Business Machines Corporation | Method for forming damascene structure utilizing planarizing material coupled with diffusion barrier material |
US7122462B2 (en) * | 2003-11-21 | 2006-10-17 | International Business Machines Corporation | Back end interconnect with a shaped interface |
JP2005340601A (ja) * | 2004-05-28 | 2005-12-08 | Renesas Technology Corp | 半導体装置の製造方法及び半導体装置 |
JP4832807B2 (ja) * | 2004-06-10 | 2011-12-07 | ルネサスエレクトロニクス株式会社 | 半導体装置 |
US7119018B2 (en) * | 2004-07-09 | 2006-10-10 | International Buisness Machines Corporation | Copper conductor |
JP2006032864A (ja) | 2004-07-21 | 2006-02-02 | Sony Corp | 多層配線構造と多層配線構造を有する半導体装置とこれらの製造方法 |
US7217663B2 (en) * | 2005-01-18 | 2007-05-15 | Taiwan Semiconductor Manufacturing Company | Via hole and trench structures and fabrication methods thereof and dual damascene structures and fabrication methods thereof |
US7727888B2 (en) * | 2005-08-31 | 2010-06-01 | International Business Machines Corporation | Interconnect structure and method for forming the same |
US20070202689A1 (en) * | 2006-02-27 | 2007-08-30 | Samsung Electronics Co., Ltd. | Methods of forming copper vias with argon sputtering etching in dual damascene processes |
US20080057433A1 (en) * | 2006-08-30 | 2008-03-06 | Xerox Corporation | Adhesive primer |
US7807015B2 (en) * | 2006-09-18 | 2010-10-05 | Xerox Corporation | Adhesion promoter |
US7579394B2 (en) * | 2007-01-16 | 2009-08-25 | Xerox Corporation | Adhesion promoter |
US7754812B2 (en) * | 2007-01-16 | 2010-07-13 | Xerox Corporation | Adhesion promoter |
US8182875B2 (en) * | 2007-04-05 | 2012-05-22 | Xerox Corporation | System and method for protecting a print |
US8357763B2 (en) * | 2007-05-02 | 2013-01-22 | Xerox Corporation | Adhesion promoter |
-
2006
- 2006-03-01 US US11/364,953 patent/US7528066B2/en active Active
-
2007
- 2007-02-27 TW TW096106804A patent/TWI402936B/zh not_active IP Right Cessation
- 2007-03-01 JP JP2008557413A patent/JP5430946B2/ja active Active
- 2007-03-01 WO PCT/US2007/005414 patent/WO2008036115A1/en active Application Filing
- 2007-03-01 EP EP07861255.3A patent/EP1992012B1/en active Active
- 2007-03-01 CN CN2007800066774A patent/CN101390204B/zh active Active
-
2009
- 2009-04-27 US US12/430,436 patent/US8664766B2/en not_active Expired - Fee Related
Patent Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20040115921A1 (en) * | 2002-12-11 | 2004-06-17 | International Business Machines Corporation | Method for depositing a metal layer on a semiconductor interconnect structure having a capping layer |
Cited By (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9659856B2 (en) | 2014-10-24 | 2017-05-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Two step metallization formation |
TWI587450B (zh) * | 2014-10-24 | 2017-06-11 | 台灣積體電路製造股份有限公司 | 積體電路結構與其形成方法 |
US9941199B2 (en) | 2014-10-24 | 2018-04-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Two step metallization formation |
TWI596703B (zh) * | 2015-07-17 | 2017-08-21 | 台灣積體電路製造股份有限公司 | 形成半導體裝置結構的方法 |
US9761488B2 (en) | 2015-07-17 | 2017-09-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for cleaning via of interconnect structure of semiconductor device structure |
US9530737B1 (en) | 2015-09-28 | 2016-12-27 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US9721894B2 (en) | 2015-09-28 | 2017-08-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
TWI616977B (zh) * | 2015-09-28 | 2018-03-01 | 台灣積體電路製造股份有限公司 | 半導體裝置及其製造方法 |
US11127680B2 (en) | 2015-09-28 | 2021-09-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
TWI764388B (zh) * | 2020-04-27 | 2022-05-11 | 台灣積體電路製造股份有限公司 | 積體電路晶片及其形成方法 |
Also Published As
Publication number | Publication date |
---|---|
EP1992012A4 (en) | 2011-08-17 |
US7528066B2 (en) | 2009-05-05 |
US20070205482A1 (en) | 2007-09-06 |
US8664766B2 (en) | 2014-03-04 |
CN101390204A (zh) | 2009-03-18 |
WO2008036115A1 (en) | 2008-03-27 |
CN101390204B (zh) | 2011-03-30 |
US20090206485A1 (en) | 2009-08-20 |
TW200741966A (en) | 2007-11-01 |
EP1992012A1 (en) | 2008-11-19 |
EP1992012B1 (en) | 2013-11-20 |
JP2009528702A (ja) | 2009-08-06 |
JP5430946B2 (ja) | 2014-03-05 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI402936B (zh) | 用於金屬集成之新穎結構及其製造方法 | |
US7964966B2 (en) | Via gouged interconnect structure and method of fabricating same | |
US7867895B2 (en) | Method of fabricating improved interconnect structure with a via gouging feature absent profile damage to the interconnect dielectric | |
US7846834B2 (en) | Interconnect structure and method for Cu/ultra low k integration | |
US8232196B2 (en) | Interconnect structure having a via with a via gouging feature and dielectric liner sidewalls for BEOL integration | |
JP5255292B2 (ja) | 2層金属キャップを有する相互接続構造体及びその製造方法 | |
JP4832807B2 (ja) | 半導体装置 | |
KR100475931B1 (ko) | 반도체 소자의 다층 배선 형성방법 | |
TWI389252B (zh) | 互連結構與其製造方法 | |
US7741226B2 (en) | Optimal tungsten through wafer via and process of fabricating same | |
US7671470B2 (en) | Enhanced mechanical strength via contacts | |
US20100270675A1 (en) | Semiconductor device having damascene interconnection structure that prevents void formation between interconnections having transparent dielectric substrate | |
US20060019485A1 (en) | Multi-layer wiring structure, semiconductor apparatus having multi-layer wiring structure, and methods of manufacturing them | |
US20080128907A1 (en) | Semiconductor structure with liner | |
US6632738B2 (en) | Method of manufacturing semiconductor device | |
JP2009302501A (ja) | 相互接続構造体およびその形成方法(エレクトロマイグレーション耐性強化のための相互接続構造体) | |
JP2002134612A (ja) | 半導体装置及びその製造方法 | |
US7186644B2 (en) | Methods for preventing copper oxidation in a dual damascene process | |
TWI381444B (zh) | 形成開口之方法 | |
JP2008205505A (ja) | 半導体装置の製造方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
MM4A | Annulment or lapse of patent due to non-payment of fees |