JP2009302501A - 相互接続構造体およびその形成方法(エレクトロマイグレーション耐性強化のための相互接続構造体) - Google Patents

相互接続構造体およびその形成方法(エレクトロマイグレーション耐性強化のための相互接続構造体) Download PDF

Info

Publication number
JP2009302501A
JP2009302501A JP2008260280A JP2008260280A JP2009302501A JP 2009302501 A JP2009302501 A JP 2009302501A JP 2008260280 A JP2008260280 A JP 2008260280A JP 2008260280 A JP2008260280 A JP 2008260280A JP 2009302501 A JP2009302501 A JP 2009302501A
Authority
JP
Japan
Prior art keywords
dielectric
capping layer
metal
layer
conductive
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2008260280A
Other languages
English (en)
Inventor
David Vaclav Horak
デービッド・バツラフ・ホラーク
Ponoth Shom
ショム・ポノス
Chih-Chao Yang
チーチャオ・ヤン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2009302501A publication Critical patent/JP2009302501A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】 ビア開口の下部分を多層ライナで内側を覆うことにより強化したエレクトロマイグレーション耐性を有する相互接続構造体を提供する。
【解決手段】 多層ライナは、誘電体材料のパターン付けされた表面から外側に、拡散障壁、マルチ材料層、及び金属含有ハード・マスクを含む。マルチ材料層は、下層の誘電体キャッピング層からの残留物からなる第1材料層と、下層の金属キャッピング層からの残留物からなる第2材料層とを含む。本発明はまた、誘電体材料内に形成されたビア開口の下部分内に多層ライナを含む相互接続構造体を形成する方法を提供する。
【選択図】 図13

Description

本発明は、半導体構造体及びその形成方法に関する。より具体的には、本発明は、ビア開口の下部領域を多層ライナで内側を覆う(ライニングする)ことによって強化したエレクトロマイグレーション(EM)耐性を有する相互接続構造体に関する。本発明はまた、そのような相互接続構造体を形成する方法を提供する。
一般に、半導体デバイスは、半導体基板上に形成された集積回路(IC)を構成する複数の回路を含む。信号パスの複雑なネットワークは、通常、基板の表面上に分布した回路要素を接続するようにルーティングされることになる。デバイス全域にわたるこれらの信号の効果的なルーティングには、例えば、シングル又はデュアル・ダマシン配線構造体のようなマルチレベル又は多層機構の形成が必要となる。配線構造体は典型的には銅Cuを含むが、その理由は複雑な半導体チップ上の多数のトランジスタ間に、アルミニウムAlベースの相互接続部に比べてCuベースの相互接続部がより高速の信号伝送をもたらすためである。
典型的な相互接続構造体内において、金属ビアは半導体基板に対して垂直に走り、金属ラインは半導体基板に対して平行に走る。信号速度のさらなる増加と、隣接する金属ラインにおける信号の減少(「クロストーク」として知られる)は、今日のIC製品チップにおいては、4.0未満の誘電率を有する誘電体材料内に金属ライン及び金属ビア(例えば導電性構造部)を埋設することによって達成される。
半導体相互接続構造体において、エレクトロマイグレーション(EM)は、1つの金属損傷機構として特定されている。EMは、1960年代以降の超大規模集積(VLSI)回路及び製造に関する最悪の信頼性に関る懸念の1つである。この問題は、プロセスを適格にするためにプロセス開発期間中に克服する必要があるだけでなく、チップの寿命にわたって存続する。高密度電流の流れに起因する金属イオンの移動によって、相互接続構造体の金属導体内にボイドが形成される。
金属相互接続部内の高速拡散経路は、全体的な集積機構及びチップ製造に用いる材料に依存して変化するが、金属/ポスト平坦化誘電体キャップ界面に沿って輸送されたCu原子のような金属原子が、EM寿命の予測において重要な役割を果たすことが観察されている。EMの初期ボイドが最初に金属/誘電体キャップ界面において核生成し、次に相互接続部の底部に向って成長し、これが最終的に回路故障開口を生じる。
図1の(A)−(D)は、種々のEM損傷段階における従来技術の相互接続構造体の図的記述である。これらの図面において、参照番号12は誘電体キャップを示し、参照番号10は金属相互接続構造部を示し、従来技術の相互接続構造体の全ての他の構成要素は、EM問題を不明瞭にするのを避けるためにラベル付けしていない。図1の(A)は、初期のひずみ段階のものである。図1の(B)は、金属相互接続構造部10/誘電体キャップ12界面においてボイド14の核形成が始まったときのものである。図1の(C)は、ボイド14が導電性構造部10の底部に向って成長したときのものであり、図1の(D)は、ボイド14の成長が金属相互接続構造部10を横切って回路故障開口を生ずるときのものである。
Cu/誘電体界面をCu/金属界面に置き換えることによって、エレクトロマイグレーション耐性を100倍を超えて強化することができることが実証されている。従来技術の金属キャップは、典型的には、例えば、CoWPなどのCo含有合金を含み、それは相互接続構造体のCu導体領域上に選択的に堆積させられる。こうした選択的に堆積させた金属キャップを用いることに伴う1つの問題は、相互接続誘電体材料の表面をクリーニングするのに一般に用いられる希釈フッ化水素酸中でのクリーニング中に、金属キャップの腐食が起こる可能性があることである。これは特に、CoWPが金属キャップ材料として用いられるときに観察される。特に、希釈フッ化水素酸は、金属キャップの一部分をエッチング除去して相互接続構造体内にボイド形成を引き起こす。ボイドは、上層の導電性充填ビアが下層の金属キャップ付き下部相互接続レベルの導電体領域と接触する相互接続構造体の領域内に存在する。希釈フッ化水素酸を用いて金属キャップをエッチング除去することによって生じたボイドの存在は、相互接続構造体のEM耐性を減少させるので望ましくない。
上記のことを考慮すると、EM損傷に起因する回路故障開口を防止し、同時に金属キャッピング層のエッチング除去に起因するボイドの生成を防止する相互接続構造体を提供する必要がある。
本発明は、ビア開口の下部分が多層ライナを含む強化されたエレクトロマイグレーション耐性を有する相互接続構造体を提供する。多層ライナは、誘電体材料のパターン付けされた表面から外側に、拡散障壁、マルチ材料層及び金属含有ハード・マスクを含む。マルチ材料層は、下層の誘電体キャップ層からの残留物からなる第1材料層、及び下層の金属キャップ層からの残留物からなる第2材料層を含む。本発明の相互接続構造体はまた、金属キャップ層のエッチング除去に起因するボイドの形成を防止する。それゆえに、本発明の相互接続構造体は、従来技術の相互接続構造体に比べて、より優れた信頼性及び技術的拡張性を有する。
本発明はまた、誘電体材料内に形成されたビア開口の下部分の内部に多層ライナを含む相互接続構造体の形成方法を提供する。
一般的に言えば、相互接続構造体は、
内部に埋設された少なくとも1つの導電性構造部を有する第1誘電体材料を含む下部相互接続レベルであって、前記の少なくとも1つの導電性構造部は内部に配置されたビア・ガウジング(くりぬき)構造部を有する、下部相互接続レベルと、
少なくとも1つの導電性構造部の全てではなく一部分の上に配置された、パターン付けされた金属キャッピング層と、
パターン付けされた金属キャッピング層及び第1誘電体材料の部分の上に配置されたパターン付けされた誘電体キャッピング層と、
下層の導電性充填ビアの上に配置され、かつそれに接続された少なくとも1つの導電性充填ラインを有する第2誘電体材料を含む上部相互接続レベルと
を含み、
ここで、パターン付けされた誘電体キャッピング層に近接して配置された導電性充填ビアの下部分は、第2誘電体材料のパターン付けされた表面から外側に、拡散障壁、マルチ材料層、及び金属含有ハード・マスクを含む多層ライナを含み、マルチ材料層は、パターン付けされた誘電体キャッピング層からの残留物からなる第1材料層と、パターン付けされた金属キャッピング層からの残留物からなる第2材料層とを含む。
上記の相互接続構造体に加えて、本発明はまた、その形成方法を提供する。本発明の方法は、既存の半導体相互接続部の処理ステップに適合する処理ステップを含む。
一般的に言えば、本発明の方法は、
内部に埋設された少なくとも1つの導電性構造部を有する第1誘電体材料と、少なくとも1つの導電性構造部の表面上に配置された金属キャッピング層と、金属キャッピング層及び第1誘電体材料の部分の表面上に配置された誘電体キャッピング層と、誘電体キャッピング層の表面上に配置された第2誘電体材料と、誘電体キャッピング層の表面上に配置されたハード・マスクとを含む構造体を準備するステップと、
ハード・マスク及び第2誘電体材料を貫通して、誘電体キャッピング層の表面上で停止する少なくとも1つのビア開口を形成するステップと、
少なくとも1つのビア開口内かつ第2誘電体材料の側壁上に、及び誘電体キャッピング層の露出された面上に配置される拡散障壁を形成するステップと、
拡散障壁、誘電体キャッピング層、及び金属キャッピング層の部分を除去することによって、第1誘電体材料内に埋設された少なくとも1つの導電性構造部内にビア・ガウジング構造部を形成するステップであって、該ステップ中に前記の少なくとも1つのビア開口の底部にマルチ材料層が形成され、マルチ材料層は誘電体キャッピング層からの残留物からなる第1材料層と、金属キャッピング層からの残留物からなる第2材料層とを含む、ステップと、
少なくとも1つのビア開口内に金属含有ハード・マスクを形成するステップと、
少なくとも1つのビア開口の上に配置されて接触する少なくとも1つのライン開口を形成するステップと、
少なくとも1つのライン開口及び少なくとも1つのビア開口の内部に別の拡散障壁を形成するステップと、
ビア・ガウジング構造部、少なくとも1つのビア開口及び少なくとも1つのライン開口の内部に、第2誘電体材料の上面と同一平面となる上面を有する相互接続導電性材料を形成するステップと
を含む。
本発明は強化されたエレクトロマイグレーション(EM)信頼性を有する相互接続構造体及びその形成方法を提供するものであり、これから以下の考察及び本出願に添付した図面を参照しながら詳細に説明する。本出願の図面は例証のためだけに与えられるものであり、それゆえ、一定の尺度で描かれてはいないことに留意されたい。
以下の説明において、本発明の十分な理解を与えるために、特定の構造体、構成要素、材料、寸法、処理ステップ及び技法などの多くの特定の詳細を説明する。しかしながら、当業者であれば、本発明はこれらの特定の詳細なしに実施できることを認識するであろう。他の場合には、周知の構造体又は処理ステップは、本発明を不明瞭にするのを避けるために詳細には説明しない。
層、領域又は基板として要素が別の要素「の上に」又は「の上方に」あるというときには、それは他の要素の直接上にあることができ、又は介在する要素が存在する可能性もある。対照的に、要素が別の要素「の直接上に」又は「の直接上方に」あるというときには、介在する要素は存在しない。また、要素が別の要素に「接続する」又は「結合する」というときには、それは他の要素に直接接続又は結合することができ、又は介在する要素が存在してもよいことを理解されたい。対照的に、要素が別の要素に「直接接続する」又は「直接結合する」というときには、介在する要素は存在しない。
前述のように、本発明は、ビア開口の下部分が多層ライナを含む強化されたエレクトロマイグレーション耐性を有する相互接続構造体を提供する。本発明の構造体のエレクトロマイグレーション耐性を改善する多層ライナは、パターン付けされた誘電体材料の垂直面から外側に、拡散障壁、マルチ材料層、及び金属含有ハード・マスクを含む。マルチ材料層は、下層の誘電体キャッピング層からの残留物からなる第1材料層と、下層の金属キャッピング層からの残留物からなる第2材料層とを含む。本発明はまた、誘電体材料内に形成されたビア開口の下部内の多層ライナを含む相互接続構造体を形成する方法を提供する。
ここで、本発明の一実施形態において用いる基本処理ステップを示す(断面図による)図的記述である図2乃至図7を参照する。特に、本発明のこの実施形態は、図2に示した初期構造体50を準備するステップで開始する。図示したように、初期構造体50は、第1誘電体材料54内に埋設され第1拡散障壁56によって第1誘電体材料54から分離された少なくとも1つの導電性構造部58を有する第1誘電体材料54を含む相互接続構造体の第1相互接続レベル52を含む。図2に示した初期構造体50はまた、少なくとも1つの導電性構造部58の露出上面に配置された金属キャッピング層60を含み、第1金属キャッピング層60の部分は、第1拡散障壁56の上に延びてもよいが、第1誘電体材料54の表面上までは延びない。
図2に示した初期構造体50は、典型的には基板(図示せず)の表面上に配置する。基板は、図示しないが、半導体材料、絶縁性材料、導電性材料又はそれらの任意の組合せを含むことができる。基板が半導体材料からなるときは、Si、SiGe、SiGeC、SiC、Ge合金、GaAs、InAs、InP及び他のIII/V族又はII/VI族化合物半導体のような任意の半導体を用いることができる。これらの列挙した型の半導体材料に加えて、本発明はまた、半導体基板が例えばSi/SiGe、Si/SiC、シリコン・オン・インシュレータ(SOI)又はシリコンゲルマニウム・オン・インシュレータ(SGOI)のような層状半導体である場合も考慮している。
基板が絶縁性材料であるときは、絶縁性材料は、有機絶縁体、無機絶縁体又は多重層を含むそれらの組合せとすることができる。基板が導電性材料であるときは、基板は、例えば、ポリSi、元素金属、元素金属の合金、金属シリサイド、金属窒化物又は多重層を含むそれらの組合せとすることができる。基板が半導体材料を含むときは、例えば、相補型金属酸化物半導体(CMOS)デバイスのような1つ又は複数の半導体デバイスをその上に形成することができる。
第1誘電体材料54は、無機誘電体又は有機誘電体を含む任意の層間又は層内誘電体を構成する。第1誘電体材料54は多孔質又は非多孔質とすることができる。第1誘電体材料54として用いることができる適切な誘電体の幾つかの例には、それらに限定されないが、SiO、シルセスキオキサン、Si、C、O及びH原子を含むCドープ酸化物(即ち有機シリケート)、熱硬化性ポリアリーレンエーテル、又はそれらの多重層が含まれる。本出願において、「ポリアリーレン」という用語は、アリール部分、又は、結合、縮合環、若しくは例えば酸素、硫黄、スルホン、スルホキシド、カルボニル等のような不活性連結基によって互いに連結された不活性置換アリール部分を指すのに用いる。
第1誘電体材料54は、典型的には約4.0又はそれ以下の誘電率を有し、約2.8又はそれ以下の誘電率がよりいっそう典型的である。これらの誘電体は一般に、4.0よりも高い誘電率を有する誘電体材料に比べてより低い寄生クロストークを有する。第1誘電体材料54の厚さは、用いる誘電体材料、及び層内の誘電体の正確な数に応じて変化させることができる。典型的には、及び通常の相互接続構造体に関しては、第1誘電体材料54は約200nmから約450nmまでの厚さを有する。
第1誘電体材料54は、例えば化学気相堆積(CVD)、プラズマ強化化学気相堆積(PECVD)、蒸着、化学溶液堆積及びスピン・オン・コーティングを含む任意の通常の堆積プロセスを用いて基板(図示せず)上に形成する。
基板(図示せず)上の第1誘電体材料54の形成に続いて、第1誘電体材料54をリソグラフィ(フォトレジストを塗布し、塗布されたフォトレジストを所望の放射パターンに露光し、現像する)及びエッチング(乾式エッチング、湿式エッチング又はそれらの組合せ)によってパターン付けして、第1誘電体材料54の内部に少なくとも1つの開口を設け、後にその中に少なくとも1つの導電性構造部(即ち導電性材料58)を形成することになる。第1誘電体材料54のパターン付けは、第1誘電体材料54の上面に配置されるハード・マスク(図示せず)を用いて行うことができる。ハード・マスク(図示せず)は、典型的には、少なくとも1つの開口を導電性材料で充填し、構造体に平坦化を施した後で除去する。エッチング・プロセス中に、パターンを少なくともハード・マスクに転写した後で(通常の剥離プロセスにより)パターン付けされたフォトレジストを除去することができる。ハード・マスクは、酸化物、窒化物、酸窒化物、又はそれらの多重層状の組合せ、例えば酸化物/窒化物ハード・マスクを含むことができる。
次に、第1拡散障壁56を、少なくとも1つの開口内の第1誘電体材料54の露出壁部分の上に形成する。第1拡散障壁56は、Ta、TaN、TiN、Ru、RuN、RuTa、RuTaN、W、WN、及び導電性材料がそれを通して拡散するのを防止する障壁として働くことができる任意の他の材料、のうちの1つを含む。第1拡散障壁56の厚さは、それを形成するのに用いる堆積プロセス及び用いる材料に応じて変化させることができる。典型的には、第1拡散障壁56は、約4nmから約40nmまでの厚さを有し、約7nmから約20nmまでの厚さがより典型的である。
第1拡散障壁56は、例えば、CVD、PECVD、原子層堆積(ALD)、物理気相堆積(PVD)、スパッタリング、化学溶液堆積及びめっきを含む通常の堆積プロセスによって形成する。
開口を第1拡散障壁56でライニングした(内側を覆った)後、開口内に導電性材料を堆積し構造体を平坦化することによって、少なくとも1つの導電性構造部58を開口内に形成する。平坦化は、少なくとも導電性構造部58の上面が第1誘電体材料54の上面と実質的に同一平面となる構造体をもたらす。
導電性構造部58は、例えば、ポリSi、導電性金属、少なくとも1つの導電性金属を含む合金、導電性金属シリサイド又はそれらの組合せを含む。導電性構造部58は、Cu、W又はAlのような導電性金属であることが好ましく、本発明においてはCu又はCu合金(AlCuのような)が非常に好ましい。導電性構造部58を形成するのに用いる導電性材料は、それらに限定されないが、CVD、PECVD、スパッタリング、化学溶液堆積又はめっきを含む通常の堆積プロセスを用いて第1誘電体材料54内の少なくとも1つの開口の残りの部分に充填する。
堆積後、例えば、化学機械的研磨(CMP)及び/又は研削のような通常の平坦化プロセスを用いて、第1拡散障壁56及び導電性構造部58の各々が第1誘電体材料54の上面と実質的に同一平面となる上面を有する構造体をもたらすことができる。
次に、金属キャッピング層60を、第1誘電体材料54内の少なくとも導電性構造部58の上部露出面上に形成する。金属キャッピング層60は、Co、Ir若しくはRuを単独で、又は、W、B、P、Mo及びReのうちの少なくとも1つとのそれらの合金材料を含む。即ち、Co、Ir及びRuのうちの1つと、W、B、P、Mo及びReのうちの少なくとも1つとの合金である。金属キャッピング層60は、Co含有金属キャッピング層を含むことが好ましく、CoWPであることが非常に好ましい。金属キャッピング層60は、典型的には約2nmから約20nmまでの範囲内の厚さを有し、約5nmから約10nmまでの範囲の厚さがよりいっそう典型的である。
金属キャッピング層60は、典型的には、必ずしも常にではないが、例えば、触媒めっきプロセス及び無電解めっきプロセスを含む選択的堆積プロセスを用いて形成する。幾つかの実施形態においては、スパッタリング、原子層堆積(ALD)及びCVDのような非選択的堆積プロセスを用いることができる。
金属キャッピング層60は、第1誘電体材料54の上面と同一平面にはないことが認められる。代わりに、金属キャッピング層60は、第1誘電体材料54の上面より上に位置する上面を有する。それゆえ、導電性構造部58は凹型導電性構造部ではない。
図3は、誘電体キャッピング層64を形成した後に形成された結果としての構造体を示す。誘電体キャッピング層64は、第1誘電体材料54及び金属キャップ付き導電性構造部の上部露出面を覆う(即ち、誘電体キャッピング層はまた金属キャッピング層60の上に配置する)。
誘電体キャッピング層64は、例えばCVD、PECVD及びスピン・オン・コーティングのような通常の堆積プロセスを用いて形成する。誘電体キャッピング層64は、例えば、SiC、SiNH、SiO、炭素ドープ酸化物、窒素及び水素ドープ・シリコンカーバイドSiC(N,H)又はそれらの多重層のような任意の適切な誘電体キャッピング材料を含む。誘電体キャッピング層64の厚さは、それを形成するのに用いる技法及び層を構成する材料に応じて変化させることができる。典型的には、誘電体キャッピング層64は、約15nmから約55nmまでの厚さを有し、約25nmから約45nmまでの厚さがより典型的である。
次に、図4に示すように、本発明の構造体の第2相互接続レベル66の一部分を形成する第2誘電体材料68を、誘電体キャッピング層64の上部露出面上に形成する。第2誘電体材料68は、第1誘電体材料54と同じ又は異なる、好ましくは同じ誘電体材料を含むことができる。第1誘電体材料54の処理方法及び厚さの範囲はまた、ここでは第2誘電体材料68にも適用可能である。第2誘電体材料68はまた、2つの異なる材料、即ち、初めに1つの誘電体材料の堆積、続いて異なる誘電体材料の堆積、を含むことができる。本発明の一実施形態においては、第2誘電体材料68は2つの異なる低k(誘電率)誘電体材料を含み、従って、第2相互接続レベル66は、後に充填され多孔質誘電体材料内に埋設される導電性充填ライン、及び、後に充填され高密度(即ち非多孔質)誘電体材料内に埋設されるビアを備えたハイブリッド構造を有する。こうした実施形態において、多孔質低k誘電体は約2.8又はそれ以下の誘電率を有し、高密度多孔質低k誘電体は約4.0又はそれ以下の誘電率を有する。
誘電体キャッピング層64上の第2誘電体材料68を形成した後、図4に同じく示したようなハード・マスク70を、第2誘電体材料68の上面上に形成する。ハード・マスク70は、酸化物、窒化物、酸窒化物又はそれらの多重層スタックを含む。一実施形態において、ハード・マスク70は酸化シリコンからなる。別の実施形態においては、ハード・マスク70は窒化シリコンからなる。本発明のさらに別の実施形態においては、ハード・マスク70は、酸化シリコン層と、酸化シリコン層の上に配置した窒化シリコン層とを含むパッド・スタックからなる。ハード・マスク70は、例えば、酸化、窒化、及びそれらの組合せを含む熱成長プロセスを用いて形成することができる。或いは、ハード・マスク70は、例えば、化学気相堆積、プラズマ強化化学気相堆積、化学溶液堆積、原子層堆積及び蒸着を含む堆積プロセスによって形成することができる。
堆積時のハード・マスク70の厚さは、形成されるハード・マスク材料の型、ハード・マスクを構成する層の数、及びそれを形成するのに用いる堆積技法に応じて変化させることができる。典型的には、堆積時のハード・マスク70は、約10nmから約80nmまでの厚さを有し、約20nmから約60nmまでの厚さがよりいっそう典型的である。
図4に示した構造体はまた、ハード・マスク70の上面から第2誘電体材料68を貫通して延びて誘電体キャッピング層64の上面で停止するビア開口72の存在を示す。ビア開口72は、リソグラフィ及びエッチングを用いて形成する。ビア開口72を形成するのに用いるリソグラフィ・ステップは、ハード・マスク70の表面上にフォトレジスト(図示せず)を塗布するステップと、フォトレジストを所望の放射パターン、即ちビア・パターンに露光するステップと、フォトレジストを現像するステップとを含む。現像されたフォトレジスト内のビア・パターンは、1つ又は複数のエッチング・プロセスを用いて、最初にハード・マスク70に、次に第2誘電体材料68に転写される。パターン付けされたフォトレジストは、そのパターンがハード・マスク70に転写されてパターン付けされたハード・マスクを形成した直後に、通常の剥離プロセスを用いて剥離することができる。或いは、パターン付けされたフォトレジストはそのパターンが第2誘電体材料68に転写された後で剥離することができる。パターンを転写するのに用いるエッチングは、乾式エッチング・プロセス、湿式化学エッチング・プロセス又はそれらの組合せを含むことができる。ここで用いられる「乾式エッチング」という用語は、反応性イオン・エッチング、イオン・ビーム・エッチング、プラズマ・エッチング又はレーザ・アブレーションのようなエッチング技術を意味する。
本発明は、単に説明のために第1誘電体材料内の1つのビア開口及び1つの導電性構造部のみを示していることに注意されたい。第1誘電体材料内の単一のビア開口及び単一の導電性構造部が示されているが、本発明はそれに限定されない。その代わりに、複数のビア開口及び導電性構造部が本発明により考慮されている。
さらに、第2誘電体材料68の中にビア開口72を形成する際に、第2誘電体材料68の側壁が損傷されて損傷側壁68’が形成されることに注意されたい。損傷側壁68’はまた、例えば図4に描かれている。損傷側壁68’はバルク材料に比べて密度が低い。これは化学的又は物理的な性質とすることができる。例えば、第2誘電体材料68が原子の一つとしてCを含むとき、第2誘電体材料68の損傷側壁68’は、第2誘電体材料68の残りの部分に比べて低いC含量(即ちC減損)を有する。非C含有誘電体材料を用いるときには、損傷側壁68’は多孔質であるとして特徴付けることができる。
次に、図4に示した構造体に、ビア開口72内の第2誘電体材料68の側壁から損傷層68’を除去するクリーニング・ステップを施す。クリーニング・ステップを行った後に形成される結果としての構造体は、例えば図5に示す。本発明において用いるクリーニング・プロセスは、図4に示した構造体の損傷側壁68’を除去することができる任意のクリーニング・プロセスを含む。ビア開口72の内部から損傷側壁68’を除去することができるクリーニング・プロセスの例には、DHF(即ち希釈フッ化水素酸)又はDI(即ち脱イオン水)との接触などのウェット・クリーニング、NH、N、H又はシランの気体又はプラズマとの接触などのドライ・クリーニング、及びそれらの任意の組合せが含まれる。DHFとの接触を用いることが好ましい。クリーニング・プロセスは、損傷誘電体とバルク誘電体の間で良好な除去選択性(>100:1)を有する。
図6は、図5に示した構造体の全ての露出面(水平及び垂直)上に第2拡散障壁78に形成した後の図5の構造体を示す。本発明によれば、第2拡散障壁78は、Ta、TaN、Ti、TiN、Ru、RuN、RuTa、RuTaN、W、WN、又は、導電性材料がそれを通して拡散するのを防止する障壁として働くことができる任意の他の材料を含む。これらの材料の組合せはまた、多重層スタック拡散障壁を形成することが考慮されている。第2拡散障壁78は、例えば、原子層堆積(ALD)、化学気相堆積(CVD)、プラズマ強化化学気相堆積(PECVD)、物理気相堆積(PVD)、スパッタリング、化学溶液堆積、又はめっきのような堆積プロセスを用いて形成する。
第2拡散障壁78の厚さは、障壁内の材料層の数、それを形成するのに用いる技法、及び拡散障壁自体の材料に応じて変化させることができる。典型的には、第2拡散障壁78は、約4nmから約40nmまでの厚さを有し、約7nmから約20nmまでの厚さがよりいっそう典型的である。
次に、例えば図7に示すようなビア・ガウジング(くりぬき)構造部80を、第1誘電体材料54内の導電性構造部58の内部に形成する。図7に示した構造体はArスパッタリング法を用いて形成するが、このスパッタリング法は下層の導電性材料58に穴をあけて(即ち、第2拡散障壁78、誘電体キャッピング層64及びビア開口72の底部内にある金属キャッピング層60の一部分、並びに、導電性構造部58内の導電性材料58の一部分を除去することにより)、導電性構造部58の内部にビア・ガウジング構造部(又はアンカー領域)を作り出す。ビア・ガウジング構造部を形成するのに用いるArスパッタリング法は、相互接続技術においてそのような構造部を形成するのに普通に用いられる任意の通常のArスパッタリング法を含む。例証として、Arスパッタリングは、次の非限定的な条件、即ち、20sccmのAr気体流、25℃の温度、400KHz及び750Wの上部電極のバイアス、13.6MHz及び400Wの支持台バイアス、及び0.6mtorrのプロセス圧力を用いて実施することができる。例証のためにArを示したが、He、Ne、Xe、N、H、NH、N、又はそれらの混合物のなどの任意の他の気体もまた、気体スパッタリング法に用いることができる。
ビア・ガウジング構造部の形成中に、スパッタリング・プロセスからの残留物が、ビア開口72の下部分72Aに第2拡散障壁78の側壁を形成する。特に、残留物は、ビア開口72の下部分72A内の第2拡散障壁78の側壁上にマルチ材料層82をもたらし、このマルチ材料層は、ビア開口の下部分72A内の残りの第2拡散障壁78の側壁に隣接する誘電体キャッピング層64からの残留物からなる第1材料層82Aと、第1材料層82Aに隣接する金属キャッピング層60からの残留物からなる第2材料層82Bとを含む。図7は、明瞭にするためにマルチ材料層を単に単一層として示し、一方、図14はマルチ材料層を含むビアの下部分のセグメントの拡大図であり、本発明のこの時点で形成されるマルチ材料層の両方の層を示す。
本発明の好ましい実施形態においては、第1材料層82Aは少なくともシリコン及び窒素原子を含む。本発明の別の好ましい実施形態においては、第2材料層82Bは少なくともCo、Ir及びRu原子を含む。
幾つかの実施形態(図示せず)において、ビア・ガウジング構造部内の残りの導電性材料58の露出上面の上に金属界面層を選択的に形成することができる。金属界面層は、例えば、CVD、PECVD、化学溶液堆積、蒸着、有機金属堆積、ALD、又はめっき(無電解又は電解めっき)を含む任意の通常の堆積プロセスを用いて形成する。金属界面層の厚さは、用いる正確な金属界面材料、及びそれを形成するのに用いる堆積技術に応じて変化させることができる。典型的には、金属界面層は約0.5nmから約40nmまでの厚さを有し、約1nmから約10nmまでの厚さがよりいっそう典型的である。金属界面層は、例えば、Co、TaN、Ta、Ti、TiN、Ru、Ir、Au、Rh、Pt、Pd又はAgのような金属障壁材料を含む。このような材料の合金もまた考慮されている。
図8は、図7に示した構造体の全ての露出面上に金属含有ハード・マスク84を形成した後の構造体を示す。金属含有ハード・マスク84は、例えば、Ru、Ta、W及びTiのうちの1つを含む任意の拡散障壁金属を含む。
金属含有ハード・マスク84は、例えば、原子層堆積(ALD)、化学気相堆積(CVD)、プラズマ強化化学気相堆積(PECVD)、物理気相堆積(PVD)、スパッタリング、化学溶液堆積又はめっきなどの堆積プロセスを用いて形成する。
金属含有ハード・マスク84の厚さは、それを形成するのに用いる技法、及び金属含有ハード・マスク84自体の金属に応じて変化させることができる。典型的には、金属含有ハード・マスク84は、約1nmから約40nmまでの厚さを有し、約2nmから約10nmまでの厚さがよりいっそう典型的である。
次に、図9に示すように、少なくとも1つのライン開口86を、ビア開口72の上に配置し、それに接続する第2誘電体材料68の内部に形成する。ライン開口86’も図示したように形成することができる。ライン開口86、86’は、最初にビア開口部72内に平坦化材料(図示せず)を供給することによって形成する。平坦化材料は、初めにビア開口72を充填するように、例えばCVD、PECVD、スピン・オン・コーティング、蒸着又は化学溶液堆積を含む通常の堆積プロセスを用いて堆積させる。平坦化材料は、通常の反射防止コーティング材料又はスパン・ガラス材料を含む。平坦化材料は、ビア開口72を完全に充填するとともに、金属含有ハード・マスク84又はハード・マスク70の露出面上をビア開口72の上まで延びる。平坦化材料に加えて、ハード・マスク(図示せず)を平坦化材料の表面上に堆積させ、パターン付けされたフォトレジスト(図示せず)をハード・マスクの表面上に堆積させる。ハード・マスク及びパターン付けされたフォトレジストは、前述のものを含む通常のプロセスを用いて形成する。パターン付けされたフォトレジスト(図示せず)は、ライン開口の幅を有する開口を含むことが認められる。次いで構造体に、図9に示す構造体を形成することができる1つ又は複数のエッチング・プロセスを施す。この図に示すように、この1つ又は複数のエッチング・プロセスは、第2誘電体材料68の内部にライン開口86、86’を形成する。この1つ又は複数のエッチング・ステップは、順番に、ハード・マスクの露出部分、下層の平坦化材料の部分、及び第2誘電体材料68の露出部分を除去する。パターン付けされたフォトレジスト及びパターン付けされたハード・マスク、並びに、金属含有ハード・マスク84の一部分は、典型的には前述のエッチング・ステップ中に消費される。次に、構造体から平坦化材料を除去するのに選択的な化学湿式エッチング・プロセス又は化学灰化プロセスを用いて、残りの平坦化材料をビア開口72から除去する。
ライン開口86、86’を第2誘電体材料68の内部に形成する際に、第2誘電体材料68の側壁が再び損傷されて損傷側壁68”が形成することがさらに認められる。損傷側壁68”はまた、例えば図9に描かれている。損傷側壁68”は、バルク材料に比べて密度が低い。これは、化学的又は物理的な性質とすることができる。例えば、第2誘電体材料68が原子の一つとしてCを含むとき、第2誘電体材料68の損傷側壁68”は、第2誘電体材料68の残りの部分に比べて低いC含量(即ちC減損)を有する。非C含有誘電体材料を用いるときには、損傷側壁68”は多孔質であるとして特徴付けることができる。
図10は、図9に示した構造体に、ライン開口86、86’内の第2誘電体材料68の側壁から損傷層68”を除去するクリーニング・ステップを施した後に形成された結果としての構造体を示す。本発明において用いるクリーニング・プロセスは、構造体の損傷側壁68”を除去することができる任意のクリーニング・プロセスを含む。ライン開口86、86’の内部から損傷側壁68”を除去することができるクリーニング・プロセスの例には、DHF(即ち希釈フッ化水素酸)又はDI(即ち脱イオン水)との接触のようなウェット・クリーニング、NH、N、H又はシランの気体又はプラズマとの接触のようなドライ・クリーニング、及びそれらの任意の組合せが含まれる。DHFとの接触を用いることが好ましい。クリーニング・プロセスは、損傷誘電体とバルク誘電体の間の良好な除去選択性(>100:1)を有し、残りの金属含有ハード・マスク84は除去しない。
本発明のこの時点において、ハード・マスク70の上の残りの金属含有ハード・マスク84は、ハード・マスク70の上で停止する通常の剥離プロセスを用いて除去することができる。或いは、残りの金属含有ハード・マスクは、ライン開口、ビア開口及びビア・ガウジング構造部の充填に続く平坦化プロセス中に除去することができる。残りの図面においては、ハード・マスク70の上の金属含有ハード・マスク84は、明瞭にするために、構造体から除去されているように示す。
図11は、図10に示したハード・マスク70の上及びライン開口86、86’の全ての露出面(水平及び垂直)の上に第3拡散障壁88を形成した後の図10の構造体を示す。本発明によれば、第3拡散障壁88は、第2拡散障壁78と同じ又は異なる、好ましくは同じ拡散材料を含むことができる。典型的には、第3拡散障壁88は、Ta、TaN、Ti、TiN、Ru、RuN、RuTa、RuTaN、W、WN、又は、導電性材料がそれを通して拡散するのを防止する障壁として働くことができる任意の他の材料を含む。これらの材料の組合せもまた、多重層スタック拡散障壁を形成することが考慮されている。第3拡散障壁88は、例えば、原子層堆積(ALD)、化学気相堆積(CVD)、プラズマ強化化学気相堆積(PECVD)、物理気相堆積(PVD)、スパッタリング、化学溶液堆積、又はめっきなどの堆積プロセスを用いて形成する。
第3拡散障壁88の厚さは、障壁内の材料層の数、それを形成するのに用いる技法、及び拡散障壁自体の材料に応じて変化させることができる。典型的には、第3拡散障壁88は、約4nmから約40nmまでの厚さを有し、約7nmから約20nmまでの厚さがよりいっそう典型的である。
幾つかの実施形態においては、接着/めっきシード層(具体的には図示せず)をまた、第3拡散障壁88の上に形成し、接着/めっきシード層を用いる場合の実施形態においては、図11に示す参照番号88は、第3拡散障壁及び随意の接着/めっきシード層の両方を含む材料スタックを表す。随意の接着/めっきシード層を用いるとき、それは元素周期表のVIIIA族からの金属又は金属合金からなる。接着/めっきシード層に適したVIIIA族元素の例には、それらに限定されないが、Ru、TaRu、Ir、Rh、Pt、Pd及びそれらの合金が含まれる。幾つかの実施形態においては、随意の接着/めっきシード層としてRu、Ir又はRhを用いることが好ましい。随意の接着/めっきシード層は、例えば、化学気相堆積(CVD)、プラズマ強化化学気相堆積(PECVD)、原子層堆積(ALD)、めっき、スパッタリング及び物理気相堆積(PVD)を含む通常の堆積プロセスによって形成する。随意の接着/めっきシード層の厚さは、例えば、接着/めっきシード層の構成材料、及び、それを形成するのに用いる技法を含む多くの因子に応じて変化させることができる。典型的には、随意の接着/めっきシード層は、約0.5nmから約10nmまでの厚さを有し、約6nm未満の厚さがよりいっそう典型的である。
ここで図12を参照すると、ビア及びライン開口、並びに、ビア・ガウジング構造部80を相互接続導電性材料90で充填した後の図11の構造体を示す。相互接続導電性材料90は、第1誘電体材料54内の導電性構造部58(導電性材料がポリシリコンではないという条件で)と同じ又は異なる、好ましくは同じ導電性材料を含むことができる。Cu、Al、W又はそれらの合金を用いることが好ましく、Cu又はAlCuが最も好ましい。相互接続導電性材料90は、第1誘電体材料54内の導電性構造部58を形成するのに前述したのと同じ堆積プロセスを用いて形成する。
図12に示した構造体を準備した後で、構造体に、例えば、化学機械的平坦化及び/又は研削のような通常の平坦化プロセスを施す。平坦化プロセスは、第2相互接続レベル66の第2誘電体材料68の上にある種々の材料を除去する。平坦化された構造体は、例えば図13に示す。図13においては、相互接続導電性材料90及び第3拡散障壁88の各々が、第2誘電体材料68と同一平面となる上面を有することに留意されたい。
図13に示した構造体のビア開口72の下部分72Aは、第2誘電体材料68のパターン付けされた垂直表面から外側に、第2拡散障壁78、第2拡散障壁78の側壁上のマルチ材料層82、及び金属含有ハード・マスク84を含む多層ライナを含むことが認められる。前述のように、マルチ材料層82は、残りの第2拡散障壁78の側壁に隣接する誘電体キャッピング層64からの残留物からなる第1材料層82Aと、第1材料層82Aに隣接する金属キャッピング層60からの残留物からなる第2材料層82Bとを含む。図13は、明瞭にするためにマルチ材料層を単に単一層として示し、一方、図15はマルチ材料層を含むビアの下部分のセグメントの拡大図であり、マルチ材料層の両方の層を示すことが認められる。
図15は、第2拡散障壁78、マルチ材料層82及び金属含有ハード・マスク84を含む本発明の多層ライナを示すことがさらに認められる。
図13に示す構造体は、本発明の一実施形態を表すことに留意されたい。本発明のこの実施形態において、ビア・ガウジング構造部は、第1誘電体材料54内の導電性構造部58の中に形成される。
ここで図16を参照すると、これはビア・ガウジング構造部が図2−図13に示したビア・ガウジング構造部に比べて比較的平坦である本発明の別の実施形態を示す図的記述(断面図による)である。この構造体は、スパッタリング・プロセスに関してより穏やかな条件を用いること以外は図2−図13において前述したのと同じ基本処理ステップを用いて形成する。例えば、より平坦なビア・ガウジング構造部を設けるために以下のスパッタリング条件、即ち、ビア・ガウジング構造部を形成するのに用いたのと同じプロセス条件で約30%短いプロセス継続時間を用いることができる。
本発明は、その好ましい実施形態に関して特に図示し説明したが、当業者であれば、本発明の精神及び範囲から逸脱することなく、形態及び細部における上記及び他の変更を加えることができることを理解するであろう。従って、本発明は、説明し例示した通りの形態及び細部には限定されず、添付の特許請求項の範囲内に包含されることが意図されている。
従来の相互接続構造体内における、EM損傷に起因する故障回路の形成を示す図的記述(断面図による)である。 本発明の基本処理ステップを示す図的記述(断面図による)である。 本発明の基本処理ステップを示す図的記述(断面図による)である。 本発明の基本処理ステップを示す図的記述(断面図による)である。 本発明の基本処理ステップを示す図的記述(断面図による)である。 本発明の基本処理ステップを示す図的記述(断面図による)である。 本発明の基本処理ステップを示す図的記述(断面図による)である。 本発明の基本処理ステップを示す図的記述(断面図による)である。 本発明の基本処理ステップを示す図的記述(断面図による)である。 本発明の基本処理ステップを示す図的記述(断面図による)である。 本発明の基本処理ステップを示す図的記述(断面図による)である。 本発明の基本処理ステップを示す図的記述(断面図による)である。 本発明の基本処理ステップを示す図的記述(断面図による)である。 図7に示された構造体の内部に形成されたビア開口側壁の下部分におけるライナ材料の拡大図を示す図的記述(断面図による)である。 図13に示された構造体の内部に形成されたビア開口側壁の下部分におけるライナ材料の拡大図を示す図的記述(断面図による)である。 ビア・ガウジング構造部が図2−図13に示されたガウジング構造部に比べて比較的平坦である、本発明の別の実施形態を示す図的記述(断面図による)である。
符号の説明
10:金属相互接続構造部
12:誘電体キャップ
14:ボイド
50:初期構造体
52:第1相互接続レベル
54:第1誘電体材料
56:第1拡散障壁
58:導電性構造部
60:金属キャッピング層
64:誘電体キャッピング層
66:第2相互接続レベル
68:第2誘電体材料
68’、68”:損傷側壁
70:ハード・マスク
72:ビア開口
72A:ビア開口の下部分
78:第2拡散障壁
80:ビア・ガウジング構造部
82:マルチ材料層
82A:第1材料層
82B:第2材料層
84:金属含有ハード・マスク
86、86’:ライン開口
88:第3拡散障壁
90:相互接続導電性材料

Claims (20)

  1. 内部に埋設された少なくとも1つの導電性構造部を有する第1誘電体材料を含む第1相互接続レベルであって、前記少なくとも1つの導電性構造部は内部に配置されたビア・ガウジング構造部を有する、第1相互接続レベルと、
    前記少なくとも1つの導電性構造部の全てではなく幾らかの部分の上に配置された、パターン付けされた金属キャッピング層と、
    前記パターン付けされた金属キャッピング層及び前記第1誘電体材料の部分の上に配置されたパターン付けされた誘電体キャッピング層と、
    下層の導電性充填ビアの上に配置され、かつ接続された少なくとも1つの導電性充填ラインを有する第2誘電体材料を含む上層の相互接続レベルと
    を備え、
    前記パターン付けされた誘電体キャッピング層の近傍に配置された前記導電性充填ビアの下部分は、前記第2誘電体材料のパターン付けされた表面から外側に、拡散障壁、マルチ材料層及び金属含有ハード・マスクを含む多層ライナを有し、
    前記マルチ材料層は、前記パターン付けされた誘電体キャッピング層からの残留物からなる第1材料層と、前記パターン付けされた金属キャッピング層からの残留物からなる第2材料層とを含む、
    相互接続構造体。
  2. 前記第1及び第2誘電体材料は、4.0又はそれ以下の誘電率を有する同じ又は異なる低k誘電体を含む、請求項1に記載の相互接続構造体。
  3. 前記第1及び第2誘電体材料は、2.8又はそれ以下の誘電率を有する同じ又は異なる多孔質低k誘電体を含む、請求項1に記載の相互接続構造体。
  4. 前記第1及び第2誘電体材料は、同じ又は異なるものであり、SiO、シルセスキオキサン、Si、C、O及びH原子を含むCドープ酸化物、並びに、熱硬化性ポリアリーレンエーテルのうちの少なくとも1つを含む、請求項1に記載の相互接続構造体。
  5. 前記パターン付けされた誘電体キャッピング層は、SiC、SiNH、SiO、炭素ドープ酸化物、並びに、窒素及び水素ドープ炭化シリコンSiC(N,H)のうちの1つを含む、請求項1に記載の相互接続構造体。
  6. 前記パターン付けされた金属キャッピング層は、純粋状態のCo、Ir及びRu、又は、それらとW、B、P、Mo及びReのうちの少なくとも1つとの合金、のうちの1つを含む、請求項1に記載の相互接続構造体。
  7. 前記パターン付けされた金属キャッピング層はCo含有物である、請求項6に記載の相互接続構造体。
  8. 前記導電性充填ライン、前記導電性充填ビア及び前記ビア・ガウジング構造部は、同じ相互接続導電性材料で充填される、請求項1に記載の相互接続構造体。
  9. 前記相互接続導電性材料はCu又はCu含有合金からなる、請求項8に記載の相互接続構造体。
  10. 前記第1材料層はシリコン及び窒素原子を含む、請求項1に記載の相互接続構造体。
  11. 前記第2材料層はCo、Ir及びRuのうちの1つの原子を含む、請求項1に記載の相互接続構造体。
  12. 前記金属含有ハード・マスクはRu、Ta及びTiのうちの1つを含む、請求項1に記載の相互接続構造体。
  13. 相互接続構造体の形成方法であって、
    内部に埋設された少なくとも1つの導電性構造部を有する第1誘電体材料と、前記少なくとも1つの導電性構造部の表面上に配置された金属キャッピング層と、前記金属キャッピング層及び前記第1誘電体材料の部分の表面上に配置された誘電体キャッピング層と、前記誘電体キャッピング層の表面上に配置された第2誘電体材料と、前記誘電体キャッピング層の表面上に配置されたハード・マスクとを含む構造体を準備するステップと、
    前記ハード・マスク及び前記第2誘電体材料を貫通して前記誘電体キャッピング層の表面上で停止する少なくとも1つのビア開口を形成するステップと、
    前記少なくとも1つのビア開口の内部で、かつ前記第2誘電体材料の側壁上及び前記誘電体キャッピング層の露出された面上、に配置された拡散障壁を形成するステップと、
    前記拡散障壁、前記誘電体キャッピング層及び前記金属キャッピング層の部分を除去することによって、前記第1誘電体材料内に埋設された前記少なくとも1つの導電性構造部の中にビア・ガウジング構造部を形成するステップであって、該ステップ中に前記少なくとも1つのビア開口の底部に、前記誘電体キャッピング層からの残留物からなる第1材料層と、前記金属キャッピング層からの残留物からなる第2材料層とを含むマルチ材料層が形成される、ステップと、
    前記少なくとも1つのビア開口内に金属含有ハード・マスクを形成するステップと、
    前記少なくとも1つのビア開口の上に配置され、かつそれに接触する少なくとも1つのライン開口を形成するステップと、
    前記少なくとも1つのライン開口及び前記少なくとも1つのビア開口の内部に別の拡散障壁を形成するステップと、
    前記ビア・ガウジング構造部、前記少なくとも1つのビア開口及び前記少なくとも1つのライン開口の内部に、前記第2誘電体材料の上面と同一平面となる上面を有する相互接続導電性材料を形成するステップと、
    を含む方法。
  14. 前記少なくとも1つのビア・ガウジング構造部は、気体スパッタリング・プロセスによって形成される、請求項13に記載の方法。
  15. 前記気体スパッタリング・プロセスは、Ar、He、Xe、N、H、NH及びNのうちの1つを含む、請求項14に記載の方法。
  16. 前記金属含有ハード・マスクは、Ru、Ta、W及びTiのうちの1つを含む、請求項13に記載の方法。
  17. 前記少なくとも1つのビア開口を形成した後、前記第2誘電体材料の側壁が損傷され、前記損傷側壁は、希釈フッ化水素酸の使用を含むクリーニング・ステップによって除去される、請求項13に記載の方法。
  18. 前記少なくとも1つのライン開口を形成した後、前記第2誘電体材料の側壁が損傷され、前記損傷側壁は、希釈フッ化水素酸の使用を含むクリーニング・ステップによって除去される、請求項13に記載の方法。
  19. 前記第1材料層はシリコン及び窒素原子を含む、請求項13に記載の方法。
  20. 前記第2材料層はCo、Ir及びRuのうちの1つの原子を含む、請求項13に記載の方法。
JP2008260280A 2008-06-16 2008-10-07 相互接続構造体およびその形成方法(エレクトロマイグレーション耐性強化のための相互接続構造体) Pending JP2009302501A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/139,704 US8354751B2 (en) 2008-06-16 2008-06-16 Interconnect structure for electromigration enhancement

Publications (1)

Publication Number Publication Date
JP2009302501A true JP2009302501A (ja) 2009-12-24

Family

ID=41090381

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008260280A Pending JP2009302501A (ja) 2008-06-16 2008-10-07 相互接続構造体およびその形成方法(エレクトロマイグレーション耐性強化のための相互接続構造体)

Country Status (5)

Country Link
US (1) US8354751B2 (ja)
EP (1) EP2139037B1 (ja)
JP (1) JP2009302501A (ja)
CN (1) CN101609829A (ja)
AT (1) ATE525748T1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9659856B2 (en) 2014-10-24 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Two step metallization formation
KR101848137B1 (ko) * 2015-10-20 2018-04-11 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 상호접속 구조물과 그 형성 방법
KR101849728B1 (ko) 2015-04-16 2018-04-17 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 상호접속 구조 및 이를 형성하는 방법

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20100079159A (ko) * 2008-12-30 2010-07-08 주식회사 동부하이텍 반도체 플래시 메모리 제조 방법, 이를 구현하기 위한 플래시 메모리 셀
US8637400B2 (en) 2011-06-21 2014-01-28 International Business Machines Corporation Interconnect structures and methods for back end of the line integration
US8623735B2 (en) * 2011-09-14 2014-01-07 Globalfoundries Inc. Methods of forming semiconductor devices having capacitor and via contacts
US8796853B2 (en) 2012-02-24 2014-08-05 International Business Machines Corporation Metallic capped interconnect structure with high electromigration resistance and low resistivity
US8841208B2 (en) * 2012-07-18 2014-09-23 International Business Machines Corporation Method of forming vertical electronic fuse interconnect structures including a conductive cap
US8835305B2 (en) 2012-07-31 2014-09-16 International Business Machines Corporation Method of fabricating a profile control in interconnect structures
US8916461B2 (en) * 2012-09-20 2014-12-23 International Business Machines Corporation Electronic fuse vias in interconnect structures
US9030013B2 (en) * 2012-09-21 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures comprising flexible buffer layers
KR101950349B1 (ko) * 2012-12-26 2019-02-20 에스케이하이닉스 주식회사 보이드 프리 폴리실리콘 갭필 방법 및 그를 이용한 반도체장치 제조 방법
US9349608B2 (en) * 2013-12-13 2016-05-24 Globalfoundries Inc. Methods of protecting a dielectric mask layer and related semiconductor devices
US10014382B2 (en) * 2014-03-13 2018-07-03 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device with sidewall passivation and method of making
US9219033B2 (en) * 2014-03-21 2015-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Via pre-fill on back-end-of-the-line interconnect layer
US9349691B2 (en) 2014-07-24 2016-05-24 International Business Machines Corporation Semiconductor device with reduced via resistance
US9728501B2 (en) * 2015-12-21 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming trenches
US9911698B1 (en) * 2016-08-25 2018-03-06 International Business Machines Corporation Metal alloy capping layers for metallic interconnect structures
US10361119B1 (en) * 2018-04-30 2019-07-23 International Business Machines Corporation Enlarged contact area structure using noble metal cap and noble metal liner
KR102493464B1 (ko) 2018-07-19 2023-01-30 삼성전자 주식회사 집적회로 장치 및 이의 제조 방법
US11335596B2 (en) * 2018-10-30 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Selective deposition for integrated circuit interconnect structures
US10903002B2 (en) * 2019-04-29 2021-01-26 Spin Memory, Inc. Method for manufacturing a magnetic memory element using Ru and diamond like carbon hard masks

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040115921A1 (en) * 2002-12-11 2004-06-17 International Business Machines Corporation Method for depositing a metal layer on a semiconductor interconnect structure having a capping layer
US20060264030A1 (en) * 2005-05-20 2006-11-23 Sharp Kabushiki Kaisha Wire structure and forming method of the same
US20070077761A1 (en) * 2005-09-30 2007-04-05 Matthias Lehr Technique for forming a copper-based metallization layer including a conductive capping layer
JP2007142033A (ja) * 2005-11-16 2007-06-07 Toshiba Corp 半導体装置の製造装置及び製造方法
US20070205482A1 (en) * 2006-03-01 2007-09-06 International Business Machines Corporation Novel structure and method for metal integration
US20080057698A1 (en) * 2006-08-30 2008-03-06 Nec Electronics Corporation Method of manufacturing semiconductor device

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2811131B2 (ja) * 1991-04-26 1998-10-15 三菱電機株式会社 半導体装置の配線接続構造およびその製造方法
US5300813A (en) * 1992-02-26 1994-04-05 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
JPH05275540A (ja) * 1992-03-28 1993-10-22 Yamaha Corp 集積回路装置
US5695810A (en) * 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
US6355983B2 (en) * 1997-05-20 2002-03-12 Texas Instruments Incorporated Surface modified interconnects
US6287977B1 (en) * 1998-07-31 2001-09-11 Applied Materials, Inc. Method and apparatus for forming improved metal interconnects
JP3606095B2 (ja) * 1998-10-06 2005-01-05 セイコーエプソン株式会社 半導体装置の製造方法
TW434877B (en) * 1998-12-03 2001-05-16 Matsushita Electronics Corp Semiconductor memory device and method for manufacturing the same
US6342733B1 (en) * 1999-07-27 2002-01-29 International Business Machines Corporation Reduced electromigration and stressed induced migration of Cu wires by surface coating
US6451177B1 (en) * 2000-01-21 2002-09-17 Applied Materials, Inc. Vault shaped target and magnetron operable in two sputtering modes
US6797623B2 (en) * 2000-03-09 2004-09-28 Sony Corporation Methods of producing and polishing semiconductor device and polishing apparatus
US6479391B2 (en) * 2000-12-22 2002-11-12 Intel Corporation Method for making a dual damascene interconnect using a multilayer hard mask
US6642146B1 (en) * 2001-03-13 2003-11-04 Novellus Systems, Inc. Method of depositing copper seed on semiconductor substrates
US6607977B1 (en) * 2001-03-13 2003-08-19 Novellus Systems, Inc. Method of depositing a diffusion barrier for copper interconnect applications
JP2002367998A (ja) * 2001-06-11 2002-12-20 Ebara Corp 半導体装置及びその製造方法
JP2003045875A (ja) * 2001-07-30 2003-02-14 Nec Kagobutsu Device Kk 半導体装置およびその製造方法
US6706625B1 (en) * 2002-12-06 2004-03-16 Chartered Semiconductor Manufacturing Ltd. Copper recess formation using chemical process for fabricating barrier cap for lines and vias
US6975032B2 (en) * 2002-12-16 2005-12-13 International Business Machines Corporation Copper recess process with application to selective capping and electroless plating
US6940173B2 (en) * 2003-01-29 2005-09-06 International Business Machines Corporation Interconnect structures incorporating low-k dielectric barrier films
TW589708B (en) * 2003-08-19 2004-06-01 Nanya Technology Corp Method for defining deep trench in substrate and multi-layer hard mask structure for defining the same
JP3647853B1 (ja) * 2003-10-24 2005-05-18 沖電気工業株式会社 半導体装置の配線構造及びその製造方法
US7193323B2 (en) * 2003-11-18 2007-03-20 International Business Machines Corporation Electroplated CoWP composite structures as copper barrier layers
US7087517B2 (en) * 2003-12-24 2006-08-08 Intel Corporation Method to fabricate interconnect structures
TWI248115B (en) * 2004-06-09 2006-01-21 Nanya Technology Corp Semiconductor device with multi-layer hard mask and method for contact etching thereof
JP4832807B2 (ja) * 2004-06-10 2011-12-07 ルネサスエレクトロニクス株式会社 半導体装置
KR100621548B1 (ko) * 2004-07-30 2006-09-14 삼성전자주식회사 반도체 소자의 금속 배선 형성 방법
US7799683B2 (en) * 2004-11-08 2010-09-21 Tel Epion, Inc. Copper interconnect wiring and method and apparatus for forming thereof
JP2006179599A (ja) * 2004-12-21 2006-07-06 Toshiba Corp 半導体装置およびその製造方法
US7247946B2 (en) * 2005-01-18 2007-07-24 International Business Machines Corporation On-chip Cu interconnection using 1 to 5 nm thick metal cap
US7335588B2 (en) * 2005-04-15 2008-02-26 International Business Machines Corporation Interconnect structure and method of fabrication of same
US7402519B2 (en) * 2005-06-03 2008-07-22 Intel Corporation Interconnects having sealing structures to enable selective metal capping layers
US8747960B2 (en) * 2005-08-31 2014-06-10 Lam Research Corporation Processes and systems for engineering a silicon-type surface for selective metal deposition to form a metal silicide
KR20070071020A (ko) * 2005-12-29 2007-07-04 동부일렉트로닉스 주식회사 캐핑 금속층에 의해 보호된 구리 금속 배선 및 그 제조방법
US7410544B2 (en) * 2006-04-21 2008-08-12 Freescale Semiconductor, Inc. Method for cleaning electroless process tank
US7482261B2 (en) * 2006-07-26 2009-01-27 International Business Machines Corporation Interconnect structure for BEOL applications
US7745282B2 (en) * 2007-02-16 2010-06-29 International Business Machines Corporation Interconnect structure with bi-layer metal cap
US9385034B2 (en) * 2007-04-11 2016-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Carbonization of metal caps
US7977791B2 (en) * 2007-07-09 2011-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Selective formation of boron-containing metal cap pre-layer

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040115921A1 (en) * 2002-12-11 2004-06-17 International Business Machines Corporation Method for depositing a metal layer on a semiconductor interconnect structure having a capping layer
JP2006510195A (ja) * 2002-12-11 2006-03-23 インターナショナル・ビジネス・マシーンズ・コーポレーション キャップ層を有する半導体相互接続構造上に金属層を堆積させる方法
US20060264030A1 (en) * 2005-05-20 2006-11-23 Sharp Kabushiki Kaisha Wire structure and forming method of the same
JP2006324584A (ja) * 2005-05-20 2006-11-30 Sharp Corp 半導体装置およびその製造方法
US20070077761A1 (en) * 2005-09-30 2007-04-05 Matthias Lehr Technique for forming a copper-based metallization layer including a conductive capping layer
JP2009510771A (ja) * 2005-09-30 2009-03-12 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 導電性キャッピング層を含む銅ベースのメタライゼーション層を形成する技術
JP2007142033A (ja) * 2005-11-16 2007-06-07 Toshiba Corp 半導体装置の製造装置及び製造方法
US20070205482A1 (en) * 2006-03-01 2007-09-06 International Business Machines Corporation Novel structure and method for metal integration
JP2009528702A (ja) * 2006-03-01 2009-08-06 インターナショナル・ビジネス・マシーンズ・コーポレーション 金属統合のための新規な構造体及び方法
US20080057698A1 (en) * 2006-08-30 2008-03-06 Nec Electronics Corporation Method of manufacturing semiconductor device
JP2008060243A (ja) * 2006-08-30 2008-03-13 Nec Electronics Corp 半導体装置およびその製造方法

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9659856B2 (en) 2014-10-24 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Two step metallization formation
KR101757520B1 (ko) * 2014-10-24 2017-07-26 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 집적회로 구조물 및 그 제조방법
US9941199B2 (en) 2014-10-24 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Two step metallization formation
KR101849728B1 (ko) 2015-04-16 2018-04-17 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 상호접속 구조 및 이를 형성하는 방법
KR101848137B1 (ko) * 2015-10-20 2018-04-11 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 상호접속 구조물과 그 형성 방법
US10535603B2 (en) 2015-10-20 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming interconnection structure
US10541204B2 (en) 2015-10-20 2020-01-21 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure and method of forming the same

Also Published As

Publication number Publication date
CN101609829A (zh) 2009-12-23
ATE525748T1 (de) 2011-10-15
EP2139037A1 (en) 2009-12-30
US20090309226A1 (en) 2009-12-17
EP2139037B1 (en) 2011-09-21
US8354751B2 (en) 2013-01-15

Similar Documents

Publication Publication Date Title
EP2139037B1 (en) Method of fabricating an interconnect structure for electromigration enhancement
US7834457B2 (en) Bilayer metal capping layer for interconnect applications
JP5462807B2 (ja) 高い漏れ抵抗を有する相互接続構造体
JP5441926B2 (ja) 相互接続構造のための貴金属キャップおよびこれを形成する方法(相互接続構造のための貴金属キャップ)
US8669182B2 (en) Metal cap with ultra-low κ dielectric material for circuit interconnect applications
JP5818210B2 (ja) 誘電ライン・バイアのエレクトロマイグレーション耐性が向上した界面層を有する相互接続構造およびその製造方法
JP5430946B2 (ja) 相互接続構造体形成方法
JP5419328B2 (ja) 障壁冗長構成要素を有する相互接続構造体および相互接続構造体を形成する方法
JP5274475B2 (ja) エレクトロマイグレーションに対する向上した信頼度を有する相互接続構造体及びその製造方法
US7964966B2 (en) Via gouged interconnect structure and method of fabricating same
JP5255292B2 (ja) 2層金属キャップを有する相互接続構造体及びその製造方法
US7846834B2 (en) Interconnect structure and method for Cu/ultra low k integration
US8889546B2 (en) Discontinuous/non-uniform metal cap structure and process for interconnect integration
US8802563B2 (en) Surface repair structure and process for interconnect applications

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20091204

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20091208

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20091208

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20100125

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20100210

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100223

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100430

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100629

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100930

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20101013

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20101210

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20120614