JP4832807B2 - 半導体装置 - Google Patents

半導体装置 Download PDF

Info

Publication number
JP4832807B2
JP4832807B2 JP2005165252A JP2005165252A JP4832807B2 JP 4832807 B2 JP4832807 B2 JP 4832807B2 JP 2005165252 A JP2005165252 A JP 2005165252A JP 2005165252 A JP2005165252 A JP 2005165252A JP 4832807 B2 JP4832807 B2 JP 4832807B2
Authority
JP
Japan
Prior art keywords
hole
insulating film
interlayer insulating
barrier metal
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2005165252A
Other languages
English (en)
Other versions
JP2006024905A (ja
JP2006024905A5 (ja
Inventor
和義 前川
健壹 森
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Electronics Corp
Original Assignee
Renesas Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to JP2005165252A priority Critical patent/JP4832807B2/ja
Application filed by Renesas Electronics Corp filed Critical Renesas Electronics Corp
Priority to US11/148,307 priority patent/US7192871B2/en
Publication of JP2006024905A publication Critical patent/JP2006024905A/ja
Priority to US11/676,951 priority patent/US7709955B2/en
Priority to US11/676,962 priority patent/US7709388B2/en
Publication of JP2006024905A5 publication Critical patent/JP2006024905A5/ja
Priority to US12/730,039 priority patent/US7936069B2/en
Priority to US13/052,712 priority patent/US8222146B2/en
Application granted granted Critical
Publication of JP4832807B2 publication Critical patent/JP4832807B2/ja
Priority to US13/419,053 priority patent/US8432037B2/en
Priority to US13/867,733 priority patent/US8749064B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76892Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances modifying the pattern
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Description

本発明は、半導体装置に関し、より特定的には、信頼性の高い半導体装置に関する。
LSI(Large‐Scale Integrated circuit)の微細化、高速化に伴ない、LSIの配線材料として従来用いられていたアルミニウムに代わり、電気抵抗の低いCu(銅)が用いられようとしている。LSIの配線材料としてCuを用いることにより、電気抵抗を低く抑えながら配線を微細化することができ、また、LSIの動作速度を向上することができる。しかしながら、Cuは絶縁膜中に拡散しやすい性質を有している。Cuが絶縁膜中に拡散すれば配線の信頼性が低くなってしまう。また、Cuはプラズマイオンとの反応速度が非常に遅いという性質を有している。このため、エッチングにより配線を形成しようとすると十分な生産性が得られない。
そこで、これらの問題を解決することのできるCu配線の形成方法として、近年、ダマシン法が採用されている。通常のダマシン法を用いた場合、Cu配線は以下のように形成される。
まず、Cuよりなる下部配線を覆うように、ライナー膜と、層間絶縁層と、反射防止膜とを積層して形成する。次に、ビアホールを形成するためのレジストを反射防止膜上に形成し、通常の写真製版技術およびエッチング技術により、層間絶縁膜にビアホールを形成する。これにより、ビアホールの底面にはライナー膜が露出する。次に、ビアホールを形成するためのレジストを除去した後、トレンチを形成するためのレジストを反射防止膜上およびビアホール内に形成し、通常の写真製版技術およびエッチング技術により、層間絶縁膜にトレンチを形成する。次に、トレンチを形成するためのレジストおよび反射防止膜を除去した後、ビアホールの底面に露出したライナー膜をエッチングする。これにより、下部配線を露出させる。次に、ビアホールの底面に露出した下部配線表面のCu酸化膜や、ライナー膜のエッチングの際に発生した残渣(ポリマー)などを除去するために、Ar(アルゴン)スパッタエッチングや、H2(水素)雰囲気中でのアニールや、プラズマ処理や、ウエットエッチングなどを行なう。次に、ビアホールおよびトレンチの側壁および底面と、層間絶縁層上とにバリアメタルを形成する。次に、メッキのシールド膜となるCu薄膜をバリアメタル上に形成し、ビアホールおよびトレンチの側壁および底面と、層間絶縁層上とに、メッキ法によりCu膜を形成する。その後、層間絶縁層の上部の余分なCu膜およびバリアメタルをCMP(Chemical Mechanical Polish)により除去し、Cu配線が完成する。
上記製造方法によって得られたCu配線には、ボイドの発生により断線が起こりやすいという問題があった。すなわち、熱処理や実際の使用環境の中で高温にされた場合に、層間絶縁層とCu配線との間には熱応力が発生する。従来のCu配線において、下部配線の表面とビアホールの側壁とは直角に接しているため、下部配線の表面とCu配線の底部との接触部分にこの熱応力が集中しやすい。
また、ビアホール内のCu配線を流れる電流は、下部配線の表面とCu配線の底部との接触部分を通過して、ビアホールよりも断面積の大きな下部配線へ流れるので、下部配線の表面とCu配線の底部との接触部分には電流が集中しやすい。
このように、下部配線の表面とCu配線の底部との接触部分には熱応力および電流が集中しやすいので、ボイドの発生起点となっていた。従来のCu配線において、下部配線とCu配線とは平面的に接触しているため、Cu配線と下部配線との接触部分の面積が十分ではなく、ボイドの発生により断線が起こりやすいという問題があった。また、Cu配線と下部配線との間の電気抵抗が大きいという問題があった。
そこで、Cu配線と下部配線との接触部分の面積を増加することのできる配線の製造方法が、たとえば特開2002−64138号公報(特許文献1)に開示されている。特許文献1においては、配線は以下のように製造される。
Cuよりなる第1層配線上に銅拡散防止用絶縁膜を形成した後、層間絶縁膜を形成する。次に、層間絶縁膜上にレジスト膜を形成し、これをマスクとして第1層配線の表面が露出するまで層間絶縁膜および銅拡散防止用絶縁膜を異方的にエッチングする。さらに、このエッチングにより露出した第1層配線の表面をエッチングすることにより、その底部が第1層配線の表面より深い位置に達するコンタクトホールを形成する。次に、コンタクトホール内を含む層間絶縁膜上にバリア層を形成する。次に、バリア層の上にTa(タンタル)膜が形成される。続いて、コンタクトホール外のTa膜およびバリア層をCMPにより除去し、プラグが第1層配線上形成される。
特許文献1に開示された配線の製造方法では、層間絶縁膜および第1層配線をエッチングして孔を形成し、その孔内にプラグを形成している。このため、プラグの底面およびプラグの側面の一部が第1層配線と接触している。すなわち、プラグと第1層配線とが立体的に接触しているため、プラグと第1層配線との接触部分の面積を増大することができる。
なお、層間絶縁層および下層配線をエッチングして孔を形成し、その孔内に導電層を形成する技術は、特許文献1の他、たとえば特開2001−77195号公報(特許文献2)、特開2000−114261号公報(特許文献3)、特開平7−14836号公報(特許文献4)、特開2000−133711号公報(特許文献5)に開示されている。
特開2002−64138号公報 特開2001−77195号公報 特開2000−114261号公報 特開平7−14836号公報 特開2000−133711号公報
上記特許文献1に開示された技術では、孔内に残留しているレジストおよび銅拡散防止用絶縁膜の残渣(ポリマー)を除去するために、層間絶縁膜および第1層配線をエッチングした後に孔内を洗浄する必要がある。しかしながら、孔内を洗浄する際に用いられる溶液はCuを溶解する性質を有しているので、洗浄の際に第1層配線がウエットエッチングされる。その結果、層間絶縁膜における孔の口径に比べて第1層配線における孔の口径が大きくなる。言い換えれば、第1層配線における孔の内壁に凹部が形成される。この凹部にはバリア層およびTa膜が形成されにくい(途切れやすい)。このため、凹部がボイド発生の起点となり、電気抵抗の増加や断線などが起こりやすくなる。その結果、半導体装置の信頼性が低下するという問題があった。
したがって、本発明の目的は、信頼性の高い半導体装置を提供することである。
本発明の一実施例の半導体装置は、第一層と、第一絶縁膜と、第二層間絶縁膜と、第一ホールと、第一バリアメタルと、第二ホールと、第三ホールと、所定の導電膜と、第二バリアメタルと、第一銅金属とを有している。第一層は、半導体基板上に形成され、第一層間絶縁膜と第一層間絶縁膜内に第一銅配線とを有している。第一絶縁膜は、第一層上に形成され、第一銅配線の上面に接するように形成されている。第二層間絶縁膜は、第一絶縁膜上に形成されている。第一ホールは、第一銅配線の上方において第一絶縁膜を貫通するように上記第二層間絶縁膜及び上記第一絶縁膜に設けられている。第一バリアメタルは、第一ホールの側壁に沿っ第二層間絶縁膜上及び第一絶縁膜上に形成されている。第二ホールは、第一ホールの下側に第一ホールと連通するように第一銅配線に設けられ、第一ホールの口径よりも大きな口径を有する。第三ホールは、第二ホールの下側に第二ホールと連通するように第一銅配線に設けられた、円錐形状又は半球形状のものである。所定の導電膜は、上記第二ホールの内壁面に形成されている。第二バリアメタルは、第一バリアメタル上第二ホール内の所定の導電膜上及び第三ホールの内壁面に形成されている。第一銅金属は、第一、第二及び第三ホール内に埋め込まれている。
本発明の半導体装置によれば、第二ホールの口径が第一ホールの口径よりも大きい場合にも第二ホールの内壁面全面に所定の導電膜を形成することができるので、第二ホール内にボイドが形成しにくくなり、半導体装置の信頼性が高くなる。
以下、本発明の実施の形態について、図に基づいて説明する。
(実施の形態1)
図1は、本発明の実施の形態1における半導体装置の構造を示す断面図である。
図1に示すように、本実施の形態の半導体装置は、第1絶縁膜としての層間絶縁膜1と、配線としての下部配線5と、第2絶縁膜としてのライナー膜11と、第3絶縁膜としての層間絶縁膜12とを主に備えている。層間絶縁膜1には溝2が形成されており、溝2の内壁面および底面に沿うようにバリアメタル3および4が積層して形成されている。そして、溝2内を埋めるようにバリアメタル4上に下部配線5が形成されている。下部配線5を覆うように層間絶縁膜1上にライナー膜11および層間絶縁膜12が積層して形成されている。ライナー膜11は、下部配線5に含まれているCuが層間絶縁膜12内に拡散するのを防止する役割を果たしている。また、後述する上部孔10形成の際のエッチングストッパとしての役割を果たしている。
層間絶縁膜12にはトレンチ14が形成されている。また、層間絶縁膜12のトレンチ14内およびライナー膜11には、上部孔10が開口している。また、下部配線5には下部孔8が開口している。上部孔10と下部孔8とは互いに繋がっている。下部孔8は孔6と堀込み部分7とを有している。孔6は半円の断面形状を有している。上部孔10と下部孔8との境界部分付近の孔6の口径d2、言い換えれば、ライナー膜11と下部配線5との境界部分付近の孔6の口径d2は、上部孔10の口径d1よりも大きくなっている。孔6の底部には掘込み部分7が形成されている。堀込み部分7は下部孔8の一部である。掘込み部分7の口径d3は、孔6の口径d2および上部孔10の口径d1よりも小さい。堀込み部分7の底部7aは、たとえば円錐や半球の形状となっている。
また、本実施の形態の半導体装置は、下部孔8の内壁面全面に形成された下部導電膜としての導電膜15と、上部孔10の内壁面に沿って形成された上部導電膜としてのバリアメタル13と、上部孔10内および下部孔8内を埋めるように形成されたCu膜19と、バリアメタル17とをさらに備えている。
トレンチ14の内壁面および上部孔10の内壁面に沿って、バリアメタル13が形成されている。バリアメタル13は、上部孔10と下部孔8との境界部分において途切れている。下部孔8内には、下部孔8の内壁面全面を覆うように導電膜15が形成されている。導電膜15は堀込み部分7の底部7aには形成されていない。導電膜15は、バリアメタル13および下部配線5と同じ物質を含んでいる。なお、図1においては、導電膜15はトレンチ14および上部孔10内のバリアメタル13上にまで形成されているが、導電膜15は、少なくとも下部孔8の内壁面全面に形成されていればよい。トレンチ14、上部孔10、および下部孔8内の導電膜15上には、バリアメタル17が形成されており、トレンチ14、上部孔10、および下部孔8内を埋めるようにバリアメタル17上にCu膜19が形成されている。なお、本発明の半導体装置では、上部孔10の内壁面に形成された層A(図1におけるバリアメタル13、導電膜15、およびバリアメタル17)と、掘込み部分7の内壁面に形成された層B(図1における導電膜15およびバリアメタル17)と、掘込み部分7の底部7aに形成された層(図1におけるバリアメタル17)との各々の膜厚あるいは層数にA≧B≧Cの関係がある。
なお、ライナー膜11は、たとえばSiCN、SiCO、またはSiCなどよりなっている。層間絶縁膜12は、たとえばTEOS(Tetra Ethyl Ortho Silicate)、SiO2、またはSiOCなどよりなっている。バリアメタル3はたとえばTaNよりなっており、バリアメタル4および17はたとえばTaよりなっている。下部配線5はたとえばCuよりなっている。さらに、バリアメタル13は、たとえばTa(タンタル)窒化物、Ta珪化物、Ta炭化物、Ti(チタン)窒化物、Ti珪化物、Ti炭化物、W(タングステン)窒化物、W珪化物、W炭化物、Ru(ルテニウム)、およびRu酸化物よりなる群から選ばれる1種以上の膜よりなっている。
本実施の形態の半導体装置では、下部配線5をエッチングして下部孔8を形成し、Cu膜19、バリアメタル17、および導電膜15等の導電膜を下部孔8内に形成している。このため、下部孔8内に形成された導電膜の底面および側面の一部が下部配線5と接触している。すなわち、下部孔8内に形成された導電膜と下部配線5とが立体的に接触しているため、下部孔8内に形成された導電膜と下部配線5との接触部分の面積を増大することができる。これにより、下部配線5の表面と下部孔8内に形成された導電膜の底部との接触部分へ熱応力および電流が集中するのを緩和することができる。その結果、ボイドが発生しにくくなり、断線が起こりにくくなる。また、Cu膜19と下部配線5と間の電気抵抗を低減することができる。
次に、本実施の形態における半導体装置の製造方法について説明する。
図2〜図10は、本発明の実施の形態1における半導体装置の製造方法を工程順に示す断面図である。
図2を参照して、層間絶縁膜1内に溝2を形成する。次に、層間絶縁膜1上と、溝2の内壁面および底面とに、たとえばCVD(Chemical Vapor Deposition)法やスパッタ法などによりバリアメタル3および4を積層して形成する。次に、溝2内を埋め、層間絶縁膜1を覆うように、たとえばCVD法やメッキ法などにより下部配線5となる導電膜を形成する。次に、CMP(Chemical Mechanical Polish)法により、層間絶縁膜1上の余分なバリアメタル3および4と、余分な導電膜とを除去する。これにより、下部配線5が層間絶縁膜1の内部に形成される。次に、下部配線5を覆うように層間絶縁膜1上にライナー膜11を形成する。
図3を参照して、ライナー膜11上に層間絶縁膜12および反射防止膜(ARL:Anti-reflective layer)20を積層して形成する。次に、パターニングされたレジスト25aを反射防止膜20上に形成し、レジスト25aをマスクとして反射防止膜20および層間絶縁膜12をエッチングすることにより、孔10aを形成する。孔10aは上部孔10の一部である。孔10aの底部にはライナー膜11が露出する。
図4を参照して、レジスト25aを除去した後、層間絶縁膜12上および孔10a内にパターニングされたレジスト25bを形成する。次に、レジスト25bをマスクとして反射防止膜20および層間絶縁膜12をエッチングすることにより、トレンチ14を形成する。
図5を参照して、レジスト25bおよび反射防止膜20を除去した後、孔10aの底部に露出したライナー膜11をエッチングすることにより除去する。これにより、層間絶縁膜12およびライナー膜11に上部孔10が開口する。なお、上部孔10の開口の際、ライナー膜11は完全に除去されなくてもよい。また、ライナー膜11のエッチングの際には、上部孔10の底部に露出した下部配線5までエッチングしないようにする。上部孔10の開口後、上部孔10内にはレジスト25bの残渣や、ライナー膜11の残渣(ポリマー)が残留している。これらの残渣を除去するために、次に、上部孔10内をウエットエッチングする。また、必要に応じてウエットエッチングの他に、Ar(アルゴン)ガスや、He(ヘリウム)とArとの混合ガスなどを用いたスパッタエッチングや、H2(水素)を数%から100%含有した雰囲気でのアニール(たとえば温度100℃〜350℃、10秒〜180秒)や、(リモート)プラズマ処理などを行なってもよい。
ここで、ウエットエッチングは物質を等方的にエッチングする性質を有している。このため、上部孔10内をウエットエッチングすると、残渣と共に下部配線5もエッチングされ、半円の断面形状の孔6が形成される。ライナー膜11と下部配線5との境界部分付近の孔6の口径d2は、上部孔10の口径d1よりも大きくなる。すなわち、孔6の内壁面が上部孔10の内壁面よりも外周側(図5中横方向)に削られる。
図6を参照して、たとえばスパッタ法やCVD法により、上部孔10の内壁面と、孔6の底部のみとを覆うように、バリアメタル13となる導電膜13aを形成する。ここで、上述のように、孔6の内壁面は、上部孔10の内壁面よりも外周側に削られているので、孔6の内壁面には導電膜13aが形成されない。導電膜13aは、たとえば以下の方法により形成される。
まず、CVD装置あるいはスパッタ装置などの成膜装置内のロードロックチャンバにウエハを設置し、チャンバ内を真空にする。次に、真空中においてウエハを100℃以上400℃以下の温度に加熱し、ウエハ表面に付着している水分などを除去する。次に、−50℃〜300℃の温度で、0.5nm〜50nm程度の厚さの導電膜13aを形成する。
図7を参照して、孔6の底部に存在する導電膜13aを物理的にエッチングすることにより、導電膜13aを孔6の内壁面へ飛散させ、孔6の内壁面全面に導電膜15a(図9)を形成する。導電膜15aは導電膜13aと同じ物質を含んでいる。なお、このとき、導電膜13aをトレンチ14の内壁面および層間絶縁膜12上にまで飛散させ、トレンチ14の内壁面および層間絶縁膜12上にまで導電膜15aを形成してもよい。
物理的にエッチングする方法としては、たとえばArを用いてスパッタエッチングする方法や、バイアススパッタによるスパッタ粒子を用いたリスパッタをする方法などがある。物理的にエッチングする際には、トレンチ14や孔6の内壁面におけるエッチング速度よりも孔6の底部におけるエッチング速度の方が速くなるような条件にすることが好ましい。また、垂直成分(指向性)の小さなスパッタリング法と上記スパッタエッチングとを同時に行なってもよい。
図8を参照して、孔6の底部に存在する導電膜13aを完全にエッチングした後で、さらに孔6の底部に存在する下部配線5を物理的にエッチングすることにより、下部配線5の一部を孔6の内壁面へ飛散させる。これにより、孔6の底部に堀込み部分7が形成される。この場合、孔6の内壁面全面に形成された導電膜15aは、下部配線5と同じ物質をさらに含んでいる。なお、下部配線5の一部をトレンチ14の内壁面および層間絶縁膜12上にまで飛散させ、トレンチ14の内壁面および層間絶縁膜12上にまで導電膜15aを形成してもよい。トレンチ14の内壁面および層間絶縁膜12上に導電膜15aを形成した場合、導電膜13aがバリアメタルとしての役割を果たすので、導電膜15a中に含まれるCuはライナー膜11および層間絶縁膜12内に拡散しない。孔6の底部に存在する下部配線5をエッチングする際には、下部配線5の膜厚の4分の1以上あるいは30nm以上エッチングすることが好ましい。
図9を参照して、エッチング後には、上部孔10の内壁面よりも外周側に削られた孔6の内壁面全面が導電膜15aによって埋められている。また、堀込み部分7は上部孔10を介してのエッチングにより形成されるので、その口径d3は、上部孔10の口径d1よりも小さくなり、導電膜13aが形成された状態の上部孔10の口径とほぼ等しくなる。また、孔6と堀込み部分7との間には段差が生じる。なお、堀込み部分7の内壁面に近いほどイオンが照射されにくくなるので、エッチングされにくくなる。このため、堀込み部分7の底部7aは、たとえば円錐や半球の形状となる。
図10を参照して、たとえばスパッタ法やCVD法などを用いて、バリアメタル17となる導電膜17aが0.5nm〜50nmの膜厚で導電膜15a上に形成される。なお、導電膜17aは導電膜15aと同じ材料であってもよい。次に、導電膜17a上にCuのシード膜(図示なし)を形成した後、トレンチ14内、上部孔10内、および下部孔8内を埋めるようにCu膜19aを形成する。Cu膜19aは、たとえばCVD法やメッキ法などを用いて形成される。
図1を参照して、その後、層間絶縁膜12上の余分な導電膜13a,15a,17a,およびCu膜19aをCMP法により除去する。これにより、バリアメタル13、導電膜15、バリアメタル17、およびCu膜19の各々が形成される。以上の工程により、本実施の形態の半導体装置が完成する。
本実施の形態における半導体装置は、層間絶縁膜1と、層間絶縁膜1内に形成された下部配線5と、層間絶縁膜1上に形成されたライナー膜11と、ライナー膜11上に形成された層間絶縁膜12とを備えている。下部配線5に下部孔8が開口しており、ライナー膜11および層間絶縁膜12には下部孔8に繋がる上部孔10が開口しており、下部孔8の口径d2は上部孔の口径d1よりも大きくなっている。さらに、下部孔8の内壁面に形成された導電膜15と、上部孔10の内壁面に沿って形成されたバリアメタル13と、上部孔10内および下部孔8内を埋めるように形成されたCu膜19とを備えている。導電膜15はバリアメタル13と同じ物質を含んでいる。
本実施の形態における半導体装置の製造方法は、以下の工程を備えている。内部に下部配線5が形成された層間絶縁膜1上に、ライナー膜11および層間絶縁膜12を積層して形成する。下部配線5に達する上部孔10をライナー膜11および12に開口する。上部孔10内をウエットエッチングすることにより、上部孔10の口径d1よりも大きな口径d2を有する孔6を下部配線5内に形成する。上部孔10の内壁面と、孔6の底部のみとを覆うように、導電膜13aを形成する。孔6の底部に存在する導電膜13aを物理的にエッチングすることにより、下部孔8の内壁面に導電膜15を形成する。上部孔10内および下部孔8内を埋めるようにCu膜19を形成する。
本実施の形態の半導体装置およびその製造方法によれば、孔6の底部に存在する導電膜13aを物理的にエッチングすることにより、導電膜13aを下部孔8の内壁面に導電膜15として形成することができる。したがって、下部孔8の口径d2が上部孔10の口径d1よりも大きい場合にも下部孔8内にボイドが形成しにくくなるので、半導体装置の信頼性が高くなる。
本実施の形態の半導体装置において、導電膜15は下部配線5と同じ物質をさらに含んでいる。
本実施の形態の半導体装置の製造方法では、導電膜15を形成する際に、孔6の底部に存在する導電膜13aおよび下部配線5を物理的にエッチングする。
これにより、導電膜13aおよび下部配線5を飛散させることにより下部孔8の内壁面に導電膜15を厚く形成することができる。これにより、下部配線5が大きくウエットエッチングされた場合にも、導電膜15を下部孔8の内壁面に確実に形成することができる。
本実施の形態の半導体装置において、導電膜15は下部孔8の底部7aには形成されていない。これにより、下部孔8の底部7aにおいて、Cu膜19と下部配線5とがバリアメタル17のみを介して形成されるので、Cu膜19と下部配線5との間の電気抵抗を小さくすることができる。
本実施の形態の半導体装置において、バリアメタル13は、Ta窒化物、Ta珪化物、Ta炭化物、Ti窒化物、Ti珪化物、Ti炭化物、W窒化物、W珪化物、W炭化物、Ru、およびRu酸化物よりなる群から選ばれる1種以上の膜である。
これにより、導電膜15に含まれるCuや、Cu膜19がライナー膜11および層間絶縁膜12中へ拡散することをバリアメタル13によって効果的に抑止することができる。
なお、本実施の形態では、導電膜13aを物理的にエッチングする際に下部配線5までエッチングする場合について示したが、本発明はこのような場合に限定されるものではなく、少なくとも導電膜13aを物理的にエッチングすればよい。
(実施の形態2)
図11は、本発明の実施の形態2における半導体装置の構造を示す断面図である。
図11に示すように、本実施の形態の半導体装置では、下部孔8の堀込み部分7が下部配線5を貫通している点において、図1に示す本発明の実施の形態1における半導体装置と異なっている。このような構造は、図8に示す導電膜15aの形成の際に、下部配線5を貫通するまで物理的にエッチングすることによって得られる。
なお、これ以外の半導体装置の構造およびその製造方法は、図1〜図10に示す本発明の実施の形態1の半導体装置およびその製造方法とほぼ同様であるので、同一の部材には同一の符号を付し、その説明を省略する。
本実施の形態の半導体装置において、下部孔8は下部配線5を貫通している。
本実施の形態の半導体装置の製造方法において、導電膜15aを形成する際に、下部配線5を貫通するまで物理的にエッチングする。
下部孔8の底部と下部配線5との接触部分は、他の部分に比べてボイドが生成しやすい部分である。本実施の形態の半導体装置およびその製造方法によれば、下部孔8の内壁と下部配線5との接触部分においてCu膜19と下部配線5との電気的な接続を確保している。このため、下部孔8の底部と下部配線5との接触部分にボイドが生成しても、Cu膜19と下部配線5との電気的な接続には影響を与えないので、半導体装置の信頼性が高くなる。
(実施の形態3)
図12は、本発明の実施の形態3における半導体装置の構造を示す断面図である。
図12に示すように、本実施の形態の半導体装置では、下部孔8が層間絶縁膜1および下部配線5内に開口している点において、図1に示す本発明の実施の形態1における半導体装置と異なっている。このような構造は、図5に示す上部孔10の形成の際に、層間絶縁膜1および下部配線5に達する上部孔10を開口することによって得られる。
なお、これ以外の半導体装置の構造およびその製造方法は、図1〜図10に示す本発明の実施の形態1の半導体装置およびその製造方法とほぼ同様であるので、同一の部材には同一の符号を付し、その説明を省略する。
本実施の形態の半導体装置において、下部孔8は層間絶縁膜1および下部配線5に開口している。
本実施の形態の半導体装置の製造方法において、上部孔10を開口する際に、下部配線5および層間絶縁膜1に達する上部孔10を開口する。
半導体装置の製造工程においては、上部孔10を開口する際に、レジストのずれなどにより、図12に示すように上部孔10が下部配線5の真上からずれた位置に開口する場合がある。本実施の形態の半導体装置およびその製造方法によれば、上部孔10の位置がずれた場合でも、下部孔8内に形成された導電膜と下部配線5との接触部分を確保することができる。その結果、半導体装置の信頼性が高くなり、Cu膜19と下部配線5と間の電気抵抗を低減することができる。
なお、実施の形態1〜3においては、孔6の口径d2が上部孔10の口径d1よりもはるかに大きい場合について示した。しかし、本発明の半導体装置は、図13に示すように、孔6の口径d2が上部孔10の口径d1よりもわずかに大きくてもよい。
(実施の形態4)
図14は、本発明の実施の形態4における半導体装置の構造を示す断面図である。図14を参照して、本実施の形態の半導体装置は、以下の点において実施の形態1の半導体装置と異なっている。すなわち、Cu膜19を覆うように層間絶縁膜12上にライナー膜111が形成されており、ライナー膜111上に層間絶縁膜112が形成されている。層間絶縁膜112の上部にはトレンチ114が形成されており、トレンチ114内およびライナー膜111には、配線5aの上面29に達する孔110が開口されている。トレンチ114の内壁面および底部と、孔110の内壁面および底部とに沿って、バリアメタル113が形成されている。トレンチ114および孔110内を埋めるようにCu膜119が形成されている。
上部孔10および下部孔8を埋めるCu膜19、バリアメタル17、および導電膜15によってコンタクト9aが構成されており、トレンチ14を埋めるCu膜19によって配線5a(第2配線)が構成されている。また、孔110(第2配線用孔)を埋めるCu膜119(接続層)によってコンタクト9bが構成されており、トレンチ114を埋めるCu膜119によって配線5bが構成されている。言い換えれば、本実施の形態においては、下部配線5、配線5a、および配線5bの各々が絶縁膜を介してこの順序で積層して形成されており、下部配線5と配線5aとがコンタクト9aによって電気的に接続されており、配線5aと配線5bとがコンタクト9bによって電気的に接続されている。
ここで、コンタクト9aの構造とコンタクト9bの構造とは互いに異なっている。コンタクト9aが形成されている上部孔10および下部孔8のうち、下部孔8は下部配線5内部に開口されている。一方、コンタクト9bが形成されている孔110は配線5a内部に達しておらず、配線5aの上面29で止まっている。また、コンタクト9bが形成されている孔110の口径d101の大きさは、コンタクト9aが形成されている孔10の口径d1よりも大きい。
本実施の形態の半導体装置は、配線5aと、配線5a上から配線5aに達する孔110と、孔110内を埋めるCu膜119とを備えている。孔110は配線5a内部にまで達していない。
本実施の形態における半導体装置によれば、以下の効果を得ることができる。口径の大きいコンタクトは、下部の配線との接触面積が大きいので、電流密度が低く、他のコンタクトに比べてボイドの発生起点となり難い。このため、口径の大きいコンタクトの構造をコンタクト9bのような簡易な構造にしても半導体装置の信頼性は確保される。これにより、コンタクト9bについては配線5aをエッチングしたり、孔110に繋がる下部孔を形成したりする必要がないので、半導体装置の製造工程を簡略化することができ、半導体装置の製造コストを削減することができる。
また、本実施の形態の半導体装置によれば、孔110の口径d101は、上部孔10の口径d1よりも大きいので、コンタクト9aおよび9bに同じ大きさの電流が流れる場合に、電流密度の低いコンタクト9bを簡易な構造にすることができる。
また、本実施の形態の半導体装置によれば、孔110は上部孔10よりも上部に形成されている。これにより、上部に存在するコンタクトの電流密度は下部に存在するコンタクトの電流密度よりも通常低いので、上部に存在する孔110に形成されるコンタクト9bを簡易な構造にすることができる。
なお、孔内を埋める層としてCu層19および119を用いる代わりに、Ag(銀)よりなる層や、CuまたはAgを主成分とする合金よりなる層などを用いてもよい。
(実施の形態5)
図15は、本発明の実施の形態5における半導体装置の断面を簡略化して示す図である。図15を参照して、本実施の形態の半導体装置は、多数の配線35a〜35fの各々と、配線35a〜35fの各々の間を電気的に接続するためのコンタクト39a〜39eの各々とを備えている。配線35a〜35fの各々は、絶縁層を介してこの順序で積層して形成されている。また、配線35aおよび配線35bはコンタクト39aによって電気的に接続されており、配線35bおよび配線35cはコンタクト39bによって電気的に接続されている。また、配線35cおよび配線35dはコンタクト39cによって電気的に接続されており、配線35dおよび配線35eはコンタクト39dによって電気的に接続されている。さらに、配線35eおよび配線35fはコンタクト39eによって電気的に接続されている。
コンタクト39a〜39eの各々は口径Aまたは口径Cを有している。コンタクト39a〜39cの各々は口径Aを有しており、コンタクト39dおよび39eの各々は口径Cを有している。口径Cは口径Aよりも大きい。
本実施の形態においては、図14におけるコンタクト9aとほぼ同様の形状のコンタクトと、図14におけるコンタクト9bとほぼ同様の形状のコンタクトとが混在している。具体的には、コンタクト39a〜39eのうち、口径Aを有するコンタクト39a〜39cの各々はコンタクト9aとほぼ同様の形状を有している。また、口径Cを有するコンタクト39dおよび39eの各々はコンタクト9bとほぼ同様形状を有している。
また、図16は、本発明の実施の形態5における他の半導体装置の断面を簡略化して示す図である。図16を参照して、コンタクト39a〜39eの各々は口径A、口径B、または口径Cを有している。コンタクト39aおよび39bの各々は口径Aを有しており、コンタクト39cは口径Bを有しており、コンタクト39dおよび39eの各々は口径Cを有している。口径Cは口径Bよりも大きく、口径Bは口径Aよりも大きい。
図16の構造においては、コンタクト39a〜39eのうち、口径Aおよび口径Bを有するコンタクト39a〜39cの各々はコンタクト9aとほぼ同様の形状を有している。また、口径Cを有するコンタクト39dおよび39eの各々はコンタクト9bとほぼ同様形状を有している。
また、コンタクト39a〜39eのうち、口径Aを有するコンタクト39aおよび39bの各々がコンタクト9aとほぼ同様の形状を有しており、口径Bおよび口径Cを有するコンタクト39c〜39eの各々がコンタクト9bとほぼ同様形状を有していてもよい。
なお、これ以外の構成は、図15に示す半導体装置の構成とほぼ同様であるので、同一の部材には同一の符号を付し、その説明を省略する。
本実施の形態における半導体装置のように、多数のコンタクト39a〜39eが形成されている場合であっても、相対的に口径の小さいコンタクトの各々についてはコンタクト9aとほぼ同様の形状とし、相対的に口径の大きいコンタクトの各々についてはコンタクト9bとほぼ同様の形状とすることで、実施の形態5の場合と同様に、半導体装置の信頼性を確保しつつ、半導体装置の製造コストを削減することができる。
なお、図15では、口径Aを有するコンタクト39a〜39cの各々についてコンタクト9aとほぼ同様の形状とする場合について示したが、口径Aを有するコンタクトのうち最下層のコンタクト39aのみをコンタクト9bとほぼ同様の形状としてもよい。
また、本実施の形態では、相対的に口径の小さいコンタクトが下層に形成され、相対的に口径の大きいコンタクトが上層に形成される場合について示したが、相対的に口径の小さいコンタクトと、相対的に口径の大きいコンタクトとの各々の形成位置については任意である。
(実施の形態6)
図17は、本発明の実施の形態6における半導体装置の断面を簡略化して示す図である。図17を参照して、コンタクト39a〜39eは口径Aまたは口径Cを有している。コンタクト39a〜39cの各々は口径Aを有しており、コンタクト39dおよび39eの各々は口径Cを有している。口径Cは口径Aよりも大きい。
本実施の形態では、コンタクト39aおよび39bの各々を流れる電流の量はコンタクト39cを流れる電流の量よりも大きいため、コンタクト39a〜39cの各々は同一の口径Aを有しているにも関わらず、コンタクト39aおよび39bの各々の電流密度はコンタクト39cの電流密度よりも大きい。同様に、コンタクト39dを流れる電流の量はコンタクト39eを流れる電流の量よりも大きいため、コンタクト39dおよび39eは同一の口径Cを有しているにも関わらず、コンタクト39dの電流密度はコンタクト39eの電流密度よりも大きい。
そこで、コンタクト39a〜39eのうち、相対的に電流密度の大きいコンタクト39a、39b、および39dの各々がコンタクト9aとほぼ同様の形状とされている。一方、相対的に電流密度の小さいコンタクト39cおよび39eの各々がコンタクト9bとほぼ同様形状とされている。
なお、これ以外の構成は、図15に示す半導体装置の構成とほぼ同様であるので、同一の部材には同一の符号を付し、その説明を省略する。
多数の配線を備える半導体装置において、電流密度はコンタクト毎に異なっており、電流密度の大きいコンタクトには電流密度の小さいコンタクトに比べてボイドが発生しやすく、断線などが起こりやすい。そこで、相対的に電流密度の小さいコンタクトの構造をコンタクト9bのような簡易な構造にしても半導体装置の信頼性は確保される。これにより、相対的に電流密度の小さいコンタクトについては製造工程を簡略化することができ、半導体装置の製造コストを削減することができる。
以下、本発明の一実施例について説明する。
本実施例では、従来の半導体装置と本発明の半導体装置との信頼性を比較した。具体的には、下部配線をエッチングせずにビアホールを形成した従来の半導体装置と、図1に示す本発明の半導体装置との寿命を調べた。図18にその結果を示す。なお、従来の半導体装置については、図18中の黒丸と白丸との2つの集団についての寿命を調べた。四角は本発明の半導体装置を示している。
図18に示すように、従来の半導体装置では、10n+1時間以内にサンプル全体の約50〜60%の半導体装置にEM(エレクトロマイグレーション)やSM(ストレスマイグレーション)などの欠陥が生じ、不良品となっていることが分かる。一方、本発明の半導体装置では、10n+1時間を超えてもほとんど不良品が発生していないことが分かる。このことから、本発明の半導体装置では、下部配線5の表面と下部孔8内に形成された導電膜の底部との接触部分へ熱応力および電流が集中するのを緩和することができるので、半導体装置の信頼性を高めることができるのが分かる。
今回開示された実施の形態および実施例はすべての点で例示であって制限的なものではないと考えられるべきである。本発明の範囲は上記した説明ではなくて特許請求の範囲によって示され、特許請求の範囲と均等の意味および範囲内でのすべての変更が含まれることが意図される。
本発明の実施の形態1における半導体装置の構造を示す断面図である。 本発明の実施の形態1における半導体装置の製造方法の第1工程を示す断面図である。 本発明の実施の形態1における半導体装置の製造方法の第2工程を示す断面図である。 本発明の実施の形態1における半導体装置の製造方法の第3工程を示す断面図である。 本発明の実施の形態1における半導体装置の製造方法の第4工程を示す断面図である。 本発明の実施の形態1における半導体装置の製造方法の第5工程を示す断面図である。 本発明の実施の形態1における半導体装置の製造方法の第6工程を示す断面図である。 本発明の実施の形態1における半導体装置の製造方法の第7工程を示す断面図である。 本発明の実施の形態1における半導体装置の製造方法の第8工程を示す断面図である。 本発明の実施の形態1における半導体装置の製造方法の第9工程を示す断面図である。 本発明の実施の形態2における半導体装置の構造を示す断面図である。 本発明の実施の形態3における半導体装置の構造を示す断面図である。 本発明の実施の形態1〜3における半導体装置の変形例の構造を示す断面図である。 本発明の実施の形態4における半導体装置の構造を示す断面図である。 本発明の実施の形態5における半導体装置の断面を簡略化して示す図である。 本発明の実施の形態5における他の半導体装置の断面を簡略化して示す図である。 本発明の実施の形態6における半導体装置の断面を簡略化して示す図である。 寿命と不良品の累積割合との関係を示す図である。
符号の説明
1,12,112 層間絶縁膜、2 溝、3,4 バリアメタル、5 下部配線、5a,5b,35a〜35f 配線、6,10a,110 孔、7 堀込み部分、7a 底部、8 下部孔、9a,9b,39a〜39e コンタクト、10 上部孔、11,111 ライナー膜、13,17,113 バリアメタル、14,114 トレンチ、13a,15,15a,17a 導電膜、19,19a,119 Cu膜、20 反射防止膜、25a,25b レジスト、29 上面。

Claims (10)

  1. 半導体基板上に形成され、第一層間絶縁膜と上記第一層間絶縁膜内に第一銅配線とを有する第一層と、
    上記第一層上に形成され、上記第一銅配線の上面に接するように形成される第一絶縁膜と、
    上記第一絶縁膜上に形成された第二層間絶縁膜と、
    上記第一銅配線の上方において上記第一絶縁膜を貫通するように上記第二層間絶縁膜及び上記第一絶縁膜に設けられた第一ホールと、
    上記第一ホールの側壁に沿っ上記第二層間絶縁膜上及び上記第一絶縁膜上に形成された第一バリアメタルと、
    上記第一ホールの下側に上記第一ホールと連通するように上記第一銅配線に設けられ、上記第一ホールの口径よりも大きな口径を有する第二ホールと、
    上記第二ホールの下側に上記第二ホールと連通するように上記第一銅配線に設けられた、円錐形状又は半球形状の第三ホールと、
    上記第二ホールの内壁面に形成された所定の導電膜と、
    上記第一バリアメタル上上記第二ホール内の上記所定の導電膜上及び上記第三ホールの内壁面に形成された第二バリアメタルと、
    上記第一第二及び第三ホール内に埋め込まれた第一銅金属とを有することを特徴とする半導体装置。
  2. 半導体基板上に形成され、第一層間絶縁膜と上記第一層間絶縁膜内に第一銅配線とを有する第一層と、
    上記第一層上に形成され、上記第一銅配線の上面に接するように形成される第一絶縁膜と、
    上記第一絶縁膜上に形成された第二層間絶縁膜と、
    上記第一銅配線の上方において上記第一絶縁膜を貫通するように上記第二層間絶縁膜及び上記第一絶縁膜に設けられた第一ホールと、
    上記第一ホールの側壁に沿って上記第二層間絶縁膜上及び上記第一絶縁膜上に形成された第一バリアメタルと、
    上記第一ホールの下側に上記第一ホールと連通するように上記第一銅配線に設けられ、上記第一ホールの口径よりも大きな口径を有する第二ホールと、
    上記第二ホールの下側に上記第二ホールと連通するように上記第一銅配線に設けられ、上記第一銅配線を30nm以上掘り込むことで形成された第三ホールと、
    上記第二ホールの内壁面に形成された所定の導電膜と、
    上記第一バリアメタル上、上記第二ホール内の上記所定の導電膜上及び上記第三ホールの内壁面に形成された第二バリアメタルと、
    上記第一、第二及び第三ホール内に埋め込まれた第一銅金属とを有することを特徴とする半導体装置。
  3. 半導体基板上に形成され、第一層間絶縁膜と上記第一層間絶縁膜内に第一銅配線とを有する第一層と、
    上記第一層上に形成され、上記第一銅配線の上面に接するように形成される第一絶縁膜と、
    上記第一絶縁膜上に形成された第二層間絶縁膜と、
    上記第一銅配線の上方において上記第一絶縁膜を貫通するように上記第二層間絶縁膜及び上記第一絶縁膜に設けられた第一ホールと、
    上記第一ホールの側壁に沿っ上記第二層間絶縁膜上及び上記第一絶縁膜上に形成された第一バリアメタルと、
    上記第一ホールの下側に上記第一ホールと連通するように上記第一銅配線に設けられ、上記第一ホールの口径よりも大きな口径を有する第二ホールと、
    上記第二ホールの下側に上記第二ホールと連通するように上記第一銅配線に設けられ、上記第一ホールの口径よりも小さな口径を有する第三ホールと、
    上記第二ホールの内壁面に形成された所定の導電膜と、
    上記第一バリアメタル上上記第二ホール内の上記所定の導電膜上及び上記第三ホールの内壁面に形成された第二バリアメタルと、
    上記第一第二及び第三ホール内に埋め込まれた第一銅金属とを有することを特徴とする半導体装置。
  4. 上記第二層間絶縁膜内に設けられた第一溝内に形成された第二銅配線を更に有し、
    上記第一溝の底面及び側面の上記第二層間絶縁膜上に形成された第三バリアメタルと、上記第一溝内の第二銅金属により上記第二銅配線が形成され、
    上記第一バリアメタル、上記第二バリアメタル及び上記第一銅金属により第一ビアが形成され、
    上記第三バリアメタルと上記第二バリアメタルは同一工程で形成され、
    上記第一銅金属及び上記第二銅金属は同一工程で形成されることを特徴とする請求項1〜3のいずれか一項に記載の半導体装置。
  5. 上記第一バリアメタルと上記第二バリアメタルとの間に、上記第一バリアメタルの材料と上記第一銅配線の材料との合金で構成された上記所定の導電膜を更に有することを特徴とする請求項4に記載の半導体装置。
  6. 上記第一層間絶縁膜及び上記第二層間絶縁膜はそれぞれ、TEOS、SiO2、SiO
    Cから選択された一つの材料を含有し、
    上記第一バリアメタル、上記第二バリアメタルおよび上記第三バリアメタルはそれぞれ、タンタル、タンタル窒化物、タンタル珪化物、タンタル炭化物、チタン窒化物、チタン珪化物、チタン炭化物、タングステン窒化物、タングステン珪化物、タングステン炭化物、ルテニウム、およびルテニウム酸化物よりなる群から選ばれる1種以上の膜より形成されていることを特徴とする請求項4に記載の半導体装置。
  7. 上記第一銅金属と上記第二銅金属はそれぞれ、シード層と上記シード層上に形成された銅層により構成されていることを特徴とする請求項4に記載の半導体装置。
  8. 上記第二銅配線上及び上記第二層間絶縁膜上に第二層がさらに形成されており、
    上記第二層は、
    上記第二銅配線上及び上記第二層間絶縁膜上に形成された第二絶縁膜と、
    上記第二絶縁膜上に形成された第三層間絶縁膜と、
    上記第二絶縁膜と上記第三層間絶縁膜を貫通するように設けられ、上記第二銅配線を露出するように設けられた第四ホールと、
    上記第三層間絶縁膜内に形成され、上記第四ホールと連通する第二溝と、
    上記第二溝の側面、上記第二溝の底面、上記第四ホールの側面及び上記第四ホールの底面に形成された第四バリアメタルと、
    上記第四バリアメタル上に形成された第三銅金属とを有し、
    上記第四ホール内の上記第四バリアメタルと上記第三銅金属により第二ビアが形成され、上記第二溝内の上記第四バリアメタルと上記第三銅金属により第三銅配線が形成され、
    上記第四ホールの底面の上記第四バリアメタルは露出した上記第二銅配線と接触しており、
    上記第四ホールの底面の上記第四バリアメタルは、上記第二絶縁膜と第二銅配線との接触面よりも高い位置に存在することを特徴とする請求項4に記載の半導体装置。
  9. 上記第四ホールの径よりも上記第一ホールの径の方が小さいことを特徴とする請求項8記載の半導体装置。
  10. 上記第一絶縁膜はSiCN、SiC又はSiCOのうちのいずれかを材料とすることを特徴とする請求項4記載の半導体装置。
JP2005165252A 2004-06-10 2005-06-06 半導体装置 Active JP4832807B2 (ja)

Priority Applications (8)

Application Number Priority Date Filing Date Title
JP2005165252A JP4832807B2 (ja) 2004-06-10 2005-06-06 半導体装置
US11/148,307 US7192871B2 (en) 2004-06-10 2005-06-09 Semiconductor device with a line and method of fabrication thereof
US11/676,951 US7709955B2 (en) 2004-06-10 2007-02-20 Semiconductor device with a line and method of fabrication thereof
US11/676,962 US7709388B2 (en) 2004-06-10 2007-02-20 Semiconductor device with a line and method of fabrication thereof
US12/730,039 US7936069B2 (en) 2004-06-10 2010-03-23 Semiconductor device with a line and method of fabrication thereof
US13/052,712 US8222146B2 (en) 2004-06-10 2011-03-21 Semiconductor device with a line and method of fabrication thereof
US13/419,053 US8432037B2 (en) 2004-06-10 2012-03-13 Semiconductor device with a line and method of fabrication thereof
US13/867,733 US8749064B2 (en) 2004-06-10 2013-04-22 Semiconductor device with a line and method of fabrication thereof

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2004172500 2004-06-10
JP2004172500 2004-06-10
JP2005165252A JP4832807B2 (ja) 2004-06-10 2005-06-06 半導体装置

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2008125029A Division JP4786680B2 (ja) 2004-06-10 2008-05-12 半導体装置の製造方法

Publications (3)

Publication Number Publication Date
JP2006024905A JP2006024905A (ja) 2006-01-26
JP2006024905A5 JP2006024905A5 (ja) 2008-06-26
JP4832807B2 true JP4832807B2 (ja) 2011-12-07

Family

ID=35459691

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005165252A Active JP4832807B2 (ja) 2004-06-10 2005-06-06 半導体装置

Country Status (2)

Country Link
US (5) US7192871B2 (ja)
JP (1) JP4832807B2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9553121B2 (en) 2014-08-28 2017-01-24 Renesas Electronics Corporation Semiconductor device and method of manufacturing the same

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6764940B1 (en) 2001-03-13 2004-07-20 Novellus Systems, Inc. Method for depositing a diffusion barrier for copper interconnect applications
US7842605B1 (en) * 2003-04-11 2010-11-30 Novellus Systems, Inc. Atomic layer profiling of diffusion barrier and metal seed layers
US8298933B2 (en) * 2003-04-11 2012-10-30 Novellus Systems, Inc. Conformal films on semiconductor substrates
JP4786680B2 (ja) * 2004-06-10 2011-10-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8432037B2 (en) 2004-06-10 2013-04-30 Renesas Electronics Corporation Semiconductor device with a line and method of fabrication thereof
JP4832807B2 (ja) 2004-06-10 2011-12-07 ルネサスエレクトロニクス株式会社 半導体装置
US20060009030A1 (en) * 2004-07-08 2006-01-12 Texas Instruments Incorporated Novel barrier integration scheme for high-reliability vias
DE102005024914A1 (de) * 2005-05-31 2006-12-07 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Ausbilden elektrisch leitfähiger Leitungen in einem integrierten Schaltkreis
US7727888B2 (en) * 2005-08-31 2010-06-01 International Business Machines Corporation Interconnect structure and method for forming the same
JP2007109736A (ja) * 2005-10-11 2007-04-26 Nec Electronics Corp 半導体装置およびその製造方法
JP2007109894A (ja) * 2005-10-13 2007-04-26 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP2007180407A (ja) * 2005-12-28 2007-07-12 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
JP5014632B2 (ja) * 2006-01-13 2012-08-29 ルネサスエレクトロニクス株式会社 半導体装置および半導体装置の製造方法
US7528066B2 (en) * 2006-03-01 2009-05-05 International Business Machines Corporation Structure and method for metal integration
DE102006035645B4 (de) * 2006-07-31 2012-03-08 Advanced Micro Devices, Inc. Verfahren zum Ausbilden einer elektrisch leitfähigen Leitung in einem integrierten Schaltkreis
US7510634B1 (en) 2006-11-10 2009-03-31 Novellus Systems, Inc. Apparatus and methods for deposition and/or etch selectivity
US20080128907A1 (en) * 2006-12-01 2008-06-05 International Business Machines Corporation Semiconductor structure with liner
JP5154789B2 (ja) * 2006-12-21 2013-02-27 ルネサスエレクトロニクス株式会社 半導体装置並びに半導体装置の製造方法
KR100790452B1 (ko) * 2006-12-28 2008-01-03 주식회사 하이닉스반도체 다마신 공정을 이용한 반도체 소자의 다층 금속배선형성방법
US7682966B1 (en) 2007-02-01 2010-03-23 Novellus Systems, Inc. Multistep method of depositing metal seed layers
JP5127251B2 (ja) * 2007-02-01 2013-01-23 パナソニック株式会社 半導体装置の製造方法
US7859113B2 (en) * 2007-02-27 2010-12-28 International Business Machines Corporation Structure including via having refractory metal collar at copper wire and dielectric layer liner-less interface and related method
US8030778B2 (en) * 2007-07-06 2011-10-04 United Microelectronics Corp. Integrated circuit structure and manufacturing method thereof
JP2009049178A (ja) * 2007-08-20 2009-03-05 Rohm Co Ltd 半導体装置
US8354751B2 (en) * 2008-06-16 2013-01-15 International Business Machines Corporation Interconnect structure for electromigration enhancement
US7951708B2 (en) * 2009-06-03 2011-05-31 International Business Machines Corporation Copper interconnect structure with amorphous tantalum iridium diffusion barrier
JP5754209B2 (ja) * 2011-03-31 2015-07-29 大日本印刷株式会社 半導体装置の製造方法
US8791014B2 (en) * 2012-03-16 2014-07-29 Globalfoundries Inc. Methods of forming copper-based conductive structures on semiconductor devices
US9842765B2 (en) * 2015-03-16 2017-12-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US10170358B2 (en) * 2015-06-04 2019-01-01 International Business Machines Corporation Reducing contact resistance in vias for copper interconnects
US10153351B2 (en) * 2016-01-29 2018-12-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
CN107564850B (zh) * 2016-07-01 2020-07-07 中芯国际集成电路制造(北京)有限公司 互连结构及其制造方法
JP6934726B2 (ja) * 2017-01-27 2021-09-15 Sppテクノロジーズ株式会社 スパッタエッチング用マスク、スパッタエッチング方法及びスパッタエッチング装置
CN107104120B (zh) * 2017-05-24 2019-03-15 成都线易科技有限责任公司 磁感应器件及制造方法
US10249534B2 (en) * 2017-05-30 2019-04-02 Globalfoundries Inc. Method of forming a contact element of a semiconductor device and contact element structure
US10818545B2 (en) * 2018-06-29 2020-10-27 Sandisk Technologies Llc Contact via structure including a barrier metal disc for low resistance contact and methods of making the same
CN110797298A (zh) * 2018-08-03 2020-02-14 群创光电股份有限公司 电子装置及其制备方法
US10685876B2 (en) * 2018-09-18 2020-06-16 International Business Machines Corporation Liner and cap structures for reducing local interconnect vertical resistance without compromising reliability
US11121025B2 (en) * 2018-09-27 2021-09-14 Taiwan Semiconductor Manufacturing Company, Ltd. Layer for side wall passivation
CN110718504B (zh) * 2019-09-02 2022-07-29 长江存储科技有限责任公司 插塞结构、三维存储器的形成方法和三维存储器
KR20210036113A (ko) 2019-09-25 2021-04-02 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US11424185B2 (en) 2019-12-30 2022-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US20220336269A1 (en) * 2021-04-15 2022-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Homogeneous source/drain contact structure

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1109955A (en) * 1914-03-06 1914-09-08 Arthur C Barrett Expansion-bolt.
JPH0714836A (ja) 1993-06-17 1995-01-17 Kawasaki Steel Corp 多層配線構造の半導体装置
JP3027951B2 (ja) * 1997-03-12 2000-04-04 日本電気株式会社 半導体装置の製造方法
JP3660799B2 (ja) * 1997-09-08 2005-06-15 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
JP2000012684A (ja) * 1998-06-18 2000-01-14 Sony Corp 金属層の形成方法
US6287977B1 (en) 1998-07-31 2001-09-11 Applied Materials, Inc. Method and apparatus for forming improved metal interconnects
JP3317279B2 (ja) 1998-08-17 2002-08-26 セイコーエプソン株式会社 半導体装置の製造方法
JP2000114261A (ja) 1998-10-02 2000-04-21 Seiko Epson Corp 半導体装置とその製造方法
JP2001077195A (ja) 1999-09-07 2001-03-23 Sony Corp 半導体装置
JP2002064138A (ja) 2000-08-18 2002-02-28 Hitachi Ltd 半導体集積回路装置およびその製造方法
JP2002064139A (ja) * 2000-08-18 2002-02-28 Hitachi Ltd 半導体装置の製造方法
US6498091B1 (en) * 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer
US6436814B1 (en) * 2000-11-21 2002-08-20 International Business Machines Corporation Interconnection structure and method for fabricating same
US6613664B2 (en) * 2000-12-28 2003-09-02 Infineon Technologies Ag Barbed vias for electrical and mechanical connection between conductive layers in semiconductor devices
KR100385227B1 (ko) * 2001-02-12 2003-05-27 삼성전자주식회사 구리 다층 배선을 가지는 반도체 장치 및 그 형성방법
US6607977B1 (en) * 2001-03-13 2003-08-19 Novellus Systems, Inc. Method of depositing a diffusion barrier for copper interconnect applications
JP2002299436A (ja) * 2001-03-29 2002-10-11 Toshiba Corp 半導体装置およびその製造方法
JP2003249547A (ja) * 2002-02-22 2003-09-05 Mitsubishi Electric Corp 配線間の接続構造及びその製造方法
US20030203615A1 (en) * 2002-04-25 2003-10-30 Denning Dean J. Method for depositing barrier layers in an opening
US6949461B2 (en) 2002-12-11 2005-09-27 International Business Machines Corporation Method for depositing a metal layer on a semiconductor interconnect structure
JP2004342702A (ja) * 2003-05-13 2004-12-02 Nec Electronics Corp 半導体装置及び半導体装置の製造方法
JP2005050903A (ja) * 2003-07-30 2005-02-24 Toshiba Corp 半導体装置およびその製造方法
JP4278481B2 (ja) * 2003-10-23 2009-06-17 株式会社ルネサステクノロジ 半導体装置の製造方法
US20050173799A1 (en) * 2004-02-05 2005-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure and method for its fabricating
JP4832807B2 (ja) 2004-06-10 2011-12-07 ルネサスエレクトロニクス株式会社 半導体装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9553121B2 (en) 2014-08-28 2017-01-24 Renesas Electronics Corporation Semiconductor device and method of manufacturing the same

Also Published As

Publication number Publication date
JP2006024905A (ja) 2006-01-26
US20070141831A1 (en) 2007-06-21
US20110171828A1 (en) 2011-07-14
US7709955B2 (en) 2010-05-04
US20050275110A1 (en) 2005-12-15
US20070138532A1 (en) 2007-06-21
US7936069B2 (en) 2011-05-03
US20100176511A1 (en) 2010-07-15
US7709388B2 (en) 2010-05-04
US7192871B2 (en) 2007-03-20
US8222146B2 (en) 2012-07-17

Similar Documents

Publication Publication Date Title
JP4832807B2 (ja) 半導体装置
US7514354B2 (en) Methods for forming damascene wiring structures having line and plug conductors formed from different materials
TWI402936B (zh) 用於金屬集成之新穎結構及其製造方法
JP4918778B2 (ja) 半導体集積回路装置の製造方法
US7439624B2 (en) Enhanced mechanical strength via contacts
US8749064B2 (en) Semiconductor device with a line and method of fabrication thereof
US8466055B2 (en) Semiconductor device and method of manufacturing semiconductor device
US20070059919A1 (en) Method of manufacturing semiconductor device
JP4764606B2 (ja) 半導体装置およびその製造方法
JP2010258215A (ja) 半導体装置及び半導体装置の製造方法
JP2009026989A (ja) 半導体装置及び半導体装置の製造方法
KR100660915B1 (ko) 반도체 소자의 배선 형성 방법
CN110707066A (zh) 一种内连线结构及其制备方法
JP2007294625A (ja) 半導体装置の製造方法
JP2007115980A (ja) 半導体装置及びその製造方法
JP2010507236A (ja) 半導体デバイスおよび相互接続構造体の形成方法
KR101141214B1 (ko) 반도체 소자의 금속 배선 형성 방법
JP4786680B2 (ja) 半導体装置の製造方法
JP2007335578A (ja) 半導体装置及びその製造方法
JP5310721B2 (ja) 半導体装置とその製造方法
JP2007194566A (ja) 半導体装置およびその製造方法
KR100720402B1 (ko) 듀얼 다마센 공정을 이용한 금속 배선 형성 방법
US7727885B2 (en) Reduction of punch-thru defects in damascene processing
JP2009170665A (ja) 半導体装置および半導体装置の製造方法
KR20100073779A (ko) 반도체 소자의 금속배선 및 그 제조 방법

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080512

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080512

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100331

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100511

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20100518

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100712

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110628

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110822

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110913

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110921

R150 Certificate of patent or registration of utility model

Ref document number: 4832807

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140930

Year of fee payment: 3

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350