CN101390204A - 用于金属集成的新颖结构和方法 - Google Patents

用于金属集成的新颖结构和方法 Download PDF

Info

Publication number
CN101390204A
CN101390204A CNA2007800066774A CN200780006677A CN101390204A CN 101390204 A CN101390204 A CN 101390204A CN A2007800066774 A CNA2007800066774 A CN A2007800066774A CN 200780006677 A CN200780006677 A CN 200780006677A CN 101390204 A CN101390204 A CN 101390204A
Authority
CN
China
Prior art keywords
layer
diffusion impervious
conductive fill
semiconductor structure
medium material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2007800066774A
Other languages
English (en)
Other versions
CN101390204B (zh
Inventor
杨智超
T·A·斯普纳
O·范德斯特拉滕
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN101390204A publication Critical patent/CN101390204A/zh
Application granted granted Critical
Publication of CN101390204B publication Critical patent/CN101390204B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32131Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by physical means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

提供了一种互连结构及其制造方法,所述互连结构包括在过孔开口中的一个的底部处的刨削特征。根据本发明,形成所述互连结构的方法既不会损坏在上覆的线路开口中的淀积的扩散阻挡层的覆盖,也不会将Ar溅射引起的损伤引入到包括过孔和线路开口的介质材料中。根据本发明,这样的互连结构只在所述过孔开口内而不在所述上覆的线路开口中包含扩散阻挡层。该特征增强了所述过孔开口区域周围的机械强度和扩散特性而不会减小在所述线路开口内部的导体的体积分数。根据本发明,通过在形成所述线路开口和在所述线路开口中淀积扩散阻挡层之前在所述过孔开口底部提供刨削特征,来获得这样的互连结构。

Description

用于金属集成的新颖结构和方法
技术领域
本发明涉及一种半导体结构及其制造方法。更具体而言,本发明涉及包含在位于过孔开口上的线路开口内的连续扩散阻挡层的互连结构,以及制造这样的半导体结构的方法。在位于所述过孔开口之下的导电特征中提供了刨削(gouging)特征之后,形成所述连续扩散阻挡层。因此,在形成刨削特征期间不会将损伤引入到介质材料中。
背景技术
通常,半导体器件包括在半导体衬底上制造的形成集成电路的多个电路。通常要布线信号通路的复杂网络,以连接分布在衬底表面上的电路元件。跨器件有效地路由这些信号需要形成多级或多层方案,例如,单或双镶嵌布线结构。在典型互连结构内,金属过孔垂直于半导体衬底延伸,而金属线路平行于半导体衬底延伸。
伴随着成百上千万的器件和电路挤在半导体芯片上,布线密度和金属层数都逐代增加。为了提供用于高信号速度的低RC,具有低于二氧化硅的介电常数的低k介质材料和含铜线路日益变得必要。通过常规镶嵌工艺形成的薄金属布线和销(stud)的质量对于确保成品率和可靠性至关重要。今天,这个领域遇到的主要问题是在低k介质材料中嵌入的深亚微米金属销的弱机械完整性,这会在互连结构中引起不满意的热循环和应力迁徙电阻。当使用新的金属化方法或多孔低k介质材料时,这个问题变得更加严重。
为了在互连结构中采用铜镶嵌和低k介质材料时解决弱机械强度的问题,半导体工业采用了所谓的“过孔穿通”技术。过孔穿通在互连结构内提供了过孔刨削特征(或锚定(anchoring)区域)。据报道,这样的过孔刨削特征用于获得接触销的合理的接触电阻以及增加的机械强度。例如,M.-Si.Liang“Challenges in Cu/Low k integration”IEEE Int.ElectronDevices Meeting 313(2004),D.Edelstein等“Comprehensive ReliabilityEvaluation of a 90nm CMOS Technology with Cu/PECVD Low k BEOL”IEEE Int.Reliability Physics Symp.,316(2004)以及Chang等的美国专利N0.4,184,909、Simon等的美国专利N0.5,933,753、Geffken等的美国专利N0.5,985,762、Uzoh等的美国专利N0.6,429,519以及Yang等的美国专利N0.6,784,105中都报道了这些发现。
然而,在现有技术中用于形成过孔刨削的氩溅射技术不仅从沟槽(即,线路开口)底部去除了淀积的衬里材料例如TaN,而且还损伤了低k介质材料。因为需要产生刨削特征,最终的互连结构不仅在沟槽底部具有弱的衬里覆盖,而且由于Ar溅射会在低k介质材料中引入了严重的损伤。对于先进的芯片制造,这降低了成品率并影响到可靠性。
图1A-1E示例了用于过孔刨削的现有技术方法的详细的工艺步骤,并将在下文中描述这些步骤。参考图1A,图1A示例了在双镶嵌构图位于下互连层100的顶上的上互连层108之后所形成的现有技术结构。下互连层100包括第一低k介质材料102,在第一低k介质材料102中包括金属(Cu)特征104。下互连层100通过覆层106与上互连层108部分地隔离。上互连层108包括第二低k介质材料110,其包括位于其中的线路112和过孔114。如图中1A所示,过孔开口114之下的下互连层100的金属特征104的表面是暴露的。
图1B示出了在整个暴露的表面上形成扩散阻挡层116例如TaN之后的图1A的现有结构。然后,例如图1C中所示,实施氩溅射以清洁过孔开口114内的底部水平表面并将刨削特征(即,锚定区域)118形成到下互连层100的金属特征104中。采用刨削特征118以提高示出的各个互连层之间的互连强度。在Ar溅射处理期间,从每个线路开口112的底部去除扩散阻挡层116,并在每一个线路开口112的底部形成介质损伤120(在第二低k介质材料110中通过圆圈表示)。在溅射处理期间形成的介质损伤120是由于现有溅射工艺的固有侵蚀特性所造成的。
图1D示出了在其暴露的表面上形成例如Ta、Ru、Ir、Rh或Pt的金属衬里层122之后的图1C的现有技术结构。图1E示例了在使用例如铜的导电金属124填充线路和过孔开口(分别为112和114)并平坦化之后的现有技术结构。如图1E中所示,现有技术结构在金属填充的线路的底部具有差的扩散阻挡层116覆盖(由参考数字126指示),而且作为在第二低k介质材料110内形成的损伤120的结果,现有技术结构的特征底部粗糙。这些特性降低了扩散阻挡层116的质量并降低了整个布线的可靠性。此外,上述两个特性导致该结构呈现出高水平的金属至金属的泄漏。
已经开发出了多孔超低k介质材料(具有约2.8或更小的介电常数)并将其用于互连结构作为一种层间介质。当与致密(即,非多孔)低k介质相比较时,氩溅射损伤对测试的大多数超低k介质材料的影响更大,这使得集成当前的金属化方法(例如,参看图1A-1E)与超低k介质材料几乎不可能。结果,在阻挡层集成测试期间,无法使用所有的当前的超低k硬件。图2中示出了具有在超低k介质中的Cu互连的现有互连结构的扫描电子显微(SEM)截面图。该SEM图中所包括的箭头指出了在Ar溅射期间在超低k介质材料中形成的损伤。
鉴于现有技术互连结构的上述缺点,尤其在包括作为层间介质材料中的一种的多孔超低k介质的互连结构中,持续需要开发一种新的并且改善的集成方案,其能够避免从在介质材料(包括低k和超低k)中形成的线路开口的水平表面去除扩散阻挡层,由此不会将损伤引入到介质材料中。
发明内容
本发明提供了一种在过孔开口的底部包括刨削特征的互连结构及其制造方法。本发明的方法既不会损坏在上覆的线路开口中的淀积的扩散阻挡层的覆盖,也不会将Ar溅射引起的损伤引入到包括过孔和线路开口的介质材料中。根据本发明,通过在形成线路开口和在线路开口中淀积扩散阻挡层之前在过孔开口底部提供刨削特征,来获得这样的互连结构。
由于扩散阻挡层覆盖在本发明的互连结构的线路区域内是连续的而且没有将损伤引入到互连介质材料中,因此本发明的互连结构比利用图1A-1E中所示出的处理流程所制造的现有互连结构具有更高的布线可靠性和更低水平的金属至金属泄漏。
在本发明的一个实施例中,本发明提供了一种半导体结构,包括:
下互连层,包括具有嵌入其中的至少一个导电特征的第一介质材料;
介质覆层,位于所述第一介质材料上和所述至少一个导电特征的一些但并非所有的部分上;以及
上互连层,包括具有设置于其中的至少一个导电填充的过孔和上覆的导电填充的线路,其中所述导电填充的过孔通过锚定区域接触所述第一互连层的所述至少一个导电特征的暴露的表面,
所述导电填充的过孔通过第一扩散阻挡层与所述第二介质材料分离,以及
所述导电填充的线路通过第二连续扩散阻挡层与所述第二介质材料分离,由此所述第二介质材料包括在邻近所述导电填充的线路的区域中的无损伤区域。
在本发明的优选实施例中,所述互连结构包括使用Cu或含Cu的合金填充的过孔和线路,以及所述第一和第二介质材料是具有约2.8或更小的介电常数的相同或不同的多孔介质材料。
在本发明的另一实施例中,本发明提供了一种半导体结构,包括:
下互连层,包括具有嵌入其中的至少一个导电特征的第一介质材料;
介质覆层,位于所述第一介质材料上和所述至少一个导电特征的一些但并非所有的部分上;以及
上互连层,包括具有设置于其中的至少一个导电填充的过孔和上覆的导电填充的线路,其中所述导电填充的过孔通过锚定区域接触所述第一互连层中的所述至少一个导电特征的暴露的表面,
金属界面层,位于所述锚定区域的表面处并与所述导电填充的过孔接触,
所述导电填充的过孔通过第一扩散阻挡层与所述第二介质材料分离,以及
所述导电填充的线路通过第二连续扩散阻挡层与所述第二介质材料分离,由此所述第二介质材料包括在邻近所述导电填充的线路的区域中的无损伤区域。
除了提供上述半导体结构之外,本发明还提供了制造上述半导体结构的方法。在本发明的一个实施例中,所述方法包括以下步骤:
提供包括下互连层和上互连层和在所述上互连层的表面上的构图的硬掩模的初始互连结构,所述下互连层包括具有嵌入其中的至少一个导电特征的第一介质层,所述上互连层包括具有至少一个过孔开口的第二介质,所述至少一个过孔开口暴露了位于所述下互连层顶部的所述至少一个导电特征的一部分,所述下和上互连层通过介质覆层部分地分离;
在所述初始互连结构的所有暴露的表面上形成第一阻挡层;
在位于所述过孔开口的底部的所述至少一个导电特征中形成穿通刨削特征;
在所述至少一个过孔开口之上延伸的所述第二介质材料内形成至少一个线路开口;
至少在所述至少一个线路开口内形成第二连续扩散阻挡层;
在所述至少一个线路开口和所述至少一个过孔开口内形成附着/镀敷种子层;以及
使用导电材料填充所述至少一个线路开口和所述至少一个过孔开口。
在本发明的优选实施例中,本发明的方法包括使用Cu或含Cu的合金填充所述过孔和线路,并使用具有约2.8或更小的介电常数的多孔介质材料作为所述第一和第二介质材料。
在本发明的另一实施例中,所述方法包括以下步骤:
提供包括下互连层和上互连层和在所述上互连层的表面上的构图的硬掩模的初始互连结构,所述下互连层包括具有嵌入其中的至少一个导电特征的第一介质层,所述上互连层包括具有至少一个过孔开口的第二介质,所述至少一个过孔开口暴露了位于所述下互连层顶部的所述至少一个导电特征的一部分,所述下和上互连层通过介质覆层部分地分离;
在所述初始互连结构的所有暴露的表面上形成第一阻挡层;
在位于所述过孔开口的底部的所述至少一个导电特征中形成穿通刨削特征;
在所述刨削特征的顶上形成金属界面层;
在所述至少一个过孔开口之上延伸的所述第二介质材料内形成至少一个线路开口;
从所述至少一个线路开口和所述至少一个过孔开口去除蚀刻残余物;
至少在所述至少一个线路开口内形成第二连续扩散阻挡层;
在所述至少一个线路开口和所述至少一个过孔开口内形成附着/镀敷种子层;以及
使用导电材料填充所述至少一个线路开口和所述至少一个过孔开口。
附图说明
图1A-1E为示意图(通过截面视图),示例了在形成互连结构的现有技术中所使用的基础处理步骤;
图2为SEM(扫描电子显微)图像(通过截面视图),示例了具有在超低k介质中的Cu(铜)互连的现有互连结构;
图3为示意图(通过截面视图),示例了在使用上互连层形成过孔接触开口(此后过孔开口)之后的本发明的初始结构;
图4为示意图(通过截面视图),示例了至少在过孔开口内形成第一扩散阻挡层之后的图3的结构。
图5A为示意图(通过截面视图),示例了溅射之后图4的结构,该溅射从过孔接触开口的底部去除第一扩散阻挡层并穿通到导电特征中在其中形成刨削特征;图5B示出了本发明的一个可选的实施例,其中为图5A所示的结构提供了金属界面层;
图6为示意图(通过截面视图),示例了形成了平坦化层、硬掩模和构图的光致抗蚀剂之后的图5A的结构;
图7为示意图(通过截面视图),示例了在上互连层内产生至少一个线路开口之后的图6的结构;
图8为示意图(通过截面视图),示例了在去除了在形成线路开口期间保护过孔开口的剩余的平坦化材料之后的图7的结构;
图9为示意图(通过截面视图),示例了在去除了过孔底部氧化物/残余物之后的图8的结构;
图10A-10B为示意图(通过截面视图),示例了在形成第二扩散阻挡层之后形成的图9的结构;
图11A-11B为示意图(通过截面视图),分别示例了在形成附着/镀敷种子层之后形成的图10A和图10B的结构;以及
图12A-12B为示意图(通过截面视图),分别示例了在金属填充和平坦化之后形成的图11A和图11B的结构。
具体实施方式
本发明提供了一种包括刨削的过孔特征(即,锚定的过孔底部)的互连结构及其制造方法,现在将参考伴随本申请的下面的讨论和附图详细地描述本发明。提供下面详细描述的本申请的附图用于说明性目的,因此,未按比例绘制。
本发明的工艺流程开始于提供图3中所示的初始互连结构10。具体而言,图3中所示的初始互连结构10包括多层互连,该多层互连包括通过介质覆层14部分分离的下互连层12和上互连层16。可以位于包括一个或多个半导体器件的半导体衬底(未示出)之上的下互连层12包括第一介质材料18,第一介质材料18具有至少一个通过阻挡层(未示出)与第一介质层18隔离的导电特征20(即,导电区域)。上互连层16包括具有位于其中的至少一个过孔开口26的第二介质材料24。如所示,至少一个过孔26暴露了导电特征20的一部分。在上互连层16的顶上是构图的硬掩模28。虽然通过图3中所示结构示例了单过孔开口26,但本发明还涵盖在第二介质材料24中形成暴露存在于第一介质材料18中的其它导电特征20的任意数目的这样的过孔开口。
利用本领域的技术人员公知的常规技术制造图3中所示的初始结构10。例如,可通过首先将第一介质材料18施加到衬底(未示出)表面形成初始互连结构。未示出的衬底包括半导体材料、绝缘材料、导电材料或其任何组合。当衬底包括半导电材料时,可以使用任何的半导体例如Si、SiGe、SiGeC、SiC、Ge合金、GaAs、InAs、InP和其它III/V或II/VI族化合物半导体。除了所列的这些半导电材料的类型,本发明还涵盖这样的情况,其中半导体衬底是分层的半导体例如Si/SiGe、Si/SiC、绝缘体上硅(SOI)或绝缘体上锗硅(SGOI)。
当衬底为绝缘材料时,绝缘材料可以是有机绝缘体、无机绝缘体或包括多层的其组合。当衬底为导电材料时,衬底包括,例如,多晶硅、元素金属、元素金属的合金、金属硅化物、金属氮化物或包括多层的其组合。当衬底包括半导电材料时,可以在其上制造一个或多个半导体器件例如互补金属氧化物半导体(CMOS)。
下互连层12的第一介质材料18包括任何层间或层内介质,其包括无机介质或有机介质。第一介质材料18可以是多孔的或非多孔的,在本发明的一些实施例中特别优选具有约2.8或更小的介电常数的多孔介质。可以用作第一介质材料18的适宜的介质的一些实例包括但不局限于:SiO2、倍半硅氧烷(silsesquixoanes)、包括Si、C、O和H原子的C掺杂的氧化物(即,有机硅酸盐)、热固化聚芳撑醚、或其多层。在本申请中使用的术语“聚芳撑(polyarylene)”代表通过化学键、稠环、或例如氧、硫、砜、亚砜、羰基等的惰性链接基团链接在一起的芳基部分或惰性替代的芳基部分。
第一介质材料18典型具有约4.0或更小的介电常数,而约2.8或更小的介电常数更为典型。这些介质相对于具有高于4.0的介电常数的介质材料来说,通常具有较低的寄生串扰。第一介质材料18的厚度可以依赖与使用的介质材料以及下互连层12内的介质的确切的数量而变化。典型地,对于一般的互连结构,第一介质材料18具有约200到约450nm的厚度。
下互连层12还具有嵌入(即,位于内部)在第一介质材料18内的至少一个导电特征20。导电特征20包括通过阻挡层(未示出)与第一介质材料18隔离的导电材料。通过光刻(即,给第一介质材料18的表面施加光致抗蚀剂,将光致抗蚀剂暴露到希望的辐射图形,并利用常规的抗蚀剂显影剂显影曝光的抗蚀剂),在第一介质材料18中蚀刻(干法蚀刻或湿法蚀刻)开口并使用阻挡层填充蚀刻的区域,然后使用导电材料形成导电区域,来形成导电特征20。通过例如原子层淀积(ALD)、化学气相淀积(CVD)、等离子体增强化学气相淀积(PECVD)、物理气相淀积(PVD)、溅射、化学溶液淀积、或镀敷等的淀积方法,形成阻挡层,该阻挡层包括Ta、TaN、Ti、TiN、Ru、RuN、W、WN或能够作为阻挡层来防止导电材料扩散通过的任何其它材料。
阻挡层的厚度可以依赖于淀积方法的具体方式和采用的材料而变化。典型地,阻挡层具有约4到约40nm的厚度,约7到约20nm的厚度更为典型。
在形成阻挡层之后,使用导电材料填充第一介质材料18内的开口的剩余区域,以形成导电特征20。在形成导电特征20时所使用的导电材料包括,例如,多晶Si、导电金属、包括至少一种导电金属的合金、导电金属硅化物或其组合。优选地,用于形成导电特征20的导电材料为导电金属例如Cu、W或Al,在本发明中Cu或Cu合金(例如AlCu)更为优选。利用常规淀积方法将导电材料填充到第一介质材料18中的剩余的开口中,所述常规淀积方法包括但不局限于:CVD、PECVD、溅射、化学溶液淀积或镀敷。在淀积之后,可以使用例如化学机械抛光(CMP)的常规平坦化方法,来提供这样的结构,在该结构中阻挡层和导电特征20均具有与第一介质材料18的上表面基本上共面的上表面。
在形成至少一个导电特征20之后,利用例如CVD、PECVD、化学溶液淀积、或蒸发的常规淀积方法,在下互连层12的表面上形成均厚(blanket)介质覆层14。介质覆层14包括任何适宜的介质覆盖材料例如SiC、Si4NH3、SiO2、碳掺杂的氧化物、氮和氢掺杂的碳化硅SiC(N,H)或其多层。覆层14的厚度可以依赖于形成其的技术和构成层的材料而变化。通常,覆层14具有约15到约55nm的厚度,约25到约45nm的厚度更为典型。
接下来,通过将第二介质材料24施加到覆层14的上暴露表面,形成上互连层16。第二介质材料24包括与下互连层12的第一介质材料18相同或不同的介质材料,优选相同的材料。第一介质材料18的处理技术和厚度范围在这里也可以应用于第二介质材料24。第二介质材料24还可以包括两种不同的材料,即,首先淀积一种介质材料,接着淀积不同的介质材料。在本发明的一个实施例中,第二介质材料24包括两种不同的低k介质材料,由此上互连层16具有混合结构,其中随后填充的导电填充的线路嵌入在多孔介质材料中以及随后填充的过孔嵌入在致密(即,非多孔)介质材料中。在这样的实施例中,多孔低k介质具有约2.8或更小的介电常数,而致密多孔低k介质具有约4.0或更小的介电常数。
接下来,通过首先在第二介质材料24的上表面顶部形成均厚硬掩模材料,在第二介质材料24中形成至少一个过孔开口26。均厚硬掩模材料包括氧化物、氮化物、氧氮化物或包括其多层的任何组合。典型地,硬掩模材料为例如SiO2的氧化物或例如Si3N4的氮化物。利用例如CVD、PECVD、化学溶液淀积或蒸发的常规淀积方法形成均厚硬掩模材料。淀积后的(as-deposited)硬掩模材料的厚度可以依赖于所形成的硬掩模材料的类型、构成硬掩模材料的层的数目以及形成其所使用的淀积方法而变化。典型地,淀积后的硬掩模材料具有约10到约80nm的厚度,约20到约60nm的厚度更为典型。
在形成硬掩模材料的均厚层之后,利用例如CVD、PECVD、旋涂、化学溶液淀积或蒸发的常规淀积方法在硬掩模材料顶部形成光致抗蚀剂(未示出)。光致抗蚀剂可以为正影调(tone)材料、负影调材料或混合材料,其每一种对于本领域内的技术人员都是公知的。然后,光致抗蚀剂要经过光刻方法,其包括将光致抗蚀剂暴露到辐射的图形并利用常规抗蚀剂显影剂显影曝光的抗蚀剂。光刻步骤提供了在硬掩模材料的顶部的构图的光致抗蚀剂,其限定了过孔开口26的宽度。
在提供构图的光致抗蚀剂之后,利用一个或多个蚀刻工艺,将过孔图形转移到硬掩模材料中并且接着转移到介质材料24中。在将过孔图形转移到硬掩模之后,可以利用常规剥离工艺立即剥离构图的光致抗蚀剂,以形成构图的硬掩模28。可选地,可以在将过孔图形转移到第二介质材料24之后剥离构图的光致抗蚀剂。在转移过孔图形时使用的蚀刻可以包括干法蚀刻方法、湿法化学蚀刻方法或其结合。在此使用的术语“干法蚀刻”是指例如反应离子蚀刻、离子束蚀刻、等离子体蚀刻或激光烧蚀等的蚀刻技术。
在形成图3中所示的初始互连结构10之后,然后在初始互连结构的整个暴露的表面上形成扩散阻挡材料层(为了要求保护的本发明的目的,其涉及第一扩散阻挡层)30,以提供例如图4中示出的结构。如所示,扩散阻挡层材料30覆盖了构图的硬掩模28的暴露的表面、过孔开口26中的第二介质材料24的侧壁,以及导电特征20暴露的部分。根据本发明,扩散阻挡层材料30是薄层,其厚度通常在约0.5到约20nm的范围内,约1到约10nm的厚度更为典型。利用常规淀积方法包括但不局限于:CVD、PECVD、ALD或旋涂,形成扩散阻挡层材料层30。扩散阻挡层材料30包括例如TaN、Ta、Ti、TiN、RuTa、RuTaN、W、Ru或Ir的包含金属的材料、绝缘体例如SiO2、Si3N4、SiC、SiC(N,H),或其任何组合。
在形成扩散阻挡层材料30之后,图4中所示结构接着要经过Ar溅射工艺,其从过孔底部去除扩散阻挡层材料30并穿通下面的导电特征20,由此在导电特征20内形成刨削特征(或锚定区域)32。在Ar溅射方法期间,所得结构如图5A中所示。注意到,该溅射工艺同样去除了位于硬掩模28水平表面上的扩散阻挡层材料30。由于硬掩模28的保护,第二介质材料24在该工艺期间并未受到损伤。在形成刨削特征32时使用的Ar溅射方法包括典型地在互连技术中用于形成这样的特征的任何常规Ar溅射方法。示例地,可以利用下列非限制的条件进行Ar溅射:20sccm的Ar流量、温度25℃、400KHz和750W的顶部电极的偏置、13.6MHz和400W的台(table)偏置、以及0.6mtorr的工艺压力。尽管为了说明示出Ar,但是例如He、Ne、Xe、N2、H2、NH3、N2H2或其混合物的任何其它气体也可用于溅射工艺。
图5B示出了本发明的可选实施例,其中在图5A中示出的所有暴露的表面上形成了金属界面层34。利用包括,例如,CVD、PECVD、化学溶液淀积、蒸发、金属有机淀积、ALD、溅射、PVP或镀敷(无电镀或电镀)的任何常规淀积方法,形成金属界面层34。金属界面层34的厚度可以依赖于使用的确切的金属界面材料和形成界面层使用的淀积方法而变化。典型地,金属界面层具有约0.5到约40nm的厚度,约1到约10nm的厚度更为典型。金属界面层34包括例如Co、TaN、Ta、Ti、TiN、Ru、Ir、Au、Rh、Pt、Pd、或Ag的金属阻挡层材料。还涵盖这样的材料的合金。
接下来,淀积平坦化层36,以填充图5A和5B中所示出的结构的过孔开口26。利用包括例如CVD、PECVD、旋涂、蒸发或化学溶液淀积的常规淀积工艺淀积平坦化层36。平坦化层36包括常规的抗反射涂敷材料或玻璃纤维材料。如图6中所示,平坦化层36完全填充过孔开口26并过孔开口26之上在硬掩模28暴露的表面上(如图6中所示)或金属界面层34(未示出)的顶部上延伸。
除了平坦化层36之外,图6中所示结构还包括设置在平坦化层36的表面上的第二硬掩模38以及设置在第二硬掩模38的表面上的构图的光致抗蚀剂40。利用与形成硬掩模28时所描述的相同的处理技术形成第二硬掩模38,其包括与硬掩模28相关的上述硬掩模材料中的一种。通过淀积和光刻形成构图的光致抗蚀剂40,其包含具有线路开口的宽度的开口。
然后,使图6中所示出的结构经受能够形成图7中所示的结构的一个或多个蚀刻工艺。如该图所示,一个或多个蚀刻工艺在第二介质材料24中形成了线路开口42。根据本发明,线路开口42中的至少一个位于由剩余的平坦化层36保护的过孔开口26之上并与之相连。一个或多个蚀刻步骤依次去除了第二硬掩模38的暴露的部分、下伏的平坦化层36的部分、以及第二介质材料24的暴露的部分。在上述蚀刻步骤期间,典型地会消耗构图的光致抗蚀剂40和构图的第二硬掩模38。
图8示出了在剩余的平坦化层36被从过孔开口26内剥离之后图7的结构。利用化学湿法蚀刻方法或从结构中选择性地去除平坦化材料的化学灰化方法,进行剩余的平坦化层36的剥离。在本发明的一些实施例中,氧化物或蚀刻残余物会留在刨削特征32中。
在这样的情况下,可以利用包括湿法化学蚀刻方法和/或轻微Ar轰击的表面清洁方法从刨削特征32中去除氧化物或蚀刻残余物44。在这样的情况下,由于Ar轰击条件没有现有领域内形成刨削特征32中所使用的条件那样剧烈,因此不会发生损伤。典型地,相对于现有技术用于形成刨削特征的长于10秒的工艺时间,在本实例中,只用于表面清洁的工艺时间小于5秒。示例性地,可以利用下列非限制性的条件进行Ar溅射:20sccm的Ar气流量、温度25℃、400KHz和400W的顶部电极的偏置、13.6MHz和200W的台偏置、和0.6mtorr的工艺压力。尽管为了说明示出了Ar,但是例如He、Ne、Xe、N2、H2、NH3、N2H2或其混合物的任何其它气体也可用于溅射工艺。
在本发明的一些实施例中,从至少一个线路开口中和从至少一个过孔开口区域中去除蚀刻残余物。在一个实施例中,采用了包括O2、H2、N2、CO、CO2或NH3中的至少一种或其组合的等离子体蚀刻。在另一个实施例中,通过包括HF、HCl、H2SO4或HNO3中的一种或其组合的湿法清洁去除蚀刻残余物。图9示出了实施了该清洁工艺之后得到的结构。
图10A和10B示出了接下来可以形成的两种不同结构。图10A和10B中示出的结构都包括扩散阻挡层46(为了要求保护的本发明的目的,扩散阻挡层46代表第二扩散阻挡层)。如图10A中所示,扩散阻挡层46仅仅覆盖了线路开口42内的暴露的表面,而在图10B中扩散阻挡层46同时覆盖了线路开口42和过孔开口26内的暴露的表面。通过形成扩散阻挡层46时所用的淀积方法的条件和长度确定其覆盖范围。应该注意,在本发明的方法中,扩散阻挡层46在线路开口中连续地存在。
根据本发明,扩散阻挡层46包括Ta、TaN、Ti、TiN、Ru、RuN、RuTa、RuTaN、W、WN或可以作为阻挡层以防止导电材料扩散通过的任何其它材料。还可是考虑这些材料的组合,形成多层堆叠的扩散阻挡层。利用例如原子层淀积(ALD)、化学气相淀积(CVD)、等离子增强化学气相淀积(PECVD)、物理气相淀积(PVD)、溅射、化学溶液淀积、或镀敷的淀积方法,形成扩散阻挡层46。
扩散阻挡层46的厚度可以依赖于阻挡层内的材料层数、形成其使用的技术以及扩散阻挡层自身的材料而变化。通常,扩散阻挡层46具有约4到约40nm的厚度,约7到约20nm的厚度更为典型。
图11A和11B分别示出了接下来可以从图10A和10B中所示出的结构形成的两种不同的结构。图11A和11B中所示结构均包括附着/镀敷种子层48。
附着/镀敷种子层48包括来自元素周期表的VIIIA族的金属或金属合金。用于附着/镀敷种子层的适宜的VIIIA族元素的实例包括但不局限于:Ru、TaRu、Ir、Rh、Pt、Pd及其合金。在一些实施例中,优选使用Ru、Ir或Rh作为层48。
通过例如化学气相淀积(CVD)、等离子增强化学气相淀积(PECVD)、原子层淀积(ALD)、镀敷、溅射和物理气相淀积(PVD)的常规淀积方法,形成附着/镀敷种子层48。附着/镀敷种子层48的厚度可以依赖于包括例如附着/镀敷种子层48的构成材料和形成其使用的方法的多个因素而变化。通常,附着/镀敷种子层48具有约0.5到约10nm的厚度,小于6nm的厚度更为典型。
图12A和12B分别示出了可以从图11A和11B中所示出的结构形成的两种不同的互连结构。图12A和12B中所示出结构的均已使用互连导电材料50填充过孔和线路开口以及刨削特征32并平坦化。互连导电材料50包括与导电特征20的导电材料相同或不同的导电材料,优选相同的材料(附带说明,导电材料不是多晶硅)。优选地。使用Cu、Al、W或其合金,其中Cu或AlCu最为优选。利用与上述形成导电特征20时相同的淀积处理形成导电材料50,而且在淀积导电材料之后,该结构要经过平坦化。平坦化方法去除了位于上互连层16的第二低k介质材料24的顶部上的各种材料。
本申请的方法能够在图3-12B中所图示的层的顶部形成附加的互连层。各种互连层的均包括上述的刨削特征。
因为上述集成处理方案,在形成刨削特征32期间没有在第二介质材料24内形成损伤的区域。而且,本发明的集成工艺允许在金属线路区域内的具有均匀厚度(即,厚度变化小于2nm)的扩散阻挡层46的连续覆盖。由于扩散阻挡层46的覆盖在本发明的互连结构的线路区域内是连续的,而且没有在互连介质材料中引入损伤,由此本发明的互连结构比图1A-1E中所示出的互连结构具有改善的布线可靠性和更低水平的金属-金属泄漏。同样应该注意,扩散阻挡层材料30只存在于过孔开口26内,而不存在于线路开口42内。该特征同时增强了过孔开口区域周围的机械强度和扩散特性,而没有降低线路开口42内的导体50的体积分数(volume fraction)。还应该注意,在一些实施例中,在导电填充的过孔内的第一扩散阻挡层30和第二连续扩散阻挡层46的总扩散阻挡层厚度要比在导电填充的线路内的第二连续扩散阻挡层46的厚度大。
尽管参考其优选的实施例具体示出和描述了本发明,但本领域内的技术人员应该理解,在不脱离本发明的精神和范围的情况下可以做出在形式或细节上的上述或其它改变。因此,旨在本发明不局限于所描述和示例的精确的形式和细节,而是落入所附权利要求的范围内。

Claims (36)

1.一种半导体结构,包括:
下互连层,包括具有嵌入其中的至少一个导电特征的第一介质材料;
介质覆层,位于所述第一介质材料上和所述至少一个导电特征的一些但并非所有的部分上;以及
上互连层,包括具有设置于其中的至少一个导电填充的过孔和上覆的导电填充的线路,其中所述导电填充的过孔通过锚定区域接触所述第一互连层的所述至少一个导电特征的暴露的表面,
所述导电填充的过孔通过第一扩散阻挡层与所述第二介质材料分离,以及
所述导电填充的线路通过第二连续扩散阻挡层与所述第二介质材料分离,由此所述第二介质材料包括在邻近所述导电填充的线路的区域中的无损伤区域。
2.根据权利要求1的半导体结构,其中所述第一和第二介质材料包括具有约4.0或更小的介电常数的相同或不同的致密低k介质。
3.根据权利要求1的半导体结构,其中所述第一和第二介质材料包括具有约2.8或更小的介电常数的相同或不同的多孔低k介质。
4.根据权利要求1的半导体结构,其中所述第二介质材料包括两种不同的低k介质材料,以及所述上互连层具有这样的混合结构,在所述混合结构中所述导电填充的线路嵌入在多孔介质材料中以及所述导电填充的过孔嵌入在致密介质材料中。
5.根据权利要求4的半导体结构,其中所述多孔低k介质具有约2.8或更小的介电常数,以及所述致密多孔低k介质具有约4.0或更小的介电常数。
6.根据权利要求1的半导体结构,其中所述介质覆层包括SiC、Si4NH3、SiO2、碳掺杂的氧化物、氮和氢掺杂的碳化硅SiC(N,H)或其多层中的一种。
7.根据权利要求1的半导体结构,其中嵌入在所述第一介质材料内的所述至少一个导电特征包括Cu或含Cu的合金。
8.根据权利要求1的半导体结构,其中所述至少一个导电填充的过孔和所述至少一个上覆的导电填充的线路包括Cu或含Cu的合金。
9.根据权利要求1的半导体结构,其中所述第一扩散阻挡层包括含金属的材料例如TaN、Ta、Ti、TiN、RuTa、RuTaN、W、WN、Ru或Ir、绝缘体例如SiO2、Si3N4、SiC、SiC(N,H)、或其任何组合。
10.根据权利要求1的半导体结构,其中所述第一扩散阻挡层仅仅存在于所述至少一个导电填充的过孔中,而不存在于所述至少一个上覆的导电填充的线路中。
11.根据权利要求1的半导体结构,其中所述第二连续扩散阻挡层包括Ta、TaN、Ti、TiN、Ru、RuN、RuTa、RuTaN、W或WN。
12.根据权利要求1的半导体结构,其中所述第二连续扩散阻挡层不存在于所述导电填充的过孔中,而所述导电填充的过孔通过所述第一扩散阻挡层与所述第二介质材料分离。
13.根据权利要求1的半导体结构,其中所述第二连续扩散阻挡层还存在于所述第一扩散阻挡层顶上的所述导电填充的过孔中。
14.根据权利要求1的半导体结构,其中所述导电填充的过孔内的所述第一扩散阻挡层和所述第二连续扩散阻挡层的总的扩散阻挡层厚度比所述导电填充的线路内的所述第二连续扩散阻挡层的厚度大。
15.根据权利要求1的半导体结构,还包括位于所述至少一个导电填充的线路中的所述第二连续扩散阻挡层上和位于所述至少一个导电填充的过孔中的所述第一扩散阻挡层上的附着/镀敷种子层。
16.根据权利要求1的半导体结构,还包括位于所述至少一个导电填充的线路中的所述第二连续扩散阻挡层上和位于所述至少一个导电填充的过孔中的所述第二扩散阻挡层上的附着/镀敷种子层。
17.根据权利要求15的半导体结构,其中所述附着/镀敷种子层包括Ru、TaRu、Ir、Rh、Pt、Pd、Ta、Cu或其合金中的一种或组合。
18.根据权利要求1的半导体结构,还包括在所述锚定区域内的金属界面层。
19.根据权利要求18的半导体结构,其中所述金属界面层包括Co、TaN、Ta、Ti、TiN、Ru、Ir、Au、Rh、Pt、Pd、Ag或其合金。
20.一种半导体结构,包括:
下互连层,包括具有嵌入其中的至少一个导电特征的第一介质材料;
介质覆层,位于所述第一介质材料上和所述至少一个导电特征的一些但并非所有的部分上;以及
上互连层,包括具有设置于其中的至少一个导电填充的过孔和上覆的导电填充的线路,其中所述导电填充的过孔通过锚定区域接触所述第一互连层中的所述至少一个导电特征的暴露的表面,
金属界面层,位于所述锚定区域的表面处并与所述导电填充的过孔接触,
所述导电填充的过孔通过第一扩散阻挡层与所述第二介质材料分离,以及
所述导电填充的线路通过第二连续扩散阻挡层与所述第二介质材料分离,由此所述第二介质材料包括在邻近所述导电填充的线路的区域中的无损伤区域。
21.根据权利要求20的半导体结构,其中所述金属界面层包括Co、TaN、Ta、Ti、TiN、Ru、Ir、Au、Rh、Pt、Pd、Ag或其合金中的一种或组合。
22.一种制造半导体结构的方法,包括以下步骤:
提供包括下互连层和上互连层和在所述上互连层的表面上的构图的硬掩模的初始互连结构,所述下互连层包括具有嵌入其中的至少一个导电特征的第一介质层,所述上互连层包括具有至少一个过孔开口的第二介质,所述至少一个过孔开口暴露了位于所述下互连层顶部的所述至少一个导电特征的一部分,所述下和上互连层通过介质覆层部分地分离;
在所述初始互连结构的所有暴露的表面上形成第一阻挡层;
在位于所述过孔开口的底部的所述至少一个导电特征中形成穿通刨削特征;
在所述至少一个过孔开口之上延伸的所述第二介质材料内形成至少一个线路开口;
至少在所述至少一个线路开口内形成第二连续扩散阻挡层;
在所述至少一个线路开口和所述至少一个过孔开口内形成附着/镀敷种子层;以及
使用导电材料填充所述至少一个线路开口和所述至少一个过孔开口。
23.根据权利要求22的方法,其中提供所述初始互连结构包括在所述第一介质材料内形成至少一个导电特征,在所述第一介质材料上形成均厚介质覆层,在所述均厚介质覆层上形成所述第二介质材料,在所述第二介质材料上形成具有过孔图形的构图的硬掩模,以及将所述过孔图形转移到所述第二介质材料和所述均厚介质覆层中。
24.根据权利要求22的方法,其中形成所述第一阻挡层包括淀积含金属的材料或绝缘材料。
25.根据权利要求22的方法,其中形成所述穿通刨削特征包括气体溅射,所述气体溅射包括Ar、He、Ne、Xe、N2、H2、NH3、N2H2或其混合物中的一种。
26.根据权利要求22的方法,其中形成所述至少一个线路开口包括使用平坦化材料填充所述至少一个过孔开口,所述平坦化材料在所述过孔开口之上延伸,在所述平坦化材料上形成第二硬掩模,在所述第二硬掩模上形成具有线路图形的构图的光致抗蚀剂,以及将所述线路图形转移到所述第二硬掩模和所述第二介质材料的上部。
27.根据权利要求22的方法,其中所述第二连续扩散阻挡层还存在于所述至少一个过孔开口中。
28.根据权利要求22的方法,其中通过淀积Ta、TaN、Ti、TiN、Ru、RuN、RuTa、RuTaN、W或WN中的至少一种形成所述第二连续扩散阻挡层。
29.根据权利要求22的方法,其中形成所述附着/镀敷种子层包括淀积Ru、TaRu、Ir、Rh、Pt、Pd、Cu或其合金中的一种或组合。
30.根据权利要求22的方法,其中填充所述至少一个线路开口和所述至少一个过孔开口包括淀积Cu、Al、W或其合金中的至少一种。
31.根据权利要求22的方法,还包括在使用所述导电材料填充之后的平坦化步骤,其中所述平坦化步骤提供了具有与所述第二介质材料的上表面共面的上表面的导电填充的线路。
32.一种制造半导体结构的方法,包括以下步骤:
提供包括下互连层和上互连层和在所述上互连层的表面上的构图的硬掩模的初始互连结构,所述下互连层包括具有嵌入其中的至少一个导电特征的第一介质层,所述上互连层包括具有至少一个过孔开口的第二介质,所述至少一个过孔开口暴露了位于所述下互连层顶部的所述至少一个导电特征的一部分,所述下和上互连层通过介质覆层部分地分离;
在所述初始互连结构的所有暴露的表面上形成第一阻挡层;
在位于所述过孔开口的底部的所述至少一个导电特征中形成穿通刨削特征;
在所述刨削特征的顶上形成金属界面层;
在所述至少一个过孔开口之上延伸的所述第二介质材料内形成至少一个线路开口;
从所述至少一个线路开口和所述至少一个过孔开口去除蚀刻残余物;
至少在所述至少一个线路开口内形成第二连续扩散阻挡层;
在所述至少一个线路开口和所述至少一个过孔开口内形成附着/镀敷种子层;以及
使用导电材料填充所述至少一个线路开口和所述至少一个过孔开口。
33.根据权利要求32的方法,其中通过淀积Co、Ru、Ir、Rh、Pt、Pd、Ta或其合金中的至少一种或组合来形成所述金属界面层。
34.根据权利要求32的方法,其中通过PVD、CVD、ALD、电镀敷、和无电镀敷形成所述金属界面层。
35.根据权利要求32的方法,其中通过包含O2、H2、N2或NH3中的至少一种或组合的等离子体实施从所述至少一个线路开口和所述至少一个过孔开口区域去除蚀刻残余物。
36.根据权利要求32的方法,其中通过包括HF、HCl、H2SO4或HNO3中的至少一种或组合的湿法清洁实施从所述至少一个线路开口和所述至少一个过孔开口区域去除蚀刻残余物。
CN2007800066774A 2006-03-01 2007-03-01 用于金属集成的新颖结构和方法 Active CN101390204B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/364,953 US7528066B2 (en) 2006-03-01 2006-03-01 Structure and method for metal integration
US11/364,953 2006-03-01
PCT/US2007/005414 WO2008036115A1 (en) 2006-03-01 2007-03-01 Novel structure and method for metal integration

Publications (2)

Publication Number Publication Date
CN101390204A true CN101390204A (zh) 2009-03-18
CN101390204B CN101390204B (zh) 2011-03-30

Family

ID=38470778

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2007800066774A Active CN101390204B (zh) 2006-03-01 2007-03-01 用于金属集成的新颖结构和方法

Country Status (6)

Country Link
US (2) US7528066B2 (zh)
EP (1) EP1992012B1 (zh)
JP (1) JP5430946B2 (zh)
CN (1) CN101390204B (zh)
TW (1) TWI402936B (zh)
WO (1) WO2008036115A1 (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102437144A (zh) * 2011-12-06 2012-05-02 西安交通大学 一种Ru-RuO/Ru-Ge-Cu自形成双层非晶扩散阻挡层及其制备方法
CN103377881A (zh) * 2012-04-20 2013-10-30 英飞凌科技奥地利有限公司 制造导线的方法
CN104124199A (zh) * 2013-04-27 2014-10-29 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
CN106356332A (zh) * 2015-07-17 2017-01-25 台湾积体电路制造股份有限公司 用于清洗半导体器件结构的互连结构的通孔的方法
CN104282656B (zh) * 2013-07-01 2017-03-08 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
CN107180748A (zh) * 2017-07-07 2017-09-19 成都海威华芯科技有限公司 一种SiC晶圆的深孔清洗方法

Families Citing this family (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100710201B1 (ko) * 2005-07-08 2007-04-20 동부일렉트로닉스 주식회사 반도체 소자의 금속배선 형성방법
US7402883B2 (en) * 2006-04-25 2008-07-22 International Business Machines Corporation, Inc. Back end of the line structures with liner and noble metal layer
JP5162869B2 (ja) * 2006-09-20 2013-03-13 富士通セミコンダクター株式会社 半導体装置およびその製造方法
US20080128907A1 (en) * 2006-12-01 2008-06-05 International Business Machines Corporation Semiconductor structure with liner
JP5154789B2 (ja) * 2006-12-21 2013-02-27 ルネサスエレクトロニクス株式会社 半導体装置並びに半導体装置の製造方法
US8178436B2 (en) * 2006-12-21 2012-05-15 Intel Corporation Adhesion and electromigration performance at an interface between a dielectric and metal
US7559017B2 (en) * 2006-12-22 2009-07-07 Google Inc. Annotation framework for video
US7867895B2 (en) * 2007-09-20 2011-01-11 International Business Machines Corporation Method of fabricating improved interconnect structure with a via gouging feature absent profile damage to the interconnect dielectric
KR100924865B1 (ko) * 2007-12-27 2009-11-02 주식회사 동부하이텍 반도체 소자의 금속배선 형성방법
US20090179328A1 (en) 2008-01-14 2009-07-16 International Business Machines Corporation Barrier sequence for use in copper interconnect metallization
US7892968B2 (en) * 2008-01-21 2011-02-22 International Business Machines Corporation Via gouging methods and related semiconductor structure
US7846834B2 (en) * 2008-02-04 2010-12-07 International Business Machines Corporation Interconnect structure and method for Cu/ultra low k integration
US8354751B2 (en) * 2008-06-16 2013-01-15 International Business Machines Corporation Interconnect structure for electromigration enhancement
US8143138B2 (en) * 2008-09-29 2012-03-27 Applied Materials, Inc. Method for fabricating interconnect structures for semiconductor devices
DE102008049775B4 (de) * 2008-09-30 2018-08-09 Globalfoundries Inc. Herstellungsverfahren einer Metalldeckschicht mit besserer Ätzwiderstandsfähigkeit für kupferbasierte Metallgebiete in Halbleiterbauelementen
US7745324B1 (en) 2009-01-09 2010-06-29 International Business Machines Corporation Interconnect with recessed dielectric adjacent a noble metal cap
US8021974B2 (en) * 2009-01-09 2011-09-20 Internatioanl Business Machines Corporation Structure and method for back end of the line integration
JP2010238738A (ja) * 2009-03-30 2010-10-21 Toshiba Corp 半導体装置および半導体装置の製造方法
US7955971B2 (en) * 2009-06-11 2011-06-07 International Business Machines Corporation Hybrid metallic wire and methods of fabricating same
KR20100135521A (ko) * 2009-06-17 2010-12-27 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US7964966B2 (en) * 2009-06-30 2011-06-21 International Business Machines Corporation Via gouged interconnect structure and method of fabricating same
US8653664B2 (en) 2009-07-08 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layers for copper interconnect
US8653663B2 (en) 2009-10-29 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layer for copper interconnect
US8232196B2 (en) * 2009-10-29 2012-07-31 International Business Machines Corporation Interconnect structure having a via with a via gouging feature and dielectric liner sidewalls for BEOL integration
US8361900B2 (en) 2010-04-16 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layer for copper interconnect
US8404582B2 (en) * 2010-05-04 2013-03-26 International Business Machines Corporation Structure and method for manufacturing interconnect structures having self-aligned dielectric caps
DE102010040069A1 (de) * 2010-08-31 2012-03-01 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG Verfahren und System zum Extrahieren von Proben nach der Strukturierung von Mikrostrukturbauelementen
CN102479747B (zh) * 2010-11-29 2015-01-28 中芯国际集成电路制造(上海)有限公司 双大马士革结构的形成方法
TWI447851B (zh) * 2011-01-19 2014-08-01 Macronix Int Co Ltd 多層連線結構及製造方法
US8633707B2 (en) 2011-03-29 2014-01-21 International Business Machines Corporation Stacked via structure for metal fuse applications
US9059169B2 (en) 2011-06-21 2015-06-16 International Business Machines Corporation E-fuse structures and methods of manufacture
US8551877B2 (en) * 2012-03-07 2013-10-08 Tokyo Electron Limited Sidewall and chamfer protection during hard mask removal for interconnect patterning
US8835305B2 (en) 2012-07-31 2014-09-16 International Business Machines Corporation Method of fabricating a profile control in interconnect structures
US8871639B2 (en) 2013-01-04 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US10032712B2 (en) * 2013-03-15 2018-07-24 Taiwan Semiconductor Manufacturing Company Limited Semiconductor structure
US9349608B2 (en) * 2013-12-13 2016-05-24 Globalfoundries Inc. Methods of protecting a dielectric mask layer and related semiconductor devices
US20150255388A1 (en) 2014-03-09 2015-09-10 International Business Machines Corporation Enhancement of iso-via reliability
US9384980B2 (en) * 2014-07-01 2016-07-05 Kabushiki Kaisha Toshiba Manufacturing method of semiconductor device
US9564359B2 (en) * 2014-07-17 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive structure and method of forming the same
US9349691B2 (en) 2014-07-24 2016-05-24 International Business Machines Corporation Semiconductor device with reduced via resistance
US9659856B2 (en) * 2014-10-24 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Two step metallization formation
US9553044B2 (en) 2014-11-05 2017-01-24 International Business Machines Corporation Electrically conductive interconnect including via having increased contact surface area
EP3034655A1 (en) * 2014-12-19 2016-06-22 ATOTECH Deutschland GmbH Trench pattern wet chemical copper metal filling using a hard mask structure
US10170358B2 (en) * 2015-06-04 2019-01-01 International Business Machines Corporation Reducing contact resistance in vias for copper interconnects
US9786550B2 (en) 2015-06-25 2017-10-10 International Business Machines Corporation Low resistance metal contacts to interconnects
US9530737B1 (en) 2015-09-28 2016-12-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9837309B2 (en) 2015-11-19 2017-12-05 International Business Machines Corporation Semiconductor via structure with lower electrical resistance
US10211148B2 (en) 2015-12-14 2019-02-19 International Business Machines Corporation Structural enhancement of Cu nanowires
US9449921B1 (en) * 2015-12-15 2016-09-20 International Business Machines Corporation Voidless contact metal structures
US10586732B2 (en) 2016-06-30 2020-03-10 International Business Machines Corporation Via cleaning to reduce resistance
US9935051B2 (en) 2016-08-18 2018-04-03 International Business Machines Corporation Multi-level metallization interconnect structure
US9786603B1 (en) 2016-09-22 2017-10-10 International Business Machines Corporation Surface nitridation in metal interconnects
JP2018107227A (ja) * 2016-12-26 2018-07-05 ソニーセミコンダクタソリューションズ株式会社 半導体装置、半導体装置の製造方法、及び、固体撮像素子
US11348828B2 (en) * 2017-11-23 2022-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure and method of forming the same
US10504834B2 (en) * 2018-03-01 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structure and the method of forming the same
JP2019153694A (ja) * 2018-03-02 2019-09-12 東芝メモリ株式会社 半導体装置およびその製造方法
US10727123B2 (en) 2018-06-18 2020-07-28 International Business Machines Corporation Interconnect structure with fully self-aligned via pattern formation
US11322402B2 (en) * 2019-08-14 2022-05-03 International Business Machines Corporation Self-aligned top via scheme
US11557482B2 (en) 2019-10-04 2023-01-17 International Business Machines Corporation Electrode with alloy interface
US11088076B2 (en) * 2019-12-27 2021-08-10 Sandisk Technologies Llc Bonding pads embedded in a dielectric diffusion barrier and having recessed metallic liners
US11177163B2 (en) * 2020-03-17 2021-11-16 International Business Machines Corporation Top via structure with enlarged contact area with upper metallization level
US11450602B2 (en) * 2020-04-01 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid method for forming semiconductor interconnect structure
TWI764388B (zh) * 2020-04-27 2022-05-11 台灣積體電路製造股份有限公司 積體電路晶片及其形成方法
US11694926B2 (en) * 2020-04-27 2023-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier free interface between beol interconnects
US11923244B2 (en) 2021-03-05 2024-03-05 Applied Materials, Inc. Subtractive metals and subtractive metal semiconductor structures
US11742290B2 (en) * 2021-03-10 2023-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure and method of forming thereof

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4029827A (en) * 1974-07-24 1977-06-14 Xerox Corporation Mercapto functional polyorganosiloxane release agents for fusers in electrostatic copiers
US4101686A (en) * 1974-07-24 1978-07-18 Xerox Corporation Method of fusing toner images using functionalized polymeric release agents
IT1088410B (it) * 1977-03-09 1985-06-10 Steinmueller Gmbh L & C Allacciamento per saldatura
US4184909A (en) 1978-08-21 1980-01-22 International Business Machines Corporation Method of forming thin film interconnection systems
US5157445A (en) * 1990-04-12 1992-10-20 Fuji Xerox Co., Ltd. Fixing device
US5470790A (en) 1994-10-17 1995-11-28 Intel Corporation Via hole profile and method of fabrication
US5933753A (en) 1996-12-16 1999-08-03 International Business Machines Corporation Open-bottomed via liner structure and method for fabricating same
US5930669A (en) 1997-04-03 1999-07-27 International Business Machines Corporation Continuous highly conductive metal wiring structures and method for fabricating the same
US5985762A (en) 1997-05-19 1999-11-16 International Business Machines Corporation Method of forming a self-aligned copper diffusion barrier in vias
US6478773B1 (en) * 1998-12-21 2002-11-12 Micrus Corporation Apparatus for deployment of micro-coil using a catheter
US6576546B2 (en) 1999-12-22 2003-06-10 Texas Instruments Incorporated Method of enhancing adhesion of a conductive barrier layer to an underlying conductive plug and contact for ferroelectric applications
US6436814B1 (en) * 2000-11-21 2002-08-20 International Business Machines Corporation Interconnection structure and method for fabricating same
US6479391B2 (en) * 2000-12-22 2002-11-12 Intel Corporation Method for making a dual damascene interconnect using a multilayer hard mask
TW587306B (en) 2001-03-02 2004-05-11 Macronix Int Co Ltd Manufacturing method of low-resistance dual damascene via
US6607977B1 (en) * 2001-03-13 2003-08-19 Novellus Systems, Inc. Method of depositing a diffusion barrier for copper interconnect applications
US20030087514A1 (en) * 2001-11-02 2003-05-08 Tang Sanh Dang Hard mask damascene process used to form a semiconductor device
US20030134499A1 (en) * 2002-01-15 2003-07-17 International Business Machines Corporation Bilayer HDP CVD / PE CVD cap in advanced BEOL interconnect structures and method thereof
US7910165B2 (en) * 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
JP2004023030A (ja) * 2002-06-20 2004-01-22 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JP2004172337A (ja) * 2002-11-20 2004-06-17 Sony Corp 半導体装置およびその製造方法
US6949461B2 (en) * 2002-12-11 2005-09-27 International Business Machines Corporation Method for depositing a metal layer on a semiconductor interconnect structure
US7241696B2 (en) * 2002-12-11 2007-07-10 International Business Machines Corporation Method for depositing a metal layer on a semiconductor interconnect structure having a capping layer
US6784105B1 (en) 2003-04-09 2004-08-31 Infineon Technologies North America Corp. Simultaneous native oxide removal and metal neutral deposition method
US6949442B2 (en) 2003-05-05 2005-09-27 Infineon Technologies Ag Methods of forming MIM capacitors
US7030031B2 (en) 2003-06-24 2006-04-18 International Business Machines Corporation Method for forming damascene structure utilizing planarizing material coupled with diffusion barrier material
US7122462B2 (en) * 2003-11-21 2006-10-17 International Business Machines Corporation Back end interconnect with a shaped interface
JP2005340601A (ja) * 2004-05-28 2005-12-08 Renesas Technology Corp 半導体装置の製造方法及び半導体装置
JP4832807B2 (ja) * 2004-06-10 2011-12-07 ルネサスエレクトロニクス株式会社 半導体装置
US7119018B2 (en) * 2004-07-09 2006-10-10 International Buisness Machines Corporation Copper conductor
JP2006032864A (ja) 2004-07-21 2006-02-02 Sony Corp 多層配線構造と多層配線構造を有する半導体装置とこれらの製造方法
US7217663B2 (en) * 2005-01-18 2007-05-15 Taiwan Semiconductor Manufacturing Company Via hole and trench structures and fabrication methods thereof and dual damascene structures and fabrication methods thereof
US7727888B2 (en) * 2005-08-31 2010-06-01 International Business Machines Corporation Interconnect structure and method for forming the same
US20070202689A1 (en) * 2006-02-27 2007-08-30 Samsung Electronics Co., Ltd. Methods of forming copper vias with argon sputtering etching in dual damascene processes
US20080057433A1 (en) * 2006-08-30 2008-03-06 Xerox Corporation Adhesive primer
US7807015B2 (en) * 2006-09-18 2010-10-05 Xerox Corporation Adhesion promoter
US7754812B2 (en) * 2007-01-16 2010-07-13 Xerox Corporation Adhesion promoter
US7579394B2 (en) * 2007-01-16 2009-08-25 Xerox Corporation Adhesion promoter
US8182875B2 (en) * 2007-04-05 2012-05-22 Xerox Corporation System and method for protecting a print
US8357763B2 (en) * 2007-05-02 2013-01-22 Xerox Corporation Adhesion promoter

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102437144A (zh) * 2011-12-06 2012-05-02 西安交通大学 一种Ru-RuO/Ru-Ge-Cu自形成双层非晶扩散阻挡层及其制备方法
CN103377881A (zh) * 2012-04-20 2013-10-30 英飞凌科技奥地利有限公司 制造导线的方法
US9214424B2 (en) 2012-04-20 2015-12-15 Infineon Technologies Austria Ag Method for producing a conductor line
CN103377881B (zh) * 2012-04-20 2016-08-03 英飞凌科技奥地利有限公司 制造导线的方法
US10090192B2 (en) 2012-04-20 2018-10-02 Infineon Technologies Austria Ag Method for producing a conductor line
CN104124199A (zh) * 2013-04-27 2014-10-29 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
CN104282656B (zh) * 2013-07-01 2017-03-08 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
CN106356332A (zh) * 2015-07-17 2017-01-25 台湾积体电路制造股份有限公司 用于清洗半导体器件结构的互连结构的通孔的方法
CN106356332B (zh) * 2015-07-17 2019-06-11 台湾积体电路制造股份有限公司 用于清洗半导体器件结构的互连结构的通孔的方法
CN107180748A (zh) * 2017-07-07 2017-09-19 成都海威华芯科技有限公司 一种SiC晶圆的深孔清洗方法

Also Published As

Publication number Publication date
JP2009528702A (ja) 2009-08-06
TW200741966A (en) 2007-11-01
TWI402936B (zh) 2013-07-21
US20070205482A1 (en) 2007-09-06
US7528066B2 (en) 2009-05-05
CN101390204B (zh) 2011-03-30
WO2008036115A1 (en) 2008-03-27
JP5430946B2 (ja) 2014-03-05
EP1992012A1 (en) 2008-11-19
US20090206485A1 (en) 2009-08-20
EP1992012A4 (en) 2011-08-17
US8664766B2 (en) 2014-03-04
EP1992012B1 (en) 2013-11-20

Similar Documents

Publication Publication Date Title
CN101390204B (zh) 用于金属集成的新颖结构和方法
US7964966B2 (en) Via gouged interconnect structure and method of fabricating same
US7867895B2 (en) Method of fabricating improved interconnect structure with a via gouging feature absent profile damage to the interconnect dielectric
US6949461B2 (en) Method for depositing a metal layer on a semiconductor interconnect structure
US7727888B2 (en) Interconnect structure and method for forming the same
JP5255292B2 (ja) 2層金属キャップを有する相互接続構造体及びその製造方法
KR100475931B1 (ko) 반도체 소자의 다층 배선 형성방법
CN100524726C (zh) 半导体结构及其制造方法
US7671470B2 (en) Enhanced mechanical strength via contacts
US7846834B2 (en) Interconnect structure and method for Cu/ultra low k integration
US7241696B2 (en) Method for depositing a metal layer on a semiconductor interconnect structure having a capping layer
US20050170642A1 (en) Methods for improving metal-to-metal contact in a via, devices made according to the methods, and systems including the same
CN102246293A (zh) 具有改进的电介质线路到过孔的抗电迁移性界面层的互连结构及其制造方法
JP2010511299A (ja) 二重ライナ・キャッピング層の相互接続構造の半導体デバイス及びその製造方法
US8957519B2 (en) Structure and metallization process for advanced technology nodes
US6867135B1 (en) Via bottom copper/barrier interface improvement to resolve via electromigration and stress migration
KR100854898B1 (ko) 반도체 소자의 다층 배선 형성 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant