KR100841597B1 - 전자 디바이스 제조 - Google Patents

전자 디바이스 제조 Download PDF

Info

Publication number
KR100841597B1
KR100841597B1 KR1020037003612A KR20037003612A KR100841597B1 KR 100841597 B1 KR100841597 B1 KR 100841597B1 KR 1020037003612 A KR1020037003612 A KR 1020037003612A KR 20037003612 A KR20037003612 A KR 20037003612A KR 100841597 B1 KR100841597 B1 KR 100841597B1
Authority
KR
South Korea
Prior art keywords
dielectric
porogen
layer
delete delete
dielectric matrix
Prior art date
Application number
KR1020037003612A
Other languages
English (en)
Other versions
KR20030038737A (ko
Inventor
갈레거마이클케이.
유유지안
Original Assignee
롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=22871971&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=KR100841597(B1) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨 filed Critical 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨
Publication of KR20030038737A publication Critical patent/KR20030038737A/ko
Application granted granted Critical
Publication of KR100841597B1 publication Critical patent/KR100841597B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02137Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material comprising alkyl silsesquioxane, e.g. MSQ
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C67/00Shaping techniques not covered by groups B29C39/00 - B29C65/00, B29C70/00 or B29C73/00
    • B29C67/20Shaping techniques not covered by groups B29C39/00 - B29C65/00, B29C70/00 or B29C73/00 for porous or cellular articles, e.g. of foam plastics, coarse-pored
    • B29C67/202Shaping techniques not covered by groups B29C39/00 - B29C65/00, B29C70/00 or B29C73/00 for porous or cellular articles, e.g. of foam plastics, coarse-pored comprising elimination of a solid or a liquid ingredient
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4803Insulating or insulated parts, e.g. mountings, containers, diamond heatsinks
    • H01L21/481Insulating layers on insulating parts, with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/02Details
    • H05K1/0213Electrical arrangements not otherwise provided for
    • H05K1/0237High frequency adaptations
    • H05K1/024Dielectric details, e.g. changing the dielectric material around a transmission line
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1042Formation and after-treatment of dielectrics the dielectric comprising air gaps
    • H01L2221/1047Formation and after-treatment of dielectrics the dielectric comprising air gaps the air gaps being formed by pores in the dielectric
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2201/00Indexing scheme relating to printed circuits covered by H05K1/00
    • H05K2201/01Dielectrics
    • H05K2201/0104Properties and characteristics in general
    • H05K2201/0116Porous, e.g. foam
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24273Structurally defined web or sheet [e.g., overall dimension, etc.] including aperture
    • Y10T428/24322Composite web or sheet
    • Y10T428/24331Composite web or sheet including nonapertured component

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

전자 디바이스, 특히 집적회로의 제조방법이 개시된다. 이 방법은 제거가능한 포로겐 물질을 사용하여 제조된 저 유전 상수 물질을 사용하는 것을 포함한다.

Description

전자 디바이스 제조{Electronic device manufacture}
본 발명은 일반적으로 전자 디바이스 제조분야에 관한 것이다. 특히, 본 발명은 저 유전 상수 물질을 함유하는 집적회로의 제조에 관한 것이다.
전자 디바이스가 소형화함에 따라, 전자 산업에서는 전기 성능의 손상, 예를 들어 누화 또는 정전결합없이 전자 소자, 예를 들어 집적회로, 회로판, 멀티칩 모듈, 칩 테스트 장치 등의 회로밀도를 증가시키고 또한 상기 소자에서 신호 전파속도를 증가시키려는 요구가 계속되고 있다. 이러한 목적을 달성하기 위한 한 방법은 소자에 사용되는 층간 또는 금속간 절연 물질의 유전 상수를 감소시키는 것이다. 층간 또는 금속간 절연 물질의 유전 상수를 감소시키는 한 방법은 절연 필름내에 균일하게 분포된 매우 작은 기공(pore) 또는 보이드(void)를 내입시키는 것이다.
각종 유기 및 무기 절연체 물질이 전자 디바이스, 특히 집적회로 제조산업에 알려져 있다. 적합한 무기 절연체 물질은 이산화규소 및 유기 폴리실리카를 포함한다. 적합한 유기 유전체 물질은 폴리이미드, 폴리아릴렌 에테르, 폴리아릴렌, 폴리시아누레이트, 폴리벤즈아졸, 벤조사이클로부텐 등과 같은 열경화성 물질을 포함한다.
일반적으로, 다공성 유전체 물질은 먼저, 제거가능한 포로겐을 B-단계 (staged) 유전체 물질에 함침시키고, 제거가능한 포로겐을 함유하는 B-단계 유전체 물질을 기판상에 배치한 후, B-단계 유전체 물질을 경화시키며, 중합체를 제거하여 다공성 유전체 물질을 형성함으로써 제조된다. 예를 들어, 미국 특허 제 5,895,263호 (Carter 등)는 다공성 유기 폴리실리카 유전체 물질을 함유하는 집적회로의 형성방법을 개시하였다. 미국 특허 제 6,093,636호(Carter 등)는 다공성 열경화성 유전체 물질을 함유하는 집적회로 형성방법을 개시하였다. 이들 각 특허에서, 개시된 방법은 후속 처리 단계전에 다공성 유전체 물질을 형성하는 단계를 요한다.
다공성 유전체 물질은 기공이 존재하지 않는 동일한 유전체 물질에 비해 유전 상수가 감소되고 가능한한 실질적으로 감소된다. 그러나, 특정 상황에서는 이와 같은 기공의 존재가 문제시 된다. 예를 들어, 다공성 유전체 물질내로 에칭된 어퍼쳐(aperture)는 유전체 물질내의 기공 또는 보이드로 인해 측벽이 거칠다. 이러한 측벽 거칠기는 장벽(barrier) 또는 씨드(seed)층과 같은 후속한 금속층의 침착을 곤란하게 만든다. 장벽 또는 씨드층은 전형적으로 조준 방식으로 화학적 또는 물리적으로 증착된다. 따라서, 어퍼쳐 측벽의 거칠기는 장벽 및/또는 씨드층에 불연속성을 야기하려는 경향이 있다. 이러한 불연속성은 전자 디바이스 제조시 후속한 처리 단계나 이들 디바이스의 성능에 불리하게 작용할 수 있다.
따라서, 어퍼쳐내에 실질적으로 연속적인 장벽 및/또는 씨드층을 가지는 다공성 유전체 물질을 포함하는 전자 디바이스 제조방법이 요망된다.
놀랍게도, 본 발명의 방법은 다공성 유전체 물질내에 에칭된 어퍼쳐에 실질적으로 연속적인, 바람직하게는 연속적인 금속층, 바람직하기로는 장벽 및/또는 씨드층을 가지는 전자 디바이스를 제공하는 것으로 밝혀졌다.
한 측면으로, 본 발명은 a) 하나 이상의 유전체 매트릭스 물질 및 제거가능한 포로겐을 포함하는 B-단계 유전체 매트릭스 조성물을 기판 표면상에 배치하고; b) B-단계 유전체 매트릭스 조성물을 경화하여 포로겐을 실질적으로 제거함이 없이 유전체 매트릭스 물질을 형성하며; c) 유전체 매트릭스 물질을 패턴화한 후; d) 유전체 물질 표면상에 금속층을 침착시키고; e) 유전체 매트릭스 물질을, 포로겐이 적어도 부분적으로 제거되도록 컨디셔닝하여 실질적으로 유전체 물질의 분해없이 다공성 유전체 물질층을 형성하는 단계를 포함하여 전자 디바이스를 입자를 제조하는 방법을 제공한다.
제 2 측면으로, 본 발명은 a) 하나 이상의 유전체 매트릭스 물질 및 제거가능한 포로겐을 포함하는 B-단계 유전체 매트릭스 조성물을 기판 표면상에 배치하고; b) B-단계 유전체 매트릭스 조성물을 경화하여 포로겐을 실질적으로 제거함이 없이 유전체 매트릭스 물질을 형성한 후; c) 유전체 매트릭스 물질을 패턴화하며; d) 유전체 물질 표면상에 적어도 하나의 장벽층 또는 씨드층을 침착시키고; e) 어 퍼쳐 충전 필름층을 침착시킨 다음; f) 어퍼쳐 충전 필름층을 평탄화하고 (planarizing); g) 유전체 매트릭스 물질을, 포로겐이 적어도 부분적으로 제거되도록 컨디셔닝하여 실질적으로 유전체 물질의 분해없이 다공성 유전체 물질층을 형성하는 단계를 포함하여 전자 디바이스를 제조하는 방법을 제공한다.
제 3 측면으로, 본 발명은 a) 하나 이상의 유전체 매트릭스 물질 및 제거가능한 포로겐을 포함하는 B-단계 유전체 매트릭스 조성물을 기판 표면상에 배치하고; b) B-단계 유전체 매트릭스 조성물을 경화하여 포로겐을 실질적으로 제거함이 없이 유전체 매트릭스 물질을 형성한 후; c) 유전체 매트릭스 물질을 패턴화하며; d) 유전체 물질 표면상에 금속층을 침착시키고; e) 유전체 매트릭스 물질을, 포로겐이 적어도 부분적으로 제거되도록 컨디셔닝하여 실질적으로 유전체 물질의 분해없이 다공성 유전체 물질층을 형성하며; f) 다공성 유전층을 첨가된 캡층(cap layer)이 제거되도록 후속 처리 단계로 처리하는 단계를 포함하여 전자 디바이스를 제조하는 방법을 제공한다.
제 4 측면으로, 본 발명은 첨가된 캡층을 갖지 않는 다공성 유전층을 포함하는 전자 디바이스를 제공한다.
도 1은 기판상에 배치된 기공 또는 보이드를 갖는 통상적으로 제조된 유전체 물질을 포함하는 선행 전자 디바이스의 개략도이다.
도 2는 다공성 유전체 물질을 포함하는 선행 전자 디바이스의 개략도이다.
도 3은 다공성 유전체 물질에 도포된 불연속 장벽층을 갖는 선행 전자 디바이스의 개략도이다.
도 4는 금속 스터드(stud)를 함유하는 기판상에 배치된 제거가능한 포로겐을 가지는 유전체 물질을 포함하는 전자 디바이스의 개략도이다.
도 5는 제거가능한 중합체를 함유하는 유전체 물질상에 배치된 포토레지스트를 가지는 전자 디바이스의 개략도이다.
도 6은 유전체 물질내에 스트레이트(straight) 측벽과 함께 어퍼쳐를 가지는 전자 디바이스의 개략도이다.
도 7은 제거가능한 포로겐을 함유하는 유전체 물질상에 배치된 실질적으로 연속적인 장벽층을 가지는 전자 디바이스의 개략도이다.
도 8은 다공성 유전체 물질, 실질적으로 연속적인 장벽층 및 금속화 어퍼쳐를 포함하는 평탄화후 전자 디바이스의 개략도이다.
본 명세서에 사용된 하기 약어들은 달리 명시되지 않는한 다음과 같은 의미를 갖는다: ℃ = 섭씨 온도; UV = 자외선; 및 ㎚ = 나노미터. "어퍼쳐"는 예를 들어 비어(via) 및 트렌치(trench)와 같은 리세스된(recessed) 형상을 의미한다.
용어 "알킬"은 직쇄, 측쇄 및 사이클릭 알킬을 포함한다. 용어 "포로겐"은 기공 형성 물질 또는 부분을 의미하며, 예를 들어 유전체 물질과 공중합하여 유전체 물질내에 분산된 블록 공중합체 또는 중합체 물질 또는 입자를 형성한 후, 이 후 제거되어 유전체 물질내에 기공, 보이드 또는 자유 체적을 제공할 수 있는 화합물이 예시되나, 이들로만 한정되지 않는다. 따라서, 용어 "제거가능한 포로겐", "제거가능한 중합체" 및 "제거가능한 입자"는 본 명세서를 통해 혼용하여 사용된다. 용어 "기공", "보이드" 및 "자유 체적"은 본 명세서를 통해 혼용하여 사용된다. "가교제" 및 "가교결합제"는 본 명세서를 통해 혼용하여 사용된다. "중합체"는 중합체 및 올리고머를 의미하며, 또한 단일중합체 및 공중합체를 포함한다. 용어 "올리고머" 및 "올리고머성"은 다이머, 트리머, 테트라머 등을 의미한다. "모노머"는 중합될 수 있는 에틸렌적 또는 아세틸렌적으로 불포화된 화합물 또는 축합에 의해 중합될 수 있는 다른 화합물을 의미한다. 이러한 모노머는 하나 이상의 이중 또는 삼중결합, 또는 축합하여 중합체를 형성할 수 있는 그룹을 함유할 수 있다.
용어 "B-단계"는 비경화 유전체 매트릭스 물질을 의미한다. "비경화"는 중합 또는 경화되어 코팅 또는 필름과 같은 고분자량 물질을 형성할 수 있는 유전체 물질을 의미한다. 이러한 B-단계 물질은 모노머, 올리고머 또는 이들의 혼합물일 수 있다. B-단계 물질은 또한 중합 물질과 모노머, 올리고머 또는 모노머와 올리고머의 혼합물과의 혼합물을 포함하고자 한다.
달리 언급이 없으면, 모든 양은 중량%이며, 모든 비도 중량에 의한다. 모든 수치 범위는 포괄적이며, 조합이 가능하다.
다공성 유전체 물질층을 가지는 집적회로와 같은 전자 디바이스를 제조하는 통상적인 방법에서, 제거가능한 중합체를 함유하는 B-단계 유전체 물질이 먼저 기 판상에 배치된다. 이어서, B-단계 유전체 물질은 중합체의 실질적인 제거 또는 분해없이 경화되어 기판상에 제거가능한 중합체를 함유하는 유전체 물질 층, 코팅 또는 필름을 형성한다. 그후, 유전체 물질은 중합체가 실질적으로 제거되도록 컨디셔닝되어 기판상에 다공성 유전체 물질을 형성한다. 이러한 유전체 물질은 전형적으로 기공을 갖지 않는 물질보다 유전 상수가 낮다. 다공성 유전체 물질 형성후, 기판은 리소그래피, 에칭, 장벽/씨드층 침착, 금속화 및 평탄화와 같은 후속 처리 단계로만 처리된다. 이러한 통상적인 처리에 의해 어퍼쳐는 상당한 측벽 거칠기를 가지며 이는 추가의 처리 단계 및 디바이스 성능에 불리한 영향을 미칠 수 있다.
도 1은 금속 스터드(12)를 가지는 기판(10)상에 배치된 기공 또는 보이드 (16)를 갖는 통상적으로 제조된 유전체 물질(15)을 포함하는 전자 디바이스를 나타낸다. 전형적인 공정에서, 반사방지 코팅이 임의로 다공성 유전층 표면에 도포된다. 그후, 포토레지스트가 반사방지 코팅 또는 다공성 유전층 표면에 도포되며, 포토레지스트는 다공성 유전층을 패턴화하기 위해 노광된다. 패턴화후, 다공성 유전층은 에칭되어 어퍼쳐를 제공하며, 이후 포토레지스트가 제거된다. 도 2는 포토레지스트가 에칭 및 제거된 후의 통상적으로 제조된 다공성 유전체 물질(15)을 나타낸다. 다공성 유전체 물질(15)내 어퍼쳐(20)는 불균일하거나 거친 측벽(30)(명확을 위해 과장된)을 갖는다. 불균일한 측벽은 유전체 물질(15)내에 기공(16)이 존재하기 때문이다. 어퍼쳐(20) 형성동안, 다수의 기공이 에칭되어 어퍼쳐 측벽에 일부 기공(25)(명확을 위해 과장된)의 적어도 일부가 함유된다. 도 3은 장 벽층(35)이 유전체 물질(15)에 도포되는, 상기 통상적인 공정의 다음 단계를 나타낸다. 거친 측벽(30)으로 장벽층에 불연속, 개방부 또는 브레이크(27)가 초래된다. 이러한 불연속성은 금속화 층에 보이드가 생길 수 있고, 장벽층내 불연속성으로 인해 구리가 유전층내로 이동될 수 있기 때문에 후속한 금속화동안 문제가 된다.
이러한 문제는 본 발명에 의해 감소되거나 제거될 수 있다. 본 발명은 a) 하나 이상의 유전체 매트릭스 물질 및 제거가능한 포로겐을 포함하는 B-단계 유전체 매트릭스 조성물을 기판 표면상에 배치하고; b) B-단계 유전체 매트릭스 조성물을 경화하여 포로겐을 실질적으로 제거함이 없이 유전체 매트릭스 물질을 형성하며; c) 유전체 매트릭스 물질을 패턴화한 후; d) 유전체 물질 표면상에 금속층을 침착시키고; e) 유전체 매트릭스 물질을, 포로겐이 적어도 부분적으로 제거되도록 컨디셔닝하여 실질적으로 유전체 물질의 분해없이 다공성 유전체 물질층을 형성하는 단계를 포함하여 전자 디바이스를 입자를 제조하는 방법을 제공한다.
다공성 유전체 물질을 형성할 수 있는 어떠한 B-단계 유전체 물질도 본 발명에 사용될 수 있다. 적합한 B-단계 유전체 물질은 무기 물질, 예를 들어 유기 폴리실리카, 실리콘, 붕소 또는 알루미늄의 카바이드, 옥사이드, 나이트라이드 및 옥시플루오라이드; 및 유기 매트릭스 물질, 예를 들어 벤조사이클로부텐, 폴리(아릴 에스테르), 폴리(에테르 케톤), 폴리카보네이트, 폴리(아릴렌 에테르), 폴리방향족 탄화수소, 예를 들어 폴리나프탈렌, 폴리퀴옥살린, 폴리(과불소화 탄화수소), 예컨대 폴리(테트라플루오로에틸렌), 폴리이미드, 폴리벤족사졸 및 폴리사이클로올레 핀, 예컨대 폴리노보넨을포함하나, 이들로만 제한되지는 않는다. 이러한 B-단계 유전체 물질은 일반적으로 상업적으로 입수가능하거나, 문헌에 공지된 방법으로 제조될 수 있다.
본 발명에 유용한 특히 적합한 B-단계 유기 폴리실리카(또는 유기 실록산) 유전체 물질은 실리콘, 탄소, 산소 및 수소 원자를 포함하는 하기 식의 화합물이다:
((RR1SiO)a(R2SiO1.5)b(R3SiO1.5 )c(SiO2)d)n
상기 식에서,
R, R1, R2 및 R3 는 독립적으로 수소, (C1-C6)알킬, 아릴 및 치환된 아릴중에서 선택되고,
a, b, c 및 d는 독립적으로 0 내지 1의 수이며,
n은 약 3 내지 약 10,000의 정수이나,
단, a + b + c + d는 1이며,
R, R1 및 R2중의 적어도 하나는 수소가 아니다.
"치환된 아릴"은 이들의 하나 이상의 수소가 다른 치환체 그룹, 예를 들어 시아노, 하이드록시, 머캅토, 할로, (C1-C6)알킬, (C1-C6)알콕시 등에 의해 대체된 아릴 그룹을 의미한다. 상기 식에서, a, b 및 c는 각 성분의 몰비를 나타낸다. 이들 몰비는 0 내지 약 1 사이에서 변할 수 있다. a가 0 내지 약 0.8인 것이 바 람직하다. b가 0.2 내지 약 1인 것이 또한 바람직하다. c가 0 내지 약 0.8인 것이 또한 바람직하다. d가 0 내지 약 0.8인 것이 추가로 바람직하다. 상기 식에서, n은 B-단계 물질의 반복 단위수를 나타낸다. 바람직하게, n은 약 3 내지 약 1,000의 정수이다. 경화 단계전에, B-단계 유기 폴리실리카 유전체 매트릭스 물질이 하나 이상의 하이드록실 또는 알콕시 말단 종결 또는 측쇄 작용 그룹을 포함할 수 있음은 자명할 것이다. 이들 말단 종결 또는 측쇄 작용 그룹은 당업자들에 공지되어 있다.
적합한 유기 폴리실리카 유전체 매트릭스 물질은 실세스퀴옥산, 부분적으로 축합된 할로실란 또는 알콕시실란(예를 들어 수평균 분자량 약 500 내지 약 20,000인 테트라에톡시실란의 조절 가수분해에 의해 부분적으로 축합된 것), RSiO3 또는 R2SiO2(여기에서, R은 유기 치환체이다)의 조성을 갖는 유기적으로 변형된 실리케이트, 및 모노머 단위로 Si(OR)4를 가지는 부분적으로 축합된 오르토실리케이트를 포함하나, 이들로만 한정되는 것은 아니다. 실세스퀴옥산은 RSiO1.5(여기에서, R은 유기 치환체이다) 타입의 중합 실리케이트 물질이다. 적합한 실세스퀴옥산은 알킬 실세스퀴옥산, 예를 들어 메틸 실세스퀴옥산, 에틸 실세스퀴옥산, 프로필 실세스퀴옥산, 부틸 실세스퀴옥산 등; 아릴 실세스퀴옥산, 예를 들어 페닐 실세스퀴옥산 및 톨릴 실세스퀴옥산; 알킬/아릴 실세스퀴옥산 혼합물, 예를 들어 메틸 실세스퀴옥산과 페닐 실세스퀴옥산의 혼합물; 및 메틸 실세스퀴옥산과 에틸 실세스퀴옥산과 같은 알킬 실세스퀴옥산의 혼합물을 포함한다. 유기 폴리실리카가 메틸 실세 스퀴옥산을 포함하는 것이 바람직하다. B-단계 실세스퀴옥산 물질은 실세스퀴옥산의 단일중합체, 실세스퀴옥산의 공중합체 또는 이들의 혼합물을 포함한다. 전형적으로, 본 발명에 유용한 실세스퀴옥산은 일반적으로 약 3 내지 약 10,000 개의 반복단위를 갖는 올리고머 물질로서 사용된다.
본 발명에 유용한 적합한 B-단계 유기 유전체 물질은 WO 00/31183호(Bruza 등)에 기술된 폴리아릴렌, 폴리아릴렌 에테르 및 벤조사이클로부텐, 및 미국 특허 제 6,093,636호(Carter 등) 및 5,969,088호(Ezzell 등)에 기술된 폴리이미드를 포함한다(상기 특허들은 모두 유기 유전체 물질의 제조 및 사용을 개시하는 내용이 본 원에 참고로 인용된다). 다른 적합한 B-단계 유기 유전체 물질은 폴리사이클로올레핀, 예를 들어 폴리노보넨 단일중합체 및 공중합체, 및 폴리디사이클로펜타디엔 단일중합체 및 공중합체를 포함한다.
유전체 물질의 혼합물, 예를 들어 2 이상의 유기, 2 이상의 무기 또는 하나 이상의 유기 및 하나 이상의 무기 유전체 물질의 혼합물이 사용될 수 있음이 이해될 것이다. 따라서, 알킬/아릴 실세스퀴옥산, 하이드리도/알킬 실세스퀴옥산, 2 이상의 폴리아릴렌 에테르, 2 이상의 폴리이미드 등의 혼합물이 사용될 수 있다. 특히 적합한 유전체 물질의 혼합물은 무기-유기 하이브라이드, 예를 들어 하이브라이드 물질의 제조방법이 본 원에 참고로 인용되는 유럽 특허 출원 EP 997 497호(Ioka 등)에 기재된 알콕시실란/유기 유전체 물질을 포함한다.
본 발명에 유용한 포로겐은 제거되어 선택된 유전체 물질내에 보이드, 기공 또는 자유 체적을 제공할 수 있는 것이며 상기 물질, 특히 저 유전 상수 ("k")를 갖는 유전체 물질의 유전 상수를 감소시킨다. 저 k 유전체 물질은 약 4 미만의 유전 상수를 갖는 물질이다.
본 발명에 유용한 제거가능한 포로겐은 유전체 물질을 패턴화하거나 B-단계 유전체 물질을 경화하기 위해 사용된 처리 조건하에서 실질적으로 제거되지 않는다. 본 발명의 포로겐은 또한 유전체 물질을 실질적으로 분해하지 않거나 이에 불리하게 작용하지 않는 조건하에서 제거된다.
각종 제거가능한 포로겐이 본 발명에 사용될 수 있다. 제거가능한 포로겐은 중합 입자와 같은 중합체일 수 있거나, 유전체 모노머 공중합하여 불안정한(제거가능한) 성분을 가지는 블록 공중합체를 형성하는 모노머 또는 중합체일 수 있다. 다른 구체예에서, 포로겐은 유전체 모노머와 예비중합하여 모노머, 올리고머 또는 중합체일 수 있는 B-단계 유전체 물질을 형성할 수 있다. 그후, 이러한 예비 중합된 물질은 유전층을 형성하도록 경화된다.
바람직하게, 제거가능한 포로겐은 B-단계 유전체 물질내에서 실질적으로 응집되지 않거나, 응괴하지 않는다. 이러한 비응집 또는 비응괴는 유전체 매트릭스내에 킬러(killer) 기공 또는 채널 형성 문제를 감소시키거나 방지한다. 제거가능한 포로겐이 포로겐 입자이거나, 유전체 모노머와 공중합하는 것이 바람직하며, 포로겐 입자가 보다 바람직하다. 포로겐이 B-단계 유전체 매트릭스 물질과 실질적으로 상용적(compatible)인 것이 또한 바람직하다. "실질적으로 상용적"이라는 것은 B-단계 유전체 물질과 포로겐의 조성물이 약간 흐리거나 약간 불투명한 것을 의미한다. 바람직하게, "실질적으로 상용적"이라는 것은 B-단계 유전체 물질과 포로겐의 용액, B-단계 유전체 물질과 포로겐의 조성물을 포함하는 필름 또는 층, 포로겐이 분산된 유전체 매트릭스 물질을 포함하는 조성물, 및 포로겐 제거후 생성된 다공성 유전체 물질의 적어도 하나가 약간 흐리거나 약간 불투명한 것을 의미한다. 상용성을 위해, 포로겐은 B-단계 유전체 물질 또는 B-단계 유전체 물질을 가용화시키기 위해 사용된 용매 또는 이들 두 물질내에 용해되거나 혼화되어야 한다. 적합한 상용화 포로겐이 함께 계류중인 미국 특허 출원 09/460,326호(Allen 등)에 기술되어 있다. 다른 적합한 제거가능한 입자가 미국 특허 제 5,700,844호에 기술되어 있다.
실질적으로 상용적인 포로겐은 전형적으로 분자량이 10,000 내지 1,000,000, 바람직하게는 20,000 내지 50,000 및 보다 바람직하게는 20,000 내지 100,000이다. 이들 물질의 다분산도(polydispersity)는 1 내지 20, 바람직하게는 1.001 내지 15 및 보다 바람직하게는 1.001 내지 10 이다. 이와 같은 실질적으로 상용적인 포로겐은 가교되는 것이 바람직하다. 전형적으로, 가교제의 양은 포로겐의 중량에 대해 적어도 약 1 중량%이다. 중합체의 중량에 대해 100%를 포함하여 그 이하의 가교결합제가 본 발명의 입자에 효과적으로 사용될 수 있다. 가교제의 양이 약 1 내지 80%, 보다 바람직하게는 약 1 내지 약 60%인 것이 바람직하다.
제거가능한 포로겐으로서 유용한 불안정 성분을 갖는 적합한 블록 공중합체는 미국 특허 제 5,776,990호 및 6,093,636호에 기술된 것이다. 적합한 블록 공중합체는, 예를 들어 적합한 반응성 그룹과 추가로 작용화하여 작용기화된 지방족 에스테르가 유리화 중합체 매트릭스내로 함침되어 이와 공중합되도록 하는 작용 그 룹을 가지는 기공 형성 물질, 고분지 지방족 에스테르를 사용하여 제조될 수 있다. 적합한 블록 공중합체는 예를 들어 벤조사이클로부텐, 폴리(아릴 에스테르), 폴리(에테르 케톤), 폴리카보네이트, 폴리노보넨, 폴리(아릴렌 에테르), 폴리방향족 탄화수소, 예를 들어 폴리나프탈렌, 폴리퀴옥살린, 폴리(과불소화 탄화수소), 예컨대 폴리(테트라플루오로에틸렌), 폴리이미드, 폴리벤족사졸 및 폴리사이클로올레핀과 같이 다공성 유기 유전체 물질을 형성하기에 적합하다.
다공성 유전체 물질을 형성하기에 유용하도록, 본 발명의 포로겐은 유전체 매트릭스 물질에 불리한 영향을 미치지 않는 조건하에서 적어도 부분적으로 제거가능하여야 하고, 바람직하게는 실질적으로 제거가능하여야 하고, 보다 바람직하게는 완전히 제거가능하여야 한다. "제거가능한" 이란 포로겐이 탈중합하거나 휘발 성분 또는 단편으로 분해된 후 유전체 물질로부터 제거되거나 이동하여 기공 또는 보이드를 형성하는 것을 의미한다. 유전체 매트릭스 물질에 불리한 영향없이 포로겐을 적어도 부분적으로 제거할 수 있는 방법 또는 조건이 사용될 수 있다. 포로겐이 실질적으로 제거되는 것이 바람직하다. 전형적인 제거 방법은 열, 압력, 진공, 또는 화학선, IR, 마이크로웨이브, UV, x-선, 감마선, 알파 입자, 중성 빔 또는 전자 빔이 예시되나 이로 한정되지 않는 조사선에 노출시키는 것을 포함하나, 이들에만 한정되지 않는다. 포로겐 또는 중합체를 제거하는데 열과 화학 조사선의 조합과 같이 복수 방법이 사용될 수 있음은 자명할 것이다. 포로겐을 제거하기 위해 매트릭스 물질을 열 또는 UV선에 노출시키는 것이 바람직하다. 원자 흡수와 같은 포로겐 제거의 또 다른 방법이 사용될 수 있음이 당업자들에게는 또한 자명할 것이다.
본 발명의 포로겐은 진공, 질소, 아르곤, 질소와 수소의 혼합물, 예를 들어 포밍 가스(forming gas), 또는 다른 불활성 또는 환원 분위기하에서 열적으로 제거될 수 있다. 본 발명의 포로겐은 열 경화 온도보다 높으면서 유전체 매트릭스 물질의 열 분해 온도보다 낮은 온도에서 제거될 수 있다. 전형적으로, 본 발명의 포로겐은 150 내지 450 ℃, 바람직하게는 250 내지 425 ℃의 온도에서 제거될 수 있다. 전형적으로, 본 발명의 포로겐은 1 내지 120 분동안 가열시 제거된다. 유전체 매트릭스 물질로부터 제거후, 0 내지 20 중량%의 포로겐이 전형적으로 다공성 유전체 물질내에 잔존한다.
한 구체예로, 본 발명의 포로겐이 조사선에 노광되어 제거되는 경우, 포로겐 중합체는 전형적으로 불활성 분위기, 예를 들어 질소하에서 가시선 또는 자외선이 예시되나 이들로 한정되지 않는 조사선 원에 노출된다. 이론에 결부됨이 없이, 포로겐 단편은 예를 들어 래디칼 분해에 의해 형성되며 불활성 가스 플로우 하에 매트릭스 물질로부터 제거되는 것으로 판단된다. 조사선의 에너지 플럭스는 포로겐 입자가 적어도 부분적으로 제거되도록 충분히 높아야 한다.
제거가능한 포로겐은 전형적으로 유전 상수를 목적하는 값으로 낯추기에 충분한 양으로 본 발명의 B-단계 유전체 물질에 첨가된다. 예를 들어, 포로겐은 B-단계 유전체 물질의 중량에 대해 약 1 내지 약 90 중량%, 바람직하게는 10 내지 80 중량%, 보다 바람직하게는 15 내지 60 중량% 및 보다 더 바람직하게는 20 내지 30 중량%의 양으로 B-단계 유전체 물질에 첨가될 수 있다.
제거가능한 포로겐이 블록 공중합체 성분이 아닌 경우, 이들은 당업계에 공지된 어떤 방법에 의해 B-단계 유전체 물질과 배합될 수 있다. 전형적으로, B-단계 물질을 우선 적합한 고비등 용매, 예를 들어, 메틸 이소부틸 케톤, 디이소부틸 케톤, 2-헵타논, γ-부티로락톤, γ-카프로락톤, 에틸 락테이트 프로필렌글리콜 모노메틸 에테르 아세테이트, 프로필렌글리콜 모노메틸 에테르, 디페닐 에테르, 아니솔, n-아밀 아세테이트, n-부틸 아세테이트, 사이클로헥사논, N-메틸-2-피롤리돈, N,N'-디메틸프로필렌우레아, 메시틸렌, 자일렌 또는 이들의 혼합물에 용해시켜 용액을 형성한다. 그후, 포로겐 입자를 용액내에 분산 또는 용해시킨다. 이어서, 생성된 조성물(예컨대 분산액, 현탁액 또는 용액)을 당업계에 공지된 방법, 예를 들면 스핀 코팅(sipn coating), 스프레이 코팅 또는 닥터 블레이딩(doctor blading)에 의해 기판상에 침적시켜 필름 또는 층을 형성한다.
적합한 기판은 실리콘, 절연체상 실리콘, 실리콘 게르마늄, 이산화실리콘, 유리, 실리콘 나이트라이드, 세라믹, 알루미늄, 구리, 갈륨 아르세나이드, 플라스틱, 예를 들어 폴리카보네이트, 회로판, 예를 들어 FR-4 및 폴리이미드, 및 하이브라이드 회로 기판, 예를 들어 알루미늄 나이트라이드-알루미나를 포함하나, 이들로만 한정되지 않는다. 이들 기판은 추가로 여기에 침착된 박막, 예를 들어 금속 나이트라이드, 금속 카바이드, 금속 실리사이드, 금속 옥사이드, 및 이들의 혼합물을 포함하나, 이들로만 한정되지 않는 필름을 포함한다. 다층 집적회로 디바이스에서, 절연 평탄화 회로선의 하부층이 또한 기판으로 작용할 수 있다.
기판상에 침착후, B-단계 유전체 물질은 적어도 부분적으로, 바람직하게는 실질적으로 경화되어 포로겐의 실질적인 제거없이 가교된 경성 유전체 매트릭스 물질을 형성한다. 이러한 경화된 유전체 매트릭스 물질은 전형적으로 코팅 또는 필름이다. 유전체 매트릭스 물질의 경화는 당업계에 공지된 어떤 수단, 예를 들어 축합을 유도하기 위한 가열, 또는 올리고머 또는 모노머 단위의 자유 래디칼 커플링을 촉진하기 위한 e-빔 조사선을 포함하나 이들에만 한정되지 않는 수단일 수 있다. 전형적으로, B-단계 물질은 승온에서, 예를 들어 직접 또는 단계적 방식으로가열함으로써, 예컨대 200 ℃에서 2 시간동안 이어서 분당 5 ℃의 비율로 300 ℃까지 램프(ramp)하여 경화된다. 이러한 경화 조건은 당업자들에 공지되었으며, 선택된 특정 B-단계 유전체 물질에 좌우된다.
도 4는 금속 스터드(12)를 함유하는 기판(10) 상에 배치된 제거가능한 포로겐(17)을 가지는 유전체 물질(15)을 나타낸다. 제거가능한 포로겐(17)은 비율을 표시하지 않고, 실질적으로 구형으로 나타내었다. 본 발명에 유용한 제거가능한 포로겐이 어떤 적합한 형태, 바람직하게는 실질적으로 구형 및 보다 바람직하게는 구형일 수 있음은 자명할 것이다.
유전체 물질을 패턴화하고 어퍼쳐를 제공하도록 에칭한다. 전형적으로, 이러한 패턴화는 (i) 유전체 물질층에 예를 들어 Shipley Company(Marlborough, MA)에 의해 시판되는 것과 같은 포지티브 또는 네거티브 포토레지스트를 코팅하고; (ii) 포토레지스트를 마스크를 통해 조사선, 예를 들어 적절한 파장의 광 또는 e-빔에 이미지방식으로 노광한 후; (iii) 레지스트의 이미지를, 예를 들어 적합한 현상액으로 현상하며; (iv) 반응성 이온 에칭과 같은 적당한 전사 기술을 이용하여 이미지를 유전층을 통해 기판에 전사하는 것을 포함한다. 이때 에칭은 유전체 물질내에 어퍼쳐를 제공한다. 임의로, 반사방지 코팅을 포토레지스트층과 유전체 매트릭스 물질 사이에 배치한다. 이러한 리소그래피 패턴화 기술은 당업자들에 널리 알려져 있다.
도 5는 제거가능한 포로겐(17)을 함유하는 유전체 물질(15) 상에 배치된 포토레지스트(40)를 나타낸다. 도 6에 대해, 어퍼쳐(20)가 유전체 물질(15)에 표시되었다. 어퍼쳐(20)는 스트레이트 측벽(30)을 갖는다. 이러한 스트레이트 측벽은 제거가능한 포로겐을 여전히 함유하는 유전체 물질을 통해 에칭이 일어나기 때문이다.
본 발명의 방법에 따라, 포로겐은 제 1 금속층이 침착된 후부터는 유전체 물질로부터 제거되지 않는다. 이러한 금속층은 전형적으로 어퍼쳐 에칭루 침착된다. 금속층은 하나 이상의 장벽층, 씨드층 및 금속화 층을 포함한다. 본 명세서를 통해, "금속화 층"은 어퍼쳐를 실질적으로 또는 완전히 충전한 금속층, 즉 어퍼쳐 충전층을 의미한다. 전형적으로, 제 1 금속층은 장벽층 또는 씨드층이다. 어퍼쳐가 구리로 충전되는 경우, 장벽층은 전형적으로, 예를 들어 화학증착 ("CVD") 또는 물리적 증착("PVD")에 의해 도포된다. 장벽층은 전형적으로 구리가 유전체 물질내로 이동하는 것을 방지하기 위하여 구리와 함께 사용되며, 전형적으로 금속화 층에 비해 얇다. 이러한 장벽층은 전도성, 반전도성 또는 비전도성일 수 있다. 적합한 장벽층은 탄탈륨, 탄탈륨 나이트라이드, 탄탈륨 나이트라이드 실리사이드, 티탄, 티탄 나이트라이드, 텅스텐, 텅스텐 나이트라이드 및 텅스텐 나 이트라이드 실리사이드의 하나 이상을 포함하나, 이들로만 한정되지 않는다. 복수개의 장벽층, 예를 들어 티탄후 티탄 나이트라이드, 및 임의로 티탄 나이트라이드 실리사이드가 수반되는 층이 사용될 수 있다. 이러한 장벽층은 불연속층이거나, 예를 들어 저부상의 티탄으로부터 서브-화학양론적 티탄 나이트라이드를 경유해 화학양론적 티탄 나이트라이드 상부층에 이르는 변화되는 배열(graduated)일 수 있다. 장벽층이 존재하는 것이 바람직하다. 도 7은 본 발명에 따른 제거가능한 포로겐(17)을 함유하는 유전체 물질(15)상에 침착된 장벽층(35)을 나타낸다. 어퍼쳐의 스트레이트 측벽(30)은 실질적으로 연속적, 및 바람직하게는 연속적인 장벽층(35)을 제공한다.
씨드층은, 사용되는 경우, 제 1 금속층으로서 유전체 물질에 도포되거나, 앞서 침착된 장벽층에 도포될 수 있다. 적합한 씨드층은 구리 또는 구리 합금을 포함한다. 씨드층이 장벽층 없이 사용되는 경우, 씨드층이 구리가 아닌 것이 바람직하다. 씨드층은 또한 CVD 또는 PVD에 의해 증착될 수 있으며, 금속화 층에 비해 얇다. 또한, 씨드층은 무전해적으로 도포될 수 있다. 따라서, 씨드층은 무전해 도금용 촉매를 포함한다.
상기 장벽 및/또는 씨드층 침착후 어퍼쳐는 예를 들어 구리 또는 구리 합금에 의해 금속화되거나 충전될 수 있다. 금속화는 어떤 수단에 의헤서도 수행될 수 있으나, 바람직하게는 적어도 부분적으로 전해질성이고, 보다 바람직하게는 전해질성이다. 어퍼쳐의 금속화 방법은 당업자들에 널리 알려져 있다. 예를 들어 Shipley Company(Marlborough, Massachusetts)로부터 입수가능한 ULTRAFILLTM 2001 EP 구리 침착 화학이 어퍼쳐의 전해 구리 금속화를 위해 사용될 수 있다.
또한, 어퍼쳐는 장벽 또는 씨드층의 필요없이 무전해적으로 금속화되거나 충전될 수 있다. 어퍼쳐가 구리에 의해 무전해적으로 금속화되는 경우, 장벽층이 바람직하다.
침착된 금속층은 전형적으로 평탄화된다. 침착된 각 금속층이 평탄화될 수 있으나, 처리 용이성을 위해 어퍼쳐 충전 금속층이 평탄화되는 것이 바람직하다. 제 1 금속층, 전형적으로 장벽층 또는 씨드층이 참착될 때까지만 포로겐이 유전층에 실질적으로 존재할 필요가 있음이 당업자들에는 자명할 것이다. 제 1 금속층 침착후, 포로겐이 제거될 수 있다. 평탄화후, 바람직하게는 어퍼쳐 충전 금속층 평탄화후 포로겐을 제거하는 것이 유전체 매트릭스로부터 포로겐 성분이 좀더 용이하게 제거되도록 한다.
적어도 하나의 금속층이 침착되면, 유전체 물질이 실질적으로 분해되지 않게, 즉 유전체 물질이 5 중량% 미만으로 손실되게 포로겐이 적어도 부분적으로 제거되도록 유전체 물질을 컨디셔닝한다. 전형적으로, 이러한 컨디셔닝은 필름을 열 및/또는 조사선에 노출시키는 것을 포함한다. 매트릭스 물질을 열 또는 광에 노출하여 포로겐을 제거하는 것이 바람직하다. 포로겐을 열적으로 제거하기 위하여, 유전체 매트릭스 물질을 오븐 가열 또는 마이크로웨이브 가열에 의해 가열할 수 있다. 전형적인 가열 제거 조건하에서, 중합 유전체 매트릭스 물질은 약 350 내지 400 ℃로 가열된다. 열적으로 불안정한 포로겐의 특정 제거 온도는 포로겐 조성에 따라 달라짐을 당업자들은 알 수 있을 것이다. 제거시, 포로겐은 탈중합하거나, 그렇치 않으면 휘발 성분 또는 단편으로 파괴되며, 이는 이어서 유전체 매트릭스 물질로부터 제거되거나 이동하여 본 공정에 사용된 담체 가스로 충전될 수 있는 기공 또는 보이드를 제공한다. 따라서, 보이드의 크기가 바람직하게는 포로겐의 입자 크기와 실질적으로 동일한, 보이드를 갖는 다공성 유전체 물질이 수득된다. 이에 따라, 보이드를 갖는 유전체 물질은 이러한 보이드를 갖지 않는 물질보다 유전 상수가 낮다. 일반적으로, 평균 입자 크기가 약 0.5 내지 약 1,000 nm인 약 1,000 nm이하의 기공 크기가 얻어진다. 기공 크기가 약 0.5 내지 약 200 nm인 것이 바람직하며, 보다 바람직하게는 약 0.5 내지 약 50 nm 및 가장 바람직하게는 약 1 내지 약 20 nm이다.
금속층의 평탄화후, 바람직하게는 금속화 층의 평탄화후 포로겐이 유전체 물질로부터 제거되는 것이 바람직하다. 따라서, 본 발명은 a) 하나 이상의 유전체 매트릭스 물질 및 제거가능한 포로겐을 포함하는 B-단계 유전체 매트릭스 조성물을 기판 표면상에 배치하고; b) B-단계 유전체 매트릭스 조성물을 경화하여 포로겐을 실질적으로 제거함이 없이 유전체 매트릭스 물질을 형성한 후; c) 유전체 매트릭스 물질을 패턴화하며; d) 유전체 물질 표면상에 적어도 하나의 장벽층 또는 씨드층을 침착시키고; e) 어퍼쳐 충전 필름층을 침착시킨 다음; f) 어퍼쳐 충전 필름층을 평탄화하고; g) 유전체 매트릭스 물질을, 포로겐이 적어도 부분적으로 제거되도록 컨디셔닝하여 실질적으로 유전체 물질의 분해없이 다공성 유전체 물질층을 형성하는 단계를 포함하여 전자 디바이스를 제조하는 방법을 제공한다.
도 8은 기공(16), 실질적으로 연속적인 장벽층(35) 및 금속화 어퍼쳐(45)를 함유하는 유전체 물질(15)을 포함한 평탄화후 전자 디바이스를 나타낸다.
본 발명은 전자 디바이스 제조시, 라인 에지 또는 어퍼쳐 측벽 거칠기가 감소 또는 제거되었고, 장벽층이 좀더 매끄러운 어퍼쳐 측벽 표면상에 침착됨으로써 장벽층 성능이 향상되었으며, 구리 어퍼쳐 충전의 전기 전도성이 향상되었고 총 처리 단계 및 시간이 감소된 것과 같은 다수의 이점을 제공한다.
다공성 유전체 물질의 통상적인 처리는 캡층(cap layer)의 사용을 필요로 한다. 본 발명에 따른 평탄화 단계후 포로겐 제거는 캡층의 필요성을 감소시키거나 제거하여 총 유전 상수를 추가로 감소시킨다. 이러한 캡층은 유전체 물질이 치수 안정성이 우수하고, 기계적 강도가 뛰어나며 평탄화 슬러리 또는 세정으로부터의 오염에 덜 민감하기 때문에 본 발명에 따라 필요치 않다. 본 발명은 a) 하나 이상의 유전체 매트릭스 물질 및 제거가능한 포로겐을 포함하는 B-단계 유전체 매트릭스 조성물을 기판 표면상에 배치하고; b) B-단계 유전체 매트릭스 조성물을 경화하여 포로겐을 실질적으로 제거함이 없이 유전체 매트릭스 물질을 형성한 후; c) 유전체 매트릭스 물질을 패턴화하며; d) 유전체 물질 표면상에 금속층을 침착시키고; e) 유전체 매트릭스 물질을, 포로겐이 적어도 부분적으로 제거되도록 컨디셔닝하여 실질적으로 유전체 물질의 분해없이 다공성 유전체 물질층을 형성하며; f) 다공성 유전층을 첨가된 캡층이 제거되도록 후속 처리 단계로 처리하는 단계를 포함하여 전자 디바이스를 제조하는 방법을 제공한다. 바람직하게, 단계 f)는 다공성 유전체 물질상에 B-단계 유전체 매트릭스 조성물을 배치하는 단계를 포함한다. 이때 B-단계 유전체 매트릭스 조성물은 단계 a)에 사용된 것과 동일하거나 상이할 수 있다. 단계 f)의 B-단계 유전체 매트릭스 조성물이 단계 a)에 사용된 것과 동일한 것이 바람직하다. 단계 d)의 금속층이 어퍼쳐 충전층인 것이 바람직하다. 이 방법이 포로겐의 제거전에 침착된 금속층을 평탄화하는 단계를 추가로 포함하는 것이 또한 바람직하다. 따라서, 본 발명은 또한 첨가된 캡층을 갖지 않는 다공성 유전층을 포함하는 전자 디바이스를 제공한다. 첨가된 캡층의 부재는 유전층의 총 유전 상수를 감소시키는 이점을 갖는다. 이러한 전자 디바이스가 하나 이상의 다공성 유전층을 함유할 수 있고, 이 층의 적어도 하나는 첨가된 캡층을 갖지 않으며 바람직하게 각 다공성 유전체 물질은 첨가된 캡층을 갖지 않음이 이해될 것이다.
또한, 첨가된 캡층의 제거는 거친 표면을 가지는 다공성 유전체 물질층을 제공한다. 이와 같은 거친 표면은 평탄화후 표면에 존재한 포로겐이 제거됨으로써 초래된다. 이론에 결부됨이 없이, 다공성 유전체 물질층의 거친 표면은 유전층의 기계적 인터로킹(interlocking)을 통해 후속하여 도포되는 유전체 물질에 대해 증가된 접착력을 제공하는 것으로 판단된다. 따라서, 본 발명은 또한 a) 평탄화 단계후 경화된 유전체 매트릭스 물질로부터 포로겐을 제거하여 다공성 유전체 물질층을 형성하고; b) 다공성 유전체 물질층상에 B-단계 유전체 매트릭스 조성물을 배치한 후; c) B-단계 유전체 매트릭스 조성물을 경화하여 유전체 매트릭스 물질을 형성하는 단계를 포함하여, 다공성 유전 물질층에 대한 유전체 물질의 접착성을 향상 시키는 방법을 제공한다. 유전층 간에 접착력이 증대되면 개별 층의 탈라미네이션 문제가 감소된다.
본 발명이 집적회로 제조에 대해서만 설명되었더라도, 다른 전자 디바이스도 본 발명에 따라 제조될 수 있음은 자명할 것이다.

Claims (37)

  1. 삭제
  2. 삭제
  3. 삭제
  4. 삭제
  5. 삭제
  6. 삭제
  7. 삭제
  8. 삭제
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. a) 하나 이상의 유전체 매트릭스 물질 및 제거가능한 포로겐을 포함하는 B-단계 유전체 매트릭스 조성물을 기판 표면상에 배치하고;
    b) B-단계 유전체 매트릭스 조성물을 경화하여 포로겐을 실질적으로 제거함이 없이 유전체 매트릭스 물질을 형성하며;
    c) 유전체 매트릭스 물질을 패턴화한 후;
    d) 유전체 물질 표면상에 금속층을 침착시키고;
    e) 유전체 매트릭스 물질을, 포로겐이 적어도 부분적으로 제거되도록 컨디셔닝하여 실질적으로 유전체 물질의 분해없이 다공성 유전체 물질층을 형성하는 단계를 특징으로 하여, 전자 디바이스(electronic device)를 제조하는 방법
  22. 제 21 항에 있어서, B-단계 유전체 물질이 유기 폴리실리카; 실리콘, 붕소 또는 알루미늄의 카바이드, 옥사이드, 나이트라이드 및 옥시플루오라이드; 벤조사이클로부텐; 폴리(아릴 에스테르); 폴리(에테르 케톤); 폴리카보네이트; 폴리(아릴렌 에테르); 폴리방향족 탄화수소; 폴리(과불소화 탄화수소); 폴리이미드; 폴리벤족사졸 및 폴리사이클로올레핀의 하나 이상중에서 선택되는 방법.
  23. 제 21 항에 있어서, B-단계 유전체 물질이 알킬 실세스퀴옥산; 아릴 실세스퀴옥산; 알킬/아릴 실세스퀴옥산 혼합물; 및 알킬 실세스퀴옥산의 혼합물중에서 선택되는 방법.
  24. 제 21 항에 있어서, 금속층이 장벽층, 씨드(seed)층 및 어퍼쳐 충전(aperture fill) 금속층의 하나 이상인 방법.
  25. 제 21 항에 있어서, 제거가능한 포로겐이 B-단계 유전체 물질과 실질적으로 상용적인(compatibile) 방법.
  26. 제 21 항에 있어서, 포로겐을 적어도 부분적으로 제거하기 전에 금속층을 평탄화하는 단계를 추가로 포함하는 방법.
  27. a) 하나 이상의 유전체 매트릭스 물질 및 제거가능한 포로겐을 포함하는 B-단계 유전체 매트릭스 조성물을 기판 표면상에 배치하고;
    b) B-단계 유전체 매트릭스 조성물을 경화하여 포로겐을 실질적으로 제거함이 없이 유전체 매트릭스 물질을 형성한 후;
    c) 유전체 매트릭스 물질을 패턴화하며;
    d) 유전체 물질 표면상에 적어도 하나의 장벽층 또는 씨드층을 침착시키고;
    e) 어퍼쳐 충전 필름층을 침착시킨 다음;
    f) 어퍼쳐 충전 필름층을 평탄화하고(planarizing);
    g) 유전체 매트릭스 물질을, 포로겐이 적어도 부분적으로 제거되도록 컨디셔닝하여 실질적으로 유전체 물질의 분해없이 다공성 유전체 물질층을 형성하는 단계를 특징으로 하여, 전자 디바이스를 제조하는 방법
  28. 제 27 항에 있어서, B-단계 유전체 물질이 유기 폴리실리카; 실리콘, 붕소 또는 알루미늄의 카바이드, 옥사이드, 나이트라이드 및 옥시플루오라이드; 벤조사이클로부텐; 폴리(아릴 에스테르); 폴리(에테르 케톤); 폴리카보네이트; 폴리(아릴렌 에테르); 폴리방향족 탄화수소; 폴리(과불소화 탄화수소); 폴리이미드; 폴리벤족사졸 및 폴리사이클로올레핀의 하나 이상중에서 선택되는 방법.
  29. 제 27 항에 있어서, 어퍼쳐 충전 금속층이 구리 또는 구리 합금을 포함하는 방법.
  30. 제 27 항에 있어서, 제거가능한 포로겐이 B-단계 유전체 물질과 실질적으로 상용적인 방법.
  31. a) 하나 이상의 유전체 매트릭스 물질 및 제거가능한 포로겐을 포함하는 B-단계 유전체 매트릭스 조성물을 기판 표면상에 배치하고;
    b) B-단계 유전체 매트릭스 조성물을 경화하여 포로겐을 실질적으로 제거함이 없이 유전체 매트릭스 물질을 형성한 후;
    c) 유전체 매트릭스 물질을 패턴화하며;
    d) 유전체 물질 표면상에 금속층을 침착시키고;
    e) 유전체 매트릭스 물질을, 포로겐이 적어도 부분적으로 제거되도록 컨디셔닝하여 실질적으로 유전체 물질의 분해없이 다공성 유전체 물질층을 형성하며;
    f) 다공성 유전층을 첨가된 캡층(cap layer)이 제거되도록 후속 처리 단계로 처리하는 단계를 특징으로 하여, 전자 디바이스를 제조하는 방법.
  32. a) 경화된 유전체 매트릭스 물질을 평탄화하는 단계;
    b) 평탄화된 경화된 유전체 매트릭스 물질로부터 포로겐을 제거하여 다공성 유전체 물질층을 형성하는 단계;
    c) 다공성 유전체 물질층상에 B-단계 유전체 매트릭스 조성물을 배치하는 단계; 및
    d) B-단계 유전체 매트릭스 조성물을 경화하여 유전체 매트릭스 물질을 형성하는 단계를 포함하는 것을 특징으로 하여, 다공성 유전체 물질층에 대한 유전체 물질의 접착성을 향상시키는 방법.
  33. 제 21 항 내지 32 항중 어느 한항에 있어서, 포로겐이 가교화 중합 입자를 포함하는 방법.
  34. 제 21 항 내지 32 항중 어느 한항에 있어서, 유전체 매트릭스 물질을 열 및 조사선에 노출하여 적어도 부분적으로 포로겐을 제거하는 방법.
  35. 제 34 항에 있어서, 조사선이 가시광선, IR, 마이크로웨이브, UV 및 전자 빔중에서 선택되는 방법.
  36. 삭제
  37. 삭제
KR1020037003612A 2000-09-13 2001-09-08 전자 디바이스 제조 KR100841597B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US23212700P 2000-09-13 2000-09-13
US60/232,127 2000-09-13
PCT/US2001/028208 WO2002023629A2 (en) 2000-09-13 2001-09-08 Electronic device manufacture

Publications (2)

Publication Number Publication Date
KR20030038737A KR20030038737A (ko) 2003-05-16
KR100841597B1 true KR100841597B1 (ko) 2008-06-26

Family

ID=22871971

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020037003612A KR100841597B1 (ko) 2000-09-13 2001-09-08 전자 디바이스 제조

Country Status (8)

Country Link
US (5) US6596467B2 (ko)
EP (1) EP1323189A2 (ko)
JP (1) JP2004509468A (ko)
KR (1) KR100841597B1 (ko)
CN (1) CN1302532C (ko)
AU (1) AU2001288954A1 (ko)
TW (1) TW587307B (ko)
WO (1) WO2002023629A2 (ko)

Families Citing this family (115)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7253731B2 (en) 2001-01-23 2007-08-07 Raymond Anthony Joao Apparatus and method for providing shipment information
KR100841597B1 (ko) * 2000-09-13 2008-06-26 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨 전자 디바이스 제조
US6998148B1 (en) 2001-03-28 2006-02-14 Shipley Company, L.L.C. Porous materials
JP2003152165A (ja) * 2001-11-15 2003-05-23 Fujitsu Ltd 半導体装置およびその製造方法
KR20030094099A (ko) * 2002-06-03 2003-12-11 쉬플리 캄파니, 엘.엘.씨. 전자 디바이스 제조
US6903001B2 (en) * 2002-07-18 2005-06-07 Micron Technology Inc. Techniques to create low K ILD for BEOL
US20040017698A1 (en) * 2002-07-25 2004-01-29 Micron Technology, Inc. Refined gate coupled noise compensation for open-drain output from semiconductor device
US7153754B2 (en) * 2002-08-29 2006-12-26 Micron Technology, Inc. Methods for forming porous insulators from “void” creating materials and structures and semiconductor devices including same
JP2004274020A (ja) * 2002-09-24 2004-09-30 Rohm & Haas Electronic Materials Llc 電子デバイス製造
US6746967B2 (en) * 2002-09-30 2004-06-08 Intel Corporation Etching metal using sonication
US7404990B2 (en) * 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US7018918B2 (en) * 2002-11-21 2006-03-28 Intel Corporation Method of forming a selectively converted inter-layer dielectric using a porogen material
US6943121B2 (en) * 2002-11-21 2005-09-13 Intel Corporation Selectively converted inter-layer dielectric
US20040130027A1 (en) * 2003-01-07 2004-07-08 International Business Machines Corporation Improved formation of porous interconnection layers
US7138158B2 (en) * 2003-02-28 2006-11-21 Intel Corporation Forming a dielectric layer using a hydrocarbon-containing precursor
TWI240959B (en) * 2003-03-04 2005-10-01 Air Prod & Chem Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US6737365B1 (en) * 2003-03-24 2004-05-18 Intel Corporation Forming a porous dielectric layer
US20040191417A1 (en) * 2003-03-28 2004-09-30 Dorie Yontz Method of integrating a porous dielectric in an integrated circuit device
US7241704B1 (en) 2003-03-31 2007-07-10 Novellus Systems, Inc. Methods for producing low stress porous low-k dielectric materials using precursors with organic functional groups
US7208389B1 (en) 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US7176144B1 (en) 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
US20050260420A1 (en) * 2003-04-01 2005-11-24 Collins Martha J Low dielectric materials and methods for making same
US6921978B2 (en) * 2003-05-08 2005-07-26 International Business Machines Corporation Method to generate porous organic dielectric
US7265061B1 (en) 2003-05-09 2007-09-04 Novellus Systems, Inc. Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
US20040248403A1 (en) * 2003-06-09 2004-12-09 Dubin Valery M. Method for forming electroless metal low resistivity interconnects
US7122481B2 (en) * 2003-07-25 2006-10-17 Intel Corporation Sealing porous dielectrics with silane coupling reagents
DE20321548U1 (de) * 2003-09-19 2007-11-29 Gallus Druckmaschinen Gmbh Rotationsdruckmaschine
JP2005133060A (ja) * 2003-10-29 2005-05-26 Rohm & Haas Electronic Materials Llc 多孔性材料
TWI220774B (en) * 2003-11-03 2004-09-01 Univ Nat Sun Yat Sen Method for patterning low dielectric constant film and method for manufacturing dual damascene structure
JP2005142473A (ja) * 2003-11-10 2005-06-02 Semiconductor Leading Edge Technologies Inc 半導体装置の製造方法
US8053159B2 (en) 2003-11-18 2011-11-08 Honeywell International Inc. Antireflective coatings for via fill and photolithography applications and methods of preparation thereof
US7390537B1 (en) 2003-11-20 2008-06-24 Novellus Systems, Inc. Methods for producing low-k CDO films with low residual stress
JP2005181958A (ja) * 2003-12-22 2005-07-07 Rohm & Haas Electronic Materials Llc レーザーアブレーションを用いる電子部品および光学部品の形成方法
US7125793B2 (en) * 2003-12-23 2006-10-24 Intel Corporation Method for forming an opening for an interconnect structure in a dielectric layer having a photosensitive material
US7094713B1 (en) 2004-03-11 2006-08-22 Novellus Systems, Inc. Methods for improving the cracking resistance of low-k dielectric materials
US7341761B1 (en) 2004-03-11 2008-03-11 Novellus Systems, Inc. Methods for producing low-k CDO films
US7381662B1 (en) 2004-03-11 2008-06-03 Novellus Systems, Inc. Methods for improving the cracking resistance of low-k dielectric materials
US7781351B1 (en) 2004-04-07 2010-08-24 Novellus Systems, Inc. Methods for producing low-k carbon doped oxide films with low residual stress
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US7622400B1 (en) 2004-05-18 2009-11-24 Novellus Systems, Inc. Method for improving mechanical properties of low dielectric constant materials
US7078814B2 (en) * 2004-05-25 2006-07-18 International Business Machines Corporation Method of forming a semiconductor device having air gaps and the structure so formed
US7157367B2 (en) * 2004-06-04 2007-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Device structure having enhanced surface adhesion and failure mode analysis
US7629272B2 (en) * 2004-06-07 2009-12-08 Axcelis Technologies, Inc. Ultraviolet assisted porogen removal and/or curing processes for forming porous low k dielectrics
US20050272220A1 (en) * 2004-06-07 2005-12-08 Carlo Waldfried Ultraviolet curing process for spin-on dielectric materials used in pre-metal and/or shallow trench isolation applications
US7303994B2 (en) * 2004-06-14 2007-12-04 International Business Machines Corporation Process for interfacial adhesion in laminate structures through patterned roughing of a surface
DE102004030674A1 (de) * 2004-06-24 2006-01-19 Basf Ag Vorrichtung und Verfahren zum Härten mit energiereicher Strahlung unter Inertgasatmosphäre
US7421885B2 (en) * 2004-06-28 2008-09-09 Air Products And Chemicals, Inc. Method for characterizing porous low dielectric constant films
JP4492947B2 (ja) * 2004-07-23 2010-06-30 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US20060046044A1 (en) * 2004-08-24 2006-03-02 Lee Chung J Porous composite polymer dielectric film
EP1632956A1 (en) * 2004-09-07 2006-03-08 Rohm and Haas Electronic Materials, L.L.C. Compositions comprising an organic polysilica and an arylgroup-capped polyol, and methods for preparing porous organic polysilica films
US7326444B1 (en) 2004-09-14 2008-02-05 Novellus Systems, Inc. Methods for improving integration performance of low stress CDO films
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7695765B1 (en) 2004-11-12 2010-04-13 Novellus Systems, Inc. Methods for producing low-stress carbon-doped oxide films with improved integration properties
US7229909B2 (en) * 2004-12-09 2007-06-12 International Business Machines Corporation Integrated circuit chip utilizing dielectric layer having oriented cylindrical voids formed from carbon nanotubes
WO2006064416A1 (en) * 2004-12-16 2006-06-22 Koninklijke Philips Electronics N.V. A semiconductor device having a recess with non-porous walls in a porous dielectric and a method of manufacturing such a semiconductor device
TWI261915B (en) * 2005-01-07 2006-09-11 Ind Tech Res Inst Phase change memory and fabricating method thereof
TW200631095A (en) * 2005-01-27 2006-09-01 Koninkl Philips Electronics Nv A method of manufacturing a semiconductor device
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US7341788B2 (en) * 2005-03-11 2008-03-11 International Business Machines Corporation Materials having predefined morphologies and methods of formation thereof
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US7459183B2 (en) * 2005-07-27 2008-12-02 International Business Machines Corporation Method of forming low-K interlevel dielectric layers and structures
US20070032077A1 (en) * 2005-08-08 2007-02-08 Tzung-Yu Hung Method of manufacturing metal plug and contact
JP2007053133A (ja) * 2005-08-15 2007-03-01 Toshiba Corp 半導体装置及びその製造方法
US7465652B2 (en) 2005-08-16 2008-12-16 Sony Corporation Method of forming a catalyst layer on the barrier layer of a conductive interconnect of a semiconductor device
US7422975B2 (en) 2005-08-18 2008-09-09 Sony Corporation Composite inter-level dielectric structure for an integrated circuit
US8586468B2 (en) 2005-08-24 2013-11-19 Sony Corporation Integrated circuit chip stack employing carbon nanotube interconnects
US7251799B2 (en) 2005-08-30 2007-07-31 Sony Corporation Metal interconnect structure for integrated circuits and a design rule therefor
US8368220B2 (en) * 2005-10-18 2013-02-05 Taiwan Semiconductor Manufacturing Co. Ltd. Anchored damascene structures
US7622378B2 (en) * 2005-11-09 2009-11-24 Tokyo Electron Limited Multi-step system and method for curing a dielectric film
US7892985B1 (en) 2005-11-15 2011-02-22 Novellus Systems, Inc. Method for porogen removal and mechanical strength enhancement of low-k carbon doped silicon oxide using low thermal budget microwave curing
US7381644B1 (en) 2005-12-23 2008-06-03 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
JP4788415B2 (ja) * 2006-03-15 2011-10-05 ソニー株式会社 半導体装置の製造方法
US7923376B1 (en) 2006-03-30 2011-04-12 Novellus Systems, Inc. Method of reducing defects in PECVD TEOS films
US20070232046A1 (en) * 2006-03-31 2007-10-04 Koji Miyata Damascene interconnection having porous low K layer with improved mechanical properties
US7955531B1 (en) * 2006-04-26 2011-06-07 Rohm And Haas Electronic Materials Llc Patterned light extraction sheet and method of making same
US7521727B2 (en) * 2006-04-26 2009-04-21 Rohm And Haas Company Light emitting device having improved light extraction efficiency and method of making same
US7851384B2 (en) * 2006-06-01 2010-12-14 Applied Materials, Inc. Method to mitigate impact of UV and E-beam exposure on semiconductor device film properties by use of a bilayer film
US8956457B2 (en) * 2006-09-08 2015-02-17 Tokyo Electron Limited Thermal processing system for curing dielectric films
US10037905B2 (en) * 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US8053375B1 (en) * 2006-11-03 2011-11-08 Advanced Technology Materials, Inc. Super-dry reagent compositions for formation of ultra low k films
JP5142538B2 (ja) 2007-01-26 2013-02-13 株式会社東芝 半導体装置の製造方法
US8642246B2 (en) 2007-02-26 2014-02-04 Honeywell International Inc. Compositions, coatings and films for tri-layer patterning applications and methods of preparation thereof
US20080206997A1 (en) * 2007-02-26 2008-08-28 Semiconductor Energy Laboratory Co., Ltd. Method for Manufacturing Insulating Film and Method for Manufacturing Semiconductor Device
US7833692B2 (en) * 2007-03-12 2010-11-16 Brewer Science Inc. Amine-arresting additives for materials used in photolithographic processes
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US20090075491A1 (en) * 2007-09-13 2009-03-19 Tokyo Electron Limited Method for curing a dielectric film
CN102789975B (zh) * 2008-03-06 2015-10-14 东京毅力科创株式会社 用于固化多孔低介电常数电介质膜的方法
US20090226694A1 (en) * 2008-03-06 2009-09-10 Tokyo Electron Limited POROUS SiCOH-CONTAINING DIELECTRIC FILM AND A METHOD OF PREPARING
US7858533B2 (en) * 2008-03-06 2010-12-28 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film
US7977256B2 (en) * 2008-03-06 2011-07-12 Tokyo Electron Limited Method for removing a pore-generating material from an uncured low-k dielectric film
US20090226695A1 (en) * 2008-03-06 2009-09-10 Tokyo Electron Limited Method for treating a dielectric film with infrared radiation
US8029971B2 (en) * 2008-03-13 2011-10-04 International Business Machines Corporation Photopatternable dielectric materials for BEOL applications and methods for use
JP4423379B2 (ja) * 2008-03-25 2010-03-03 合同会社先端配線材料研究所 銅配線、半導体装置および銅配線の形成方法
US8236684B2 (en) 2008-06-27 2012-08-07 Applied Materials, Inc. Prevention and reduction of solvent and solution penetration into porous dielectrics using a thin barrier layer
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
US8895942B2 (en) * 2008-09-16 2014-11-25 Tokyo Electron Limited Dielectric treatment module using scanning IR radiation source
US20100068897A1 (en) * 2008-09-16 2010-03-18 Tokyo Electron Limited Dielectric treatment platform for dielectric film deposition and curing
US20100067886A1 (en) * 2008-09-16 2010-03-18 Tokyo Electron Limited Ir laser optics system for dielectric treatment module
US20100065758A1 (en) * 2008-09-16 2010-03-18 Tokyo Electron Limited Dielectric material treatment system and method of operating
US8557877B2 (en) 2009-06-10 2013-10-15 Honeywell International Inc. Anti-reflective coatings for optically transparent substrates
KR101042191B1 (ko) * 2009-08-17 2011-06-20 백경물산주식회사 차량용 전기전자제품에서의 전원 제어 방법
US20110232677A1 (en) * 2010-03-29 2011-09-29 Tokyo Electron Limited Method for cleaning low-k dielectrics
US8764581B2 (en) * 2010-08-13 2014-07-01 Nike, Inc. Systems and methods for manufacturing a golf ball
US8864898B2 (en) 2011-05-31 2014-10-21 Honeywell International Inc. Coating formulations for optical elements
CN102427019A (zh) * 2011-06-17 2012-04-25 上海华力微电子有限公司 超低介电常数薄膜实现高性能金属-氧化物-金属的方法
CN105097658B (zh) * 2014-05-15 2018-05-25 中芯国际集成电路制造(上海)有限公司 半导体器件、互连层和互连层的制作方法
US9613906B2 (en) * 2014-06-23 2017-04-04 GlobalFoundries, Inc. Integrated circuits including modified liners and methods for fabricating the same
EP3194502A4 (en) 2015-04-13 2018-05-16 Honeywell International Inc. Polysiloxane formulations and coatings for optoelectronic applications
JP6801705B2 (ja) * 2016-03-11 2020-12-16 株式会社村田製作所 複合基板及び複合基板の製造方法
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US11753517B2 (en) 2019-12-12 2023-09-12 Raytheon Company Dispensable polyimide aerogel prepolymer, method of making the same, method of using the same, and substrate comprising patterned polyimide aerogel

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19980064089A (ko) * 1996-12-13 1998-10-07 윌리엄비.켐플러 다공성 유전체 금속화 방법
US5895263A (en) * 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US30297A (en) * 1860-10-09 Improved light for cars
US52125A (en) * 1866-01-23 Improved curb-bit
JPS5412500A (en) 1977-06-30 1979-01-30 Matsushita Electric Ind Co Ltd Film material providing high electrostatic capacitance
JP2624254B2 (ja) 1987-05-22 1997-06-25 東京応化工業株式会社 シリカ系被膜の膜質改善方法
MY112945A (en) 1994-12-20 2001-10-31 Ibm Electronic devices comprising dielectric foamed polymers
US5828315A (en) 1995-10-05 1998-10-27 Ncr Corporation Method of sending messages to electronic price labels prior to their identification with price look-up items
KR100440418B1 (ko) * 1995-12-12 2004-10-20 텍사스 인스트루먼츠 인코포레이티드 저압,저온의반도체갭충전처리방법
US5994375A (en) * 1996-02-12 1999-11-30 Berlex Laboratories, Inc. Benzamidine derivatives substituted by amino acid and hydroxy acid derivatives and their use as anti-coagulants
US5767014A (en) 1996-10-28 1998-06-16 International Business Machines Corporation Integrated circuit and process for its manufacture
US5962113A (en) 1996-10-28 1999-10-05 International Business Machines Corporation Integrated circuit device and process for its manufacture
US6448331B1 (en) 1997-07-15 2002-09-10 Asahi Kasei Kabushiki Kaisha Alkoxysilane/organic polymer composition for thin insulating film production and use thereof
WO1999003926A1 (fr) * 1997-07-15 1999-01-28 Asahi Kasei Kogyo Kabushiki Kaisha Composition alcoxysilane/polymere organique destinee a la production de fines pellicules isolantes et procede d'utilisation
US6350704B1 (en) * 1997-10-14 2002-02-26 Micron Technology Inc. Porous silicon oxycarbide integrated circuit insulator
AU3055599A (en) 1998-04-01 1999-10-25 Asahi Kasei Kogyo Kabushiki Kaisha Method of manufacturing interconnection structural body
US6284050B1 (en) 1998-05-18 2001-09-04 Novellus Systems, Inc. UV exposure for improving properties and adhesion of dielectric polymer films formed by chemical vapor deposition
TW389988B (en) * 1998-05-22 2000-05-11 United Microelectronics Corp Method for forming metal interconnect in dielectric layer with low dielectric constant
US6417115B1 (en) 1998-05-26 2002-07-09 Axeclis Technologies, Inc. Treatment of dielectric materials
RU2195050C2 (ru) * 1998-06-05 2002-12-20 Джорджиэ Тек Рисеч Копэрейшн Способ получения пористой изоляционной композиции (варианты), композиция, используемая для получения пористого изоляционного материала (варианты), и полупроводниковое устройство
US6093636A (en) * 1998-07-08 2000-07-25 International Business Machines Corporation Process for manufacture of integrated circuit device using a matrix comprising porous high temperature thermosets
WO2000018847A1 (fr) * 1998-09-25 2000-04-06 Catalysts & Chemicals Industries Co., Ltd. Fluide de revetement permettant de former une pellicule protectrice a base de silice dotee d'une faible permittivite et substrat recouvert d'une pellicule protectrice de faible permittivite
US6528426B1 (en) 1998-10-16 2003-03-04 Texas Instruments Incorporated Integrated circuit interconnect and method
US6171945B1 (en) * 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
EP1141128B1 (en) 1998-11-24 2006-04-12 Dow Global Technologies Inc. A composition containing a cross-linkable matrix precursor and a poragen, and a porous matrix prepared therefrom
JP3888794B2 (ja) * 1999-01-27 2007-03-07 松下電器産業株式会社 多孔質膜の形成方法、配線構造体及びその形成方法
US6420441B1 (en) * 1999-10-01 2002-07-16 Shipley Company, L.L.C. Porous materials
US6342454B1 (en) 1999-11-16 2002-01-29 International Business Machines Corporation Electronic devices with dielectric compositions and method for their manufacture
US6107357A (en) 1999-11-16 2000-08-22 International Business Machines Corporatrion Dielectric compositions and method for their manufacture
US6329062B1 (en) 2000-02-29 2001-12-11 Novellus Systems, Inc. Dielectric layer including silicalite crystals and binder and method for producing same for microelectronic circuits
US6495479B1 (en) * 2000-05-05 2002-12-17 Honeywell International, Inc. Simplified method to produce nanoporous silicon-based films
US6352917B1 (en) * 2000-06-21 2002-03-05 Chartered Semiconductor Manufacturing Ltd. Reversed damascene process for multiple level metal interconnects
US6271273B1 (en) 2000-07-14 2001-08-07 Shipley Company, L.L.C. Porous materials
JP2002050687A (ja) * 2000-08-03 2002-02-15 Seiko Epson Corp 半導体装置の製造方法
US6391932B1 (en) 2000-08-08 2002-05-21 Shipley Company, L.L.C. Porous materials
EP1314193A2 (en) 2000-08-21 2003-05-28 Dow Global Technologies Inc. Organosilicate resins as hardmasks for organic polymer dielectrics in fabrication of microelectronic devices
KR100841597B1 (ko) 2000-09-13 2008-06-26 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨 전자 디바이스 제조
TW588072B (en) 2000-10-10 2004-05-21 Shipley Co Llc Antireflective porogens
US6451712B1 (en) * 2000-12-18 2002-09-17 International Business Machines Corporation Method for forming a porous dielectric material layer in a semiconductor device and device formed
US20030054115A1 (en) 2001-09-14 2003-03-20 Ralph Albano Ultraviolet curing process for porous low-K materials
DE20116246U1 (de) 2001-10-04 2002-03-28 Textilma Ag Rotations-Siebdruckvorrichtung für textile Flächengebilde

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19980064089A (ko) * 1996-12-13 1998-10-07 윌리엄비.켐플러 다공성 유전체 금속화 방법
US5895263A (en) * 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device

Also Published As

Publication number Publication date
CN1528016A (zh) 2004-09-08
US6596467B2 (en) 2003-07-22
US20020030297A1 (en) 2002-03-14
AU2001288954A1 (en) 2002-03-26
CN1302532C (zh) 2007-02-28
US20030186168A1 (en) 2003-10-02
US20040161922A1 (en) 2004-08-19
EP1323189A2 (en) 2003-07-02
US20030059723A1 (en) 2003-03-27
JP2004509468A (ja) 2004-03-25
US7294453B2 (en) 2007-11-13
US6667147B2 (en) 2003-12-23
US7163780B2 (en) 2007-01-16
WO2002023629A2 (en) 2002-03-21
US20030186169A1 (en) 2003-10-02
TW587307B (en) 2004-05-11
WO2002023629A3 (en) 2002-10-31
KR20030038737A (ko) 2003-05-16

Similar Documents

Publication Publication Date Title
KR100841597B1 (ko) 전자 디바이스 제조
US20020123240A1 (en) Electronic device manufacture
JP4758949B2 (ja) 多孔性有機ポリシリカ誘電体形成用の組成物
KR20110014540A (ko) 전자 디바이스 제조
EP1632956A1 (en) Compositions comprising an organic polysilica and an arylgroup-capped polyol, and methods for preparing porous organic polysilica films
CN1236479C (zh) 在半导体器件上形成多孔介电材料层的方法
US6818552B2 (en) Method for eliminating reaction between photoresist and OSG
US6831005B1 (en) Electron beam process during damascene processing
EP1186026A1 (en) Fabrication method for self-aligned cu diffusion barrier in an integrated circuit
EP0970519A1 (en) Integration of low-k polymers into interlevel dielectrics using controlled electron-beam radiation
EP1186016A1 (en) Process of using siloxane dielectric films in the integration of organic dielectric films in electronic devices
JP2005517784A (ja) オルガノシロキサン
US20040052948A1 (en) Electronic device manufacture

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130603

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20140609

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20150519

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20160517

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20170522

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20180516

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20190515

Year of fee payment: 12