CN1528016A - 电子组件制造方法 - Google Patents

电子组件制造方法 Download PDF

Info

Publication number
CN1528016A
CN1528016A CNA018155650A CN01815565A CN1528016A CN 1528016 A CN1528016 A CN 1528016A CN A018155650 A CNA018155650 A CN A018155650A CN 01815565 A CN01815565 A CN 01815565A CN 1528016 A CN1528016 A CN 1528016A
Authority
CN
China
Prior art keywords
dielectricity
layer
poly
stage
dielectric material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA018155650A
Other languages
English (en)
Other versions
CN1302532C (zh
Inventor
M��K��������
M·K·贾伦杰
游元金
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SHIPLEY Inc
Rohm and Haas Electronic Materials LLC
Original Assignee
SHIPLEY Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=22871971&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=CN1528016(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by SHIPLEY Inc filed Critical SHIPLEY Inc
Publication of CN1528016A publication Critical patent/CN1528016A/zh
Application granted granted Critical
Publication of CN1302532C publication Critical patent/CN1302532C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02137Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material comprising alkyl silsesquioxane, e.g. MSQ
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C67/00Shaping techniques not covered by groups B29C39/00 - B29C65/00, B29C70/00 or B29C73/00
    • B29C67/20Shaping techniques not covered by groups B29C39/00 - B29C65/00, B29C70/00 or B29C73/00 for porous or cellular articles, e.g. of foam plastics, coarse-pored
    • B29C67/202Shaping techniques not covered by groups B29C39/00 - B29C65/00, B29C70/00 or B29C73/00 for porous or cellular articles, e.g. of foam plastics, coarse-pored comprising elimination of a solid or a liquid ingredient
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4803Insulating or insulated parts, e.g. mountings, containers, diamond heatsinks
    • H01L21/481Insulating layers on insulating parts, with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/02Details
    • H05K1/0213Electrical arrangements not otherwise provided for
    • H05K1/0237High frequency adaptations
    • H05K1/024Dielectric details, e.g. changing the dielectric material around a transmission line
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1042Formation and after-treatment of dielectrics the dielectric comprising air gaps
    • H01L2221/1047Formation and after-treatment of dielectrics the dielectric comprising air gaps the air gaps being formed by pores in the dielectric
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2201/00Indexing scheme relating to printed circuits covered by H05K1/00
    • H05K2201/01Dielectrics
    • H05K2201/0104Properties and characteristics in general
    • H05K2201/0116Porous, e.g. foam
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24273Structurally defined web or sheet [e.g., overall dimension, etc.] including aperture
    • Y10T428/24322Composite web or sheet
    • Y10T428/24331Composite web or sheet including nonapertured component

Abstract

本发明公开制造电子组件,特别是集成电路的方法。这些方法包括使用通过用可移除的孔原物(porogen)材料制成的低介电常数材料。

Description

电子组件制造方法
发明背景
本发明一般性涉及电子组件制造领域。特别地,本发明系有关含有低介电常数材料的集成电路的制造。
随着电子组件变得愈小,电子工业对于增加电子组件中的电路密度具有持续性的需求,例如,对于集成电路、电路板、多芯片模块、芯片检测装置等,且不会降低电性能,如串讯(crosstalk)或电容偶合;且也要增加这些组件中的讯号传递速度。藉由减低组件所用层间,或金属间的绝缘材料所具介电常数完成这些目标。一种减低这些层间,或金属间绝缘材料所具介电常数的方法为在绝缘膜片内掺入非常小,均匀分散的孔洞或空隙。
于电子组件制造技艺中,特别是对集成电路,已知有多种有机和无机介电材料。适当的无机介电材料包括二氧化硅和有机聚氧化硅。适当的有机介电性材料包括热固性材料例如聚酰亚胺、聚芳烯醚、聚芳烯、聚三聚氰酸酯、聚苯并唑类、聚并环丁烯类等。
一般而言,多孔型介电材料系通过先在B-阶段介电材料中掺入可移除性孔原物(porogen),将含有孔原物的B-阶段介电材料配置到基板上,将B-阶段介电材料固化然后移除掉该聚合物而形成多孔型介电材料。例如,美国专利第5,895,263号(Carter et al.)揭示一种形成含有多孔型有机聚氧化硅介电性材料的集成电路的方法。美国专利第6,093,636号(Carter et al.)揭示一种形成含有多孔型热固性介电材料的集成电路的方法。于这两专利中所述方法都需要在任何后续加工步骤之前形成多孔型介电材料之步骤。
多孔型介电材料相对于不含孔洞的相同介电材料具有减低的,且可能实质减低的介电常数。不过,于某些情况中,这些孔洞的存在会有问题。例如,经蚀刻到这些多孔型介电材料内的锐孔会因为介电材料中的孔洞或空隙而使其侧壁变得粗糙。此种侧壁粗糙性会增加沉积后续金属层例如障壁层或种层(Seed layer)的困难处。该障壁层或种层典型地系经化学或物理气相沈积成视线型式。因此,锐孔侧壁中的粗糙性倾向于会在障壁层及/或种层内造成不连续性。这些不连续性可能在电子组件的制造中对后续加工步骤及其性能有不利的影响。
因此需要有一种制造包括具有实质连续锐孔的障壁层及/或种层之多孔性介电材料的电子组件的方法。
发明概述
令人惊讶地发现本发明方法可在经蚀刻之多孔型介电材料中的锐孔中提供具有实质连续性(优选地为连续性金属层及优选地为障壁层及/或种层)的电子组件。
一方面,本发明提出一种制造电子组件的方法,其包括下列步骤:a)在一基板表面上配置一B-阶段介电基质组合物,该组合物包括一或多种介电性基质材料及可移除的孔原物;b)未实质移除该孔原物的条件下固化该B-阶段介电基质组合物,形成介电性基质材料;c)将该介电性基质材料图样化(patterning);d)在该介电性材料的表面上沉积一金属层;及e)以至少可部份移除掉该孔原物形成一多孔型介电材料层而不会实质地降解该介电材料的条件处理该介电性基质材料。
另一方面,本发明提出一种制造电子组件的方法,其包括下列步骤:a)在一基板表面上配置一B-阶段介电性基质组合物,该组合物包括一或多种介电性基质材料及可移除的孔原物;b)未实质移除该孔原物的条件下固化该B-阶段介电性基质组合物,形成介电性基质材料;c)将该介电性基质材料图样化;d)在该介电性材料的表面上沉积至少一障壁层或种层;e)沈积一锐孔填充金属层;f)将该锐孔填充金属层平面化;及g)以至少部份移除掉该孔原物形成多孔型介电材料层而不会实质地降解该介电性材料的条件处理该介电基质材料。
另一方面,本发明提出一种制造电子组件的方法,其包括下列步骤:a)在一基板表面上配置一B-阶段介电性基质组合物,该组合物包括一或多种介电性基质材料及可移除的孔原物;b)未实质移除该孔原物的条件下,固化该B-阶段介电性基质组合物,形成介电性基质材料;c)将该介电性基质材料图样化;d)在该介电性材料的表面上沉积一金属层;e)以至少部份移除该孔原物形成一多孔型介电材料层而不会实质地降解该介电材料的条件处理该介电基质材料;及(f)对该多孔型介电层施加后续加工步骤,其中所述的多孔型介电层不含加盖层。
又另一方面,本发明提出一种包括不含加盖层的多孔型介电层的电子组件。
附图说明
图1表示出现有技术电子组件,其包括以常规方式制得经配置在基板上具有孔洞或空隙的介电性材料,该图未按比例示出。
图2表示出一种现有技术电子组件,其包括多孔型介电性材料,该图未按比例示出。
图3表示出一种现有技术电子组件,其具有施涂于多孔型介电材料的不连续障壁层,该图未按比例示出。
图4表示出一种电子组件其包括经配置在含有金属钉的基板上面含有可移除孔原物的介电材料,该图未按比例示出。
图5表示出一种电子组件,其包括经配置在一含有可移除性聚合物的介电材料上的光阻层,该图未按比例示出。
图6表示出一种电子组件,其在介电材料内具有直侧壁的锐孔,该图未按比例示出。
图7表示出一种电子组件,其在含有可移除性孔原物的介电材料上具有经配置的实质连续性障壁层。
图8表示出在平面处理后的电子组件,其包括多孔型介电材料、实质连续性障壁层及经金属处理的锐孔,该图未按比例示出。
发明的详细说明
如在本说明书整体所用者,除非文中另外有清楚指示,下列缩写具有下列意义:℃=摄氏度;UV=紫外;且nm=奈米。“锐孔”指的是任何凹陷条件,例如,通孔(vias)和沟漕(trenches)。
“烷基”一词包括直链型、支链型和环状烷基。“孔原物”一词指的是孔洞形成性材料或部份体,例如,但不限于可与介电性材料共聚合形成嵌段共聚物的化合物,或分散于介电性材料中可在产生孔洞、空隙或自由容积后可移除的聚合材料或粒子。因此,“可移除性孔原物”、“可移除性聚合物”及“可移除性粒子”诸词在本说明书内可互换使用。“孔洞”、“空隙”及“自由容积”诸词在本说明书内可互换使用。“交联剂”及“交联性试剂”在本说明书内可互换使用。“聚合物”指的是聚合物和低聚物,且也包括均聚物和共聚物。“低聚物”和“低聚物型”两词指的是二聚物、三聚物、四聚物等。“单体”指的是能够聚合的任何乙烯型或乙炔型未饱和化合物,或能够通过缩合聚合的其它化合物。这些单体可含有一或多个双键或参键或能够缩合以形成聚合物的基。
“B-阶段”一词指的是未经固化的介电性基质材料。“未经固化”意指可经聚合或固化形成较高分子量材料,例如涂料或膜,之任何介电性材料。这些B-阶段材料可为单体型、低聚物型或其混合物。B-阶段材料复包括聚合物型材料与单体,低聚物或单体/低聚物混合物的混合物。
除非另外指明,否则所有量都是重量百分比且所有比例都是重量比。所有数值范围都是内含且可组合者。
在常用的制备电子组件例如具有多孔型介电材料层的集成电路的方法中,首先是在一基板上配置含有可移除性聚合物之B-阶段介电材料。然后在设有实质地移除或降解该聚合物之下固化该B-阶段介电性材料而在该基板上形成含有可移除性聚合物的介电材料层、涂层或膜。接着以实质地移除该聚合物并在基板上形成多孔型介电材料的条件处理该介电性材料。这些介电材料与不含孔洞的这些材料相比,典型地具有较为低的介电常数。在形成该孔洞型介电材料之后,才对该基板施以后续加工步骤,例如,平版刻法、蚀刻、障壁层/种层沉积、金属化及平面化处理。这些传统方法会导致具有实质侧壁粗糙性的锐孔,其可能对后续加工步骤和组件性能有不利的影响。
图1表示一种包括在具有金属钉12的基板10上面配置经传统方式制成具有孔洞或空隙16之介电材料15的电子组件。于一典型方法中,视情况会在该多孔型介电层的表面上施涂抗反射涂层。然后在该抗反射涂层或多孔型介电层的表面上施涂一光阻层,并将该光阻层曝光以使该介电性多孔层图样化。于图样化之后,将该多孔型介电层蚀刻以设置锐孔,再移除掉光阻层。图2表示出在光阻层蚀刻和移除后的经传统方式制备的多孔型介电性材料15。在该多孔型介电材料15中的锐孔20具有不平或粗糙的侧壁30(为清晰起见予以放大)。这些不平坦侧壁系为该介电性材料15中含有孔洞16所致。于锐孔20的形成过程中,会许多孔洞会被蚀刻穿破,导致这些锐孔侧壁含有某些孔洞的一部份25(为清晰起见予以放大)。第3图表示出这些传统方法的后续步骤,其中对该介电材料15施涂一障壁层35。该粗糙侧壁30导致障壁层中的不连续开口或裂痕27。这些不连续性在后续金属化中会有问题,因为在金属层中空隙及障壁层中的不连续性可能使铜渗移到介电层内。
这些问题可由本发明予以减低或避免。本发明提出一种制造电子组件的方法,其包括下列步骤:a)在一基板表面上配置一B-阶段介电性基质组合物,该组合物包括一或多种介电性基质材料及可移除性孔原物;b)未实质移除该孔原物的条件下固化该B-阶段介电性基质组合物,而形成介电性基质材料;c)将该介电性基质材料图样化;d)在该介电性材料的表面上沉积一金属层;及e)以至少部份移除该孔原物形成多孔型介电材料层而没有实质地降解该介电性材料的条件处理该介电基质材料。
任何能够形成多孔型介电材料的B-阶段介电材料都可用于本发明中。适当的B-阶段介电材料包括,但不限于无机材料例如有机聚氧化硅、硅、硼或铝的碳化物、氧化物、氮化物及氧氟化物;及有机基质材料例如苯并环丁烯、聚(芳基酯类)、聚(醚酮)类、聚碳酸酯、聚(芳烯醚)、聚芳烃例如聚、聚喏、聚(全氟化烃)例如聚(四氟乙烯)、聚酰亚胺、聚苯并噁唑和聚环烯烃例如聚原冰片烯。这些B-阶段介电性材料通常为市面上可取得者或可用文献中已知的方法制备者。
可用于本发明中的特别适当B-阶段有机聚氧化硅(或有机硅氧烷)介电性材料为包括硅、碳、氧和氢原子且具有下式者之任何化合物:
((RR1SiO)n(R2SiO1.5)b(R3SiO1.5)c(SiO2)d)n其中R、R1、R2和R3彼此独立地为选自:氢、(C1-C6)烷基、芳基和经取代芳基;a、b、c和d彼此独立地为0至1的数;n为约3至约10,000的整数;但其限制条件为a+b+c+d=1;且R、R1和R2中至少有一者不为氢。“经取代芳基”指的是其氢原子中有一或多个被另一取代基所置换,例如氰基、羟基、氢硫基、卤素、(C1-C6)烷基、(C1-C6)烷氧基等。于上述的式子中,a、b和c表每一种成分的莫耳比例。这些莫耳比例可在0与约1之间改变。以a为0至约0.8为佳。优选地b为约0.2至约1。更优选者c为0至约0.8。又更优选者d为0至约0.8。于上述的式子中,n指B-阶段材料中的重复单位数目。优选地,n为约3至约1000的整数。应了解,在任何固化步骤之前,该B-阶段有机聚氧化硅介电性基质材料可包括一或多个羟基或烷氧基封端或侧链官能基。这些封端或侧链官能基皆为谙于此技者所知悉。
适当的有机聚氧化硅介电性基质材料包括,但不限于,硅倍半氧烷,经部份缩合的卤硅烷或烷氧基硅烷例如通过控制水解具有约500至约20,000数量平均分子量之四乙氧硅烷而部份缩合;具有组成RSiO3或R2SiO2其中R为有机取代基且经有机改质硅酸酯;及具有Si(OR)4作为单体单位的部份缩合正硅酸酯。硅倍半氧烷为RSiO1.5型其中R为有机取代基的聚合物型硅酸酯材料。适当的硅倍半氧烷为烷基硅倍半氧烷类例如甲基硅倍半氧烷、乙基硅倍半氧烷、丙基硅倍半氧烷、丁基硅倍半氧烷等;芳基硅倍半氧烷类例如苯基硅倍半氧烷和甲苯基硅倍半氧烷;烷基/芳基硅倍半氧烷混合物例如甲基硅倍半氧烷和苯基硅倍半氧烷的混合物;以及烷基硅倍半氧烷类例如甲基硅倍半氧烷和乙基硅倍半氧烷的混合物。该有机聚氧化硅以包括甲基硅倍半氧烷为佳。B-阶段硅倍半氧烷材料包括硅倍半氧烷均聚物、硅倍半氧烷共聚物或其混合物。典型地,可用于本发明的硅倍半氧烷系作为具有约3至约10,000重复单位的低聚物型材料。
可用于本发明中适当的B-阶段有机介电性材料包括聚芳烯、聚芳烯醚类和苯并环丁烯类等揭示于WO 00/31183(Bruza et al.),及揭示于美国专利第6,093,636号(Carter et al.)和第5,969,088号(Ezzellet al.)之中的聚酰亚胺类,这些全部并于本文就其对这些有机介电性材料的制备和用途上作为参考。其它适当的B-阶段有机介电性材料包括聚环烯烃例如聚原冰片烯均聚物和共聚物及聚二环戊二烯均聚物和共聚物。
可以理解可以使用介电性材料的混合物,例如两种或多种有机型,两种或多种无机型或一或多种有机型与一或多种无机型介电性材料之混合物。例如,可以使用烷基/芳基硅倍半氧烷的混合物、氢基/烷基硅倍半氧烷混合物、二或多种聚芳烯醚、二或多种聚酰亚胺等。特别适当的介电材料混合物包括无机-有机混成物,例如欧洲专利申请第EP997 497(Ioka et al.)中所揭示烷氧基硅烷/有机介电性材料,将此专利申请案所揭示这些混合材料的制备方面系于本文作为参考。
用于本发明中的孔原物为任何可在所选介电材料内移除以提供空隙、孔洞或自由容积且可减低这些材料的介电常数,特别是对于具有低介电常数(“k”)的介电材料者。低-k介电材料为任何具有低于约4的介电常数的材料。
可用于本发明中的可移除型孔原物在固化该B-阶段介电材料或将介电材料图样化所用的条件下不会实质移除。本发明的孔原物在不会实质降解或以其它方式不利地影响该介电材料的条件下移除。
有多种可移除性孔原物可用于本发明。该可移除性孔原物可为聚合物例如聚合物型粒子,或为能够与介电性单体共聚合形成具有不安定性(可移除性)成分的嵌段共聚物的单体或聚合物。于另一具体实例中,可将孔原物与介电性单体预聚合形成可为单体型,低聚物型或聚合物型之B-阶段介电材料。然后将这些预聚合B-阶段材料固化形成介电层。
优选地,该可移除型孔原物在该B-阶段介电材料中系实质地不聚集或不黏聚者。这些不聚集或不黏聚作用可减低或避免在介电性基质中发生阻断孔洞(killer pore)或信道形成之问题。该可移除型孔原物以孔原物粒子或可与介电性单体共聚合者为佳,优选地为孔原物粒子。该孔原物粒子系与该B-阶段介电性基质材料实质兼容者为更优选。“实质兼容”意指该B-阶段介电性材料的组合物与孔原物系稍微浑浊或稍微不透明者。优选地,“实质兼容”意指下列之中至少一者系稍微浑浊或稍微不透明者:B-阶段介电材料和孔原物的溶液、包括B-阶段介电材料组合物和孔原物之膜或层、包括具有孔原物分散在其中的介电性基质材料的组合物及在移除掉孔原物后所得的多孔型介电性材料。要成为兼容者,该孔原物必须可溶或可混溶于该B-阶段介电性材料中、可溶或可混溶于溶解该B-阶段介电材料所用的溶剂之中或两者中。适当的兼容孔原物揭露于共同申请的美国专利申请案序号第09/460,326(Allen et al.)之中。其它适当的可移除性粒子揭露于美国专利第5,700,844号中。
经实质地兼容的孔原物,典型地具有分子量范围为10,000至1,000,000,优选地20,000至500,000,且更优选者20,000至100,000。这些材料的多分散率(polydispersity)为1至20,优选地为1.001至15,且更优选者为1.001至10的范围内。这些经实质兼容的孔原物系以经交联者为佳。典型地,其交联性试剂含量为以孔原物重量为基准的至少约1重量%。高达且包括以孔原物重量为基准之100%的交联性试剂可以有效地用于本发明的粒子中。该交联剂用量以约1%至约80%为佳,且优选地为约1%至约60%。
作为可移除性孔原物且具有不安定性成分的适当嵌段共聚物揭露于美国专利第5,776,990号和第6,093,636号中。这些嵌段共聚物可通过,例如使用作为孔洞形成性材料的高度分枝型脂肪族酯类制备成,这些酯类具有官能基可进一步用恰当的反应性基官能化使得经官能化的脂肪族酯可掺入(亦即,共聚合到)玻化中的聚合物基质内。这些嵌段共聚物适合用来形成多孔型有机介电性材料,例如苯并环丁烯、聚(芳基酯)、聚(醚酮)、聚碳酸酯、聚原冰片烯、聚(芳烯醚)、聚芳烃例如聚萘、聚喹喏啉、聚(全氟化烃)例如聚(四氟乙烯)、聚酰亚胺、聚苯并噁唑及聚环烯烃。
要成为用来形成多孔型介电材料,本发明孔原物必须在不会对介电性基质材料产生不利地影响的条件下为至少部份可移除者,优选地为实质可移除者,且更优选者完全可移除者。“可移除”一词意指该孔原物可解聚或其它方式地分解成挥发性成分或片段,然后从介电材料移除掉,或渗移出,而产生孔洞或空隙。可以至少部份移除该孔原物而不会对介电性基质材料产生不利地影响的任何程序或条件都可以使用。以实质移除该孔原物为佳。典型的移除方法包括,但不限于:曝露于热、压力、真空或辐射,例如,但不限于:光化辐射、IR、微波、UV、x-射线、γ-射线、α粒子、中子束或电子束。需了解,可以使用一种以上的方法移除孔原物或聚合物,例如热和光化辐射的组合。以曝露该基质材料于热或UV光来移除该孔原物为佳。谙于此技者也应了解,可以采用其它方法移除孔原物,例如用原子抽除法(atomabstraction)。
本发明孔原物可以在真空、氮气、氩气、氮气和氢气的混合物,例如成形性气体(forming gas),或其它惰性或还原性气氛之下经热移除。本发明孔原物可在高于该介电性基质材料所具热固化温度与低于其热分解温度之任何温度下移除。典型地,本发明孔原物可在150°至450℃范围内且优选地在250°至425℃的范围内之温度下移除。典型地,本发明孔原物系在加热时间1至120分钟范围内移除。在从介电性基质材料移除之后,于该多孔型介电材料中典型地会残留0至20重量%的孔原物。
于一具体实例中,当本发明孔原物通过曝露到辐射而移除时,该孔原物聚合物典型地系在惰性气氛例如氮气下曝露于辐射源,例如,但不限于,可视光或紫外光。于不欲受理论所拘束之下,相信会通过例如自由基分解而形成孔原物片段,且在惰性气流之下从基质材料移除。该辐射能量的适量必须足够至少部份地移除该孔原物粒子。
可移除性孔原物在本发明B-阶段介电性材料中典型的添加量为足以提供所需的低介电常数。例如,该孔原物在B-阶段介电性材料中的添加量以该B-阶段介电性材料重量为基准可为约1至约90重量%,优选地为10至80重量%,又优选地为15至60重量%,更优选者为20至30重量%。
当可移除性孔原物不是嵌段共聚物的成分时,可用技术领域中已知的任何方法与B-阶段介电性材料组合。典型地,系先将B-阶段材料溶解在适当的高沸点溶剂内,例如溶于甲基异丁基酮、二异丁基酮、2-庚酮、γ-丁内酯、γ-己内酯、乳酸乙酯、丙二醇-甲基醚乙酸酯、丙二醇-甲基醚、二苯基醚、甲氧苯、乙酸正戊酯、乙酸正丁酯、环己酮、N-甲基-2-吡咯烷酮、N,N’-二甲基伸丙基脲、1,3,5-三甲苯、二甲苯、或其混合物形成之溶液。然后将孔原物分散或溶解在该溶液内。之后将所得组合物(如,分散液、悬浮液或溶液)以技术领域中已知的方法沉积在基板上,例如用旋转涂布、喷洒涂布或用刮刀成型,而形成薄膜或层。
适当的基板包括,但不限于:硅,在绝缘体上的硅、硅锗、二氧化硅、玻璃、氮化硅、陶瓷、铝、铜、砷化镓、塑料,例如聚碳酸酯、电路板,例如FR-4和聚酰亚胺、及混合电路基板,例如氮化铝-氧化铝。这些基板复包括沉积其上面的薄膜,这些薄膜包括,但不限于:金属氮化物、金属碳化物、金属硅化物、金属氧化物、和其混合物。于多层集成电路组件中,具有绝缘、平面化电路线的底下层也可以作为基板。
在沉积于基板上面之后,可将该B-阶段介电性材料至少部份地固化,且优选地予以实质地固化,而形成刚硬,经交联且未实质地移除该孔原物的介电性基质材料。这些经固化的介电性基质材料典型地为一涂层或膜。介电性材料的固化可为技术领域中已知的任何手段包括,但不限于,加热以诱发缩合反应或用e-束照射以促成低聚物或单体单位的自由基偶合。典型地,该B-阶段材料系通过在高温加热予以固化,例如,直接地或以逐步方式地,如在200℃下历时2小时后以5℃/分的速率,跳升到300℃并保持在此温度下2小时。熟悉该项技艺者,可依所选用的特别B-阶段介电性材料而得知此种固化条件。
图4显示出经配置在含有金属钉12的基板10上含可移除孔原物17的介电性材料15。该可移除孔原物17并未按比例示出且以实质球体形式显示。应了解可用于本发明方法中的可移除性孔原物可为任何适当形状,优选地为实质球体且更优选者为球体者。
介电材料再经图样化及蚀刻以产生锐孔。典型地,这些图样化包括(i)用正型或负型光阻剂,例如为Shipley Company(Marlborough,MA)所销售者,涂覆于该介电性材料层;(ii)透过光罩成像曝光,将该光阻层曝光于辐射,例如恰当波长的光或e-束;(iii)将阻剂中的影像显现出,如用适当的显像剂;及(iv)用适当的转移技术例如反应性离子蚀刻,将影像透过介电层转移到基板。这些蚀刻可在介电性材料中造成锐孔。视情况地,在光阻层与介电性基质材料之间配置一抗反射涂层。此种平版印刷图样化技术系为熟悉该项技艺者所熟知。
图5显示出配置在含有可移除孔原物17的介电材料15上的光阻层40。参看第6图,显示出在介电材料15中的锐孔20。该锐孔20具有直侧壁30。这些直侧壁系源自穿过仍含有可移除孔原物的蚀刻操作。
根据本发明方法,直到第一金属层沉积之前,均未从介电性材料移除该孔原物。这些金属层典型地系在锐孔蚀刻之后沉积。这些金属层可包括一或多层障壁层、种层及金属涂敷层。如在本说明书整体中所用者,“金属涂敷层”指的是实质地或完全地填充该锐孔的金属层,亦即锐孔填充层。典型地,第一金属层为障壁层或种层。当要用铜填充锐孔时,典型地为施涂一障壁层,例如用化学气相沉积(“CVD”)或物理气相沉积(“PVD”)。障壁层典型地系使用铜来阻止铜渗移到介电材料内且相对于金属涂敷层而言典型地系薄者。这些障壁层可为传导性者,半-传导性者或非-传导性者。适当的障壁层包括,但不限于下列中的一或多者:钽、氮化钽、氮化硅化钽、钛、氮化钛、钨、氮化钨及氮化硅化钨。可以使用一种以上的障壁层,例如用钛接着用氮化钛且视情况接着用氮化硅化钛。这些障壁层可为不连续层或为渐进式者,例如从底部的钛通过次化学计算氮化钛到化学计算氮化钛上层。优选地为含有一障壁层者。第7图显示出根据本发明在含有可移除性孔原物17的介电材料15上沉积的障壁层35。该锐孔的直侧壁30提供一实质连续性,且优选地为连续性的障壁层35。
当用到时,可将种层施涂至介电材料上作为第一金属层,或施涂至事先沉积好的障壁层之上。适当的种层包括铜或铜合金。于没有障壁层即使用种层时,优选地该种层不是铜。这些种层也可以用CVD或PVD予以沉积且相较于金属涂敷层而言系较薄者。另外,可用无电方式施涂种层。因而,种层包括供无电式电镀所用的触媒。
在沉积好这些障壁层及/或种层之后,可将锐孔用,例如铜或铜合金予以金属涂敷或填充。此种金属涂敷可为任何手段,不过优选地至少为部份电解式,且更优选者为电解式者。金属涂敷这些锐孔的方法系为熟悉该项技艺者所熟知。例如可以使用可得自ShipleyCompany(Marlborough,Massachusetts),的ULTRAFILL TM2001印铜沉积化学来进行锐孔的电解式铜金属涂敷。
另外,可以将锐孔以无电方式金属涂敷或填充而不需要障壁层或种层。若用铜以无电方式将锐孔金属涂敷时,优选地要有障壁层。
沉积好的金属层典型地要平面化处理过。虽然每一沉积好的金属层均可接受平面化处理,不过从加工容易性看来优选地为将锐孔填充金属层予以平面化处理。熟悉该项技艺者皆了解该孔原物需要保留在该介电层内直到第一金属层,典型者为障壁层或种层均沉积好为止。考虑孔原物成分从介电性基质移除的容易性,在沉积该第一金属层之后,即可移除孔原物。在平面化处理之后,优选地在锐孔填充金属层的平面化处理之后才移除孔原物。
在沉积好至少一金属层之后,以至少部份移除掉孔原物而不会实质地降解该介电材料,亦即,只失去低于5重量%该介电性材料的条件处理该介电性材料。典型地,这些处理条件包括将该膜曝露于热及/或辐射。优选地为将该基质材料曝露于热或光以移除该孔原物。要以热方式移除孔原物时,可通过烘箱加热或微波加热来加热该介电基质材料。在典型的热移除条件之下,系将经聚合的介电性基质材料加热到约350℃至400℃。热悉该项技艺者都了解一种热不安定性孔原物的特别移除温度系根据孔原物的组成而变异。于移除后,孔原物会解聚或以其它方式分解成挥发性成分或片段而从介电性基质材料移除,或渗移出,产生孔洞或空隙,这些可能由程序中所用的载气予以填充。如此,得到具有孔隙的多孔型介电材料,其中的空隙大小优选地系与孔原物的粒度实质地相同。如此所得到具有空隙的介电性材料相较于没有这些空隙的材料具有较低的介电常数。一般而言,可得到最高达约1,000奈米的孔洞尺寸,例如具有约0.5至约1000奈米范围内的平均粒子尺寸者。该平均孔洞尺寸系在约0.5至约200奈料为佳,优选地约0.5至约50奈米,且更优选者约1奈米至约20奈米的范围内。
移除该孔原物,系以金属层经平面化处理之后为佳,优选地系在该金属涂敷层经平面化处理之后。因此,本发明提出一种制造电子组件的方法,其包括下列步骤:a)在一基板表面上配置一B-阶段介电性基质组合物,该组合物包括一或多种介电性基质材料及可移除性孔原物;b)不实质地移除该孔原物的条件下,固化该B-阶段介电性基质组合物形成介电性基质材料;c)将该介电性基质材料图样化;d)在该介电性材料的表面上沉积至少一障壁层或种层;e)沈积一锐孔填充金属层;f)平面化处理该锐孔填充金属层;及g)以至少部份地移除该孔原物形成多孔型介电材料层而不实质地降解该介电材料的条件处理该介电基质材料。
图8表示出在平面化处理后的电子组件,包括含有孔洞16的介电性材料15、实质连续的障壁层35和经金属涂敷的锐孔45。
本发明在电子组件制造上提供数项优点:线条边缘或锐孔侧壁粗糙性得以减少或消除、由于障壁层系沉积在更平滑的锐孔侧壁表面上因而达到改良的障壁层性能、铜锐孔填充具有改良的电传导性以及减少整体加工的步骤和时间。
多孔型介电材料的传统加工中需要用到盖层(cap layer)。根据本发明,在平面化处理步骤之后才移除孔原物可减少或消除掉对于盖层的需要,其可降低整体的介电常数。根据本发明,由于该介电材料具有更优选的尺寸稳定性,更优选的机械强度及对于来自任何平面化处理浆液或洗液的污染较不敏感,因此不需要这些盖层。本发明提出一种制造电子组件的方法,其包括下列步骤:a)在一基板表面上配置一B-阶段介电性基质组合物,该组合物包括一或多种介电性基质材料及可移除性孔原物;b)在未实质地移除该孔原物的条件下,固化该B-阶段介电性基质组合物形成介电性基质材料;c)将该介电性基质材料图样化;d)在该介电性材料的表面上沉积一金属层;e)以至少部份移除掉该孔原物形成多孔型介电材料层而不会实质地降解该介电性材料的条件处理该介电性基质材料;及f)对该多孔型介电层进行后续加工步骤,其中所述的多孔型介电层不含加盖层。优选地,步骤f)包括在多孔型介电材料层上配置一B-阶段介电性基质组合物,这些B-阶段介电性基质组合物可与步骤a)中所用者相同,或可为不同者。优选地,步骤f)中所用的B-阶段介电性基质组合物系与步骤a)中所用者相同。优选地,于步骤d)中的金属层为一种锐孔填充层。此外,该方法复包括下述步骤为较佳:在移除该孔原物之前将沉积的金属层平面化处理。因此,本发明也提出一种电子组件其包括一不含加盖层的多孔型介电层。不具加盖层可具有减低介电层整体介电常数之优点。应了解,这些电子组件可以含有一或多个多孔型介电层,其中至少一层不含加盖层,且优选地为每一多孔型介电材料层都不含加盖层。
再者,免除加盖层可提供具有粗糙表面的多孔型介电材料层。此种粗糙表面系源自于在平面化处理后位于表面处的孔原物之移除。于不欲受理论所拘束之下,相信多孔型介电材料层的粗糙表面可提供对于后续施涂的介电材料透过该介电层的机械交锁所增加的黏着性。因此,本发明也提出一种改良介电材料对多孔型介电材料层的黏着性的方法,其包括下列步骤:a)在平面化处理步骤之后从固化的介电性基质材料移除孔原物以形成多孔型介电材料层;b)在该多孔型介电性材料层上配置一B-阶段介电性基质组合物;c)固化该B-阶段介电性基质组合物形成一介电性基质材料。此种在介电层之间改良的黏着性可减少个别层脱层问题。
虽然本发明系针对集成电路制造而说明,不过,应了解其它的电子组件也可根据本发明来制备。

Claims (14)

1.一种制造电子组件的方法,其包括下列步骤:
a)在一基板表面上配置一B-阶段介电性基质组合物,该组合物包括一或多种介电性基质材料及可移除性孔原物;
b)在不实质地移除该孔原物的条件下固化该B-阶段介电性基质组合物,形成介电性基质材料;
c)将该介电性基质材料图样化;
d)在该介电性材料的表面上沉积一金属层;及
e)以至少部份移除该孔原物而形成一多孔型介电材料层且不实质地降解该介电材料的条件处理该介电性基质材料。
2.根据权利要求1所述的方法,其中所述的B-阶段介电材料选自下列中之一或多项:有机聚氧化硅;硅、硼或铝的碳化物、氧化物、氮化物和氧氟化物;苯并环丁烯类;聚(芳基酯)类;聚(醚酮)类;聚碳酸酯类;聚(芳烯醚)类;聚芳族烃类;聚(全氟烃类);聚酰亚胺类;聚苯并噁唑类和聚环烯烃类。
3.根据权利要求1所述的方法,其中所述的B-阶段介电性材料选自烷基硅倍半氧烷;芳基硅倍半氧烷;烷基/芳基硅倍半氧烷混合物;及烷基硅倍半氧烷混合物。
4.根据权利要求1所述的方法,其中所述的金属层为一或多层障壁层、种层或锐孔填充金属层。
5.根据权利要求1所述的方法,其中所述的可移除性孔原物系与该B-阶段介电材料基本上兼容的。
6.根据权利要求1所述的方法,该方法还包括下述步骤:在至少部份移除该孔原物之前将该金属层平面化处理。
7.一种制造电子组件的方法,其包括下述步骤:
a)在一基板表面上配置一B-阶段介电性基质组合物,该组合物包括一或多种介电性基质材料及可移除性孔原物;
b)在不实质地移除该孔原物的条件下固化该B-阶段介电性基质组合物,形成介电性基质材料;
c)将该介电性基质材料图样化;
d)在该介电性材料的表面上沉积至少一障壁层或种层;
e)沉积锐孔填充金属层;
f)将该锐孔填充金属层平面化处理;及
g)以至少部份移除该孔原物而形成一多孔型介电材料层且不实质地降解该介电材料的条件处理该介电性基质材料。
8.根据权利要求7所述的方法,其中所述的B-阶段介电材料系选自下列中之一或多项:有机聚氧化硅;硅、硼或铝的碳化物、氧化物、氮化物和氧氟化物;苯并环丁烯类;聚(芳基酯)类;聚(醚酮)类;聚碳酸酯类;聚(芳烯醚)类;聚芳族烃类;聚(全氟烃类);聚酰亚胺类;聚苯并噁唑类和聚环烯烃类。
9.根据权利要求7所述的方法,其中所述的锐孔填充金属层包括铜或铜合金。
10.根据权利要求7所述的方法,其中所述的可移除性孔原物可与该B-阶段介电材料基本上兼容。
11.一种制造电子组件的方法,其包括下列步骤:
a)在一基板表面上配置一B-阶段介电性基质组合物,该组合物包括一或多种介电性基质材料及可移除性孔原物;
b)在不实质地移除该孔原物的条件下固化该B-阶段介电性基质组合物,形成介电性基质材料;
c)将该介电性基质材料图样化;
d)在该介电性材料的表面上沉积一金属层;
e)以至少部份移除该孔原物而形成一多孔型介电材料层且不实质地降解该介电材料的条件处理该介电性基质材料;及
f)对该多孔型介电层进行后续加工步骤;
其中所述的多孔型介电层不含附加盖层。
12.一种电子组件,其包括不含附加盖层的多孔型介电层。
13.根据权利要求12所述的组件,其中所述的多孔型介电层选自下列中之一或多项:有机聚氧化硅;硅、硼或铝的碳化物、氧化物、氮化物和氧氟化物;苯并环丁烯类;聚(芳基酯)类;聚(醚酮)类;聚碳酸酯类;聚(芳烯醚)类;聚芳族烃类;聚(全氟烃类);聚酰亚胺类;聚苯并噁唑类和聚环烯烃类。
14.一种改良介电性材料对多孔型介电材料层的黏着性的方法,其包括下列步骤:a)在平面化处理步骤之后从固化的介电性基质材料移除该孔原物而形成多孔型介电材料层;b)在该多孔型介电材料层上配置一B-阶段介电性基质组合物;及c)固化该B-阶段介电性基质组合物,形成一介电性基质材料。
CNB018155650A 2000-09-13 2001-09-08 电子组件制造方法 Expired - Fee Related CN1302532C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US23212700P 2000-09-13 2000-09-13
US60/232,127 2000-09-13

Publications (2)

Publication Number Publication Date
CN1528016A true CN1528016A (zh) 2004-09-08
CN1302532C CN1302532C (zh) 2007-02-28

Family

ID=22871971

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB018155650A Expired - Fee Related CN1302532C (zh) 2000-09-13 2001-09-08 电子组件制造方法

Country Status (8)

Country Link
US (5) US6596467B2 (zh)
EP (1) EP1323189A2 (zh)
JP (1) JP2004509468A (zh)
KR (1) KR100841597B1 (zh)
CN (1) CN1302532C (zh)
AU (1) AU2001288954A1 (zh)
TW (1) TW587307B (zh)
WO (1) WO2002023629A2 (zh)

Families Citing this family (115)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7253731B2 (en) 2001-01-23 2007-08-07 Raymond Anthony Joao Apparatus and method for providing shipment information
JP2004509468A (ja) * 2000-09-13 2004-03-25 シップレーカンパニー エル エル シー 電子デバイスの製造
US6998148B1 (en) * 2001-03-28 2006-02-14 Shipley Company, L.L.C. Porous materials
JP2003152165A (ja) * 2001-11-15 2003-05-23 Fujitsu Ltd 半導体装置およびその製造方法
TW200401816A (en) * 2002-06-03 2004-02-01 Shipley Co Llc Electronic device manufacture
US6903001B2 (en) * 2002-07-18 2005-06-07 Micron Technology Inc. Techniques to create low K ILD for BEOL
US20040017698A1 (en) * 2002-07-25 2004-01-29 Micron Technology, Inc. Refined gate coupled noise compensation for open-drain output from semiconductor device
US7153754B2 (en) * 2002-08-29 2006-12-26 Micron Technology, Inc. Methods for forming porous insulators from “void” creating materials and structures and semiconductor devices including same
JP2004274020A (ja) * 2002-09-24 2004-09-30 Rohm & Haas Electronic Materials Llc 電子デバイス製造
US6746967B2 (en) * 2002-09-30 2004-06-08 Intel Corporation Etching metal using sonication
US7404990B2 (en) * 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US6943121B2 (en) * 2002-11-21 2005-09-13 Intel Corporation Selectively converted inter-layer dielectric
US7018918B2 (en) * 2002-11-21 2006-03-28 Intel Corporation Method of forming a selectively converted inter-layer dielectric using a porogen material
US20040130027A1 (en) * 2003-01-07 2004-07-08 International Business Machines Corporation Improved formation of porous interconnection layers
US7138158B2 (en) * 2003-02-28 2006-11-21 Intel Corporation Forming a dielectric layer using a hydrocarbon-containing precursor
TWI240959B (en) * 2003-03-04 2005-10-01 Air Prod & Chem Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US6737365B1 (en) * 2003-03-24 2004-05-18 Intel Corporation Forming a porous dielectric layer
US20040191417A1 (en) * 2003-03-28 2004-09-30 Dorie Yontz Method of integrating a porous dielectric in an integrated circuit device
US7241704B1 (en) 2003-03-31 2007-07-10 Novellus Systems, Inc. Methods for producing low stress porous low-k dielectric materials using precursors with organic functional groups
US7176144B1 (en) 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
US7208389B1 (en) 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US20050260420A1 (en) * 2003-04-01 2005-11-24 Collins Martha J Low dielectric materials and methods for making same
US6921978B2 (en) * 2003-05-08 2005-07-26 International Business Machines Corporation Method to generate porous organic dielectric
US7265061B1 (en) 2003-05-09 2007-09-04 Novellus Systems, Inc. Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
US20040248403A1 (en) * 2003-06-09 2004-12-09 Dubin Valery M. Method for forming electroless metal low resistivity interconnects
US7122481B2 (en) * 2003-07-25 2006-10-17 Intel Corporation Sealing porous dielectrics with silane coupling reagents
DE20321548U1 (de) * 2003-09-19 2007-11-29 Gallus Druckmaschinen Gmbh Rotationsdruckmaschine
JP2005133060A (ja) * 2003-10-29 2005-05-26 Rohm & Haas Electronic Materials Llc 多孔性材料
TWI220774B (en) * 2003-11-03 2004-09-01 Univ Nat Sun Yat Sen Method for patterning low dielectric constant film and method for manufacturing dual damascene structure
JP2005142473A (ja) * 2003-11-10 2005-06-02 Semiconductor Leading Edge Technologies Inc 半導体装置の製造方法
US8053159B2 (en) 2003-11-18 2011-11-08 Honeywell International Inc. Antireflective coatings for via fill and photolithography applications and methods of preparation thereof
US7390537B1 (en) 2003-11-20 2008-06-24 Novellus Systems, Inc. Methods for producing low-k CDO films with low residual stress
JP2005181958A (ja) * 2003-12-22 2005-07-07 Rohm & Haas Electronic Materials Llc レーザーアブレーションを用いる電子部品および光学部品の形成方法
US7125793B2 (en) * 2003-12-23 2006-10-24 Intel Corporation Method for forming an opening for an interconnect structure in a dielectric layer having a photosensitive material
US7341761B1 (en) 2004-03-11 2008-03-11 Novellus Systems, Inc. Methods for producing low-k CDO films
US7094713B1 (en) 2004-03-11 2006-08-22 Novellus Systems, Inc. Methods for improving the cracking resistance of low-k dielectric materials
US7381662B1 (en) 2004-03-11 2008-06-03 Novellus Systems, Inc. Methods for improving the cracking resistance of low-k dielectric materials
US7781351B1 (en) 2004-04-07 2010-08-24 Novellus Systems, Inc. Methods for producing low-k carbon doped oxide films with low residual stress
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US7622400B1 (en) 2004-05-18 2009-11-24 Novellus Systems, Inc. Method for improving mechanical properties of low dielectric constant materials
US7078814B2 (en) * 2004-05-25 2006-07-18 International Business Machines Corporation Method of forming a semiconductor device having air gaps and the structure so formed
US7157367B2 (en) * 2004-06-04 2007-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Device structure having enhanced surface adhesion and failure mode analysis
US20050272220A1 (en) * 2004-06-07 2005-12-08 Carlo Waldfried Ultraviolet curing process for spin-on dielectric materials used in pre-metal and/or shallow trench isolation applications
US7629272B2 (en) * 2004-06-07 2009-12-08 Axcelis Technologies, Inc. Ultraviolet assisted porogen removal and/or curing processes for forming porous low k dielectrics
US7303994B2 (en) * 2004-06-14 2007-12-04 International Business Machines Corporation Process for interfacial adhesion in laminate structures through patterned roughing of a surface
DE102004030674A1 (de) * 2004-06-24 2006-01-19 Basf Ag Vorrichtung und Verfahren zum Härten mit energiereicher Strahlung unter Inertgasatmosphäre
US7421885B2 (en) * 2004-06-28 2008-09-09 Air Products And Chemicals, Inc. Method for characterizing porous low dielectric constant films
JP4492947B2 (ja) * 2004-07-23 2010-06-30 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US20060046044A1 (en) * 2004-08-24 2006-03-02 Lee Chung J Porous composite polymer dielectric film
EP1632956A1 (en) * 2004-09-07 2006-03-08 Rohm and Haas Electronic Materials, L.L.C. Compositions comprising an organic polysilica and an arylgroup-capped polyol, and methods for preparing porous organic polysilica films
US7326444B1 (en) 2004-09-14 2008-02-05 Novellus Systems, Inc. Methods for improving integration performance of low stress CDO films
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7695765B1 (en) 2004-11-12 2010-04-13 Novellus Systems, Inc. Methods for producing low-stress carbon-doped oxide films with improved integration properties
US7229909B2 (en) * 2004-12-09 2007-06-12 International Business Machines Corporation Integrated circuit chip utilizing dielectric layer having oriented cylindrical voids formed from carbon nanotubes
WO2006064416A1 (en) * 2004-12-16 2006-06-22 Koninklijke Philips Electronics N.V. A semiconductor device having a recess with non-porous walls in a porous dielectric and a method of manufacturing such a semiconductor device
TWI261915B (en) * 2005-01-07 2006-09-11 Ind Tech Res Inst Phase change memory and fabricating method thereof
TW200631095A (en) * 2005-01-27 2006-09-01 Koninkl Philips Electronics Nv A method of manufacturing a semiconductor device
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US7341788B2 (en) * 2005-03-11 2008-03-11 International Business Machines Corporation Materials having predefined morphologies and methods of formation thereof
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US7459183B2 (en) * 2005-07-27 2008-12-02 International Business Machines Corporation Method of forming low-K interlevel dielectric layers and structures
US20070032077A1 (en) * 2005-08-08 2007-02-08 Tzung-Yu Hung Method of manufacturing metal plug and contact
JP2007053133A (ja) * 2005-08-15 2007-03-01 Toshiba Corp 半導体装置及びその製造方法
US7465652B2 (en) 2005-08-16 2008-12-16 Sony Corporation Method of forming a catalyst layer on the barrier layer of a conductive interconnect of a semiconductor device
US7422975B2 (en) 2005-08-18 2008-09-09 Sony Corporation Composite inter-level dielectric structure for an integrated circuit
US8586468B2 (en) 2005-08-24 2013-11-19 Sony Corporation Integrated circuit chip stack employing carbon nanotube interconnects
US7251799B2 (en) 2005-08-30 2007-07-31 Sony Corporation Metal interconnect structure for integrated circuits and a design rule therefor
US8368220B2 (en) * 2005-10-18 2013-02-05 Taiwan Semiconductor Manufacturing Co. Ltd. Anchored damascene structures
US7622378B2 (en) 2005-11-09 2009-11-24 Tokyo Electron Limited Multi-step system and method for curing a dielectric film
US7892985B1 (en) 2005-11-15 2011-02-22 Novellus Systems, Inc. Method for porogen removal and mechanical strength enhancement of low-k carbon doped silicon oxide using low thermal budget microwave curing
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7381644B1 (en) 2005-12-23 2008-06-03 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
JP4788415B2 (ja) * 2006-03-15 2011-10-05 ソニー株式会社 半導体装置の製造方法
US7923376B1 (en) 2006-03-30 2011-04-12 Novellus Systems, Inc. Method of reducing defects in PECVD TEOS films
US20070232046A1 (en) * 2006-03-31 2007-10-04 Koji Miyata Damascene interconnection having porous low K layer with improved mechanical properties
US7521727B2 (en) * 2006-04-26 2009-04-21 Rohm And Haas Company Light emitting device having improved light extraction efficiency and method of making same
US7955531B1 (en) * 2006-04-26 2011-06-07 Rohm And Haas Electronic Materials Llc Patterned light extraction sheet and method of making same
US7851384B2 (en) * 2006-06-01 2010-12-14 Applied Materials, Inc. Method to mitigate impact of UV and E-beam exposure on semiconductor device film properties by use of a bilayer film
US8956457B2 (en) * 2006-09-08 2015-02-17 Tokyo Electron Limited Thermal processing system for curing dielectric films
US10037905B2 (en) * 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US8053375B1 (en) * 2006-11-03 2011-11-08 Advanced Technology Materials, Inc. Super-dry reagent compositions for formation of ultra low k films
JP5142538B2 (ja) * 2007-01-26 2013-02-13 株式会社東芝 半導体装置の製造方法
US20080206997A1 (en) * 2007-02-26 2008-08-28 Semiconductor Energy Laboratory Co., Ltd. Method for Manufacturing Insulating Film and Method for Manufacturing Semiconductor Device
US8642246B2 (en) 2007-02-26 2014-02-04 Honeywell International Inc. Compositions, coatings and films for tri-layer patterning applications and methods of preparation thereof
US7833692B2 (en) * 2007-03-12 2010-11-16 Brewer Science Inc. Amine-arresting additives for materials used in photolithographic processes
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US20090075491A1 (en) * 2007-09-13 2009-03-19 Tokyo Electron Limited Method for curing a dielectric film
US20090226695A1 (en) * 2008-03-06 2009-09-10 Tokyo Electron Limited Method for treating a dielectric film with infrared radiation
US20090226694A1 (en) * 2008-03-06 2009-09-10 Tokyo Electron Limited POROUS SiCOH-CONTAINING DIELECTRIC FILM AND A METHOD OF PREPARING
US7977256B2 (en) * 2008-03-06 2011-07-12 Tokyo Electron Limited Method for removing a pore-generating material from an uncured low-k dielectric film
CN101960556B (zh) * 2008-03-06 2013-09-18 东京毅力科创株式会社 用于固化多孔低介电常数电介质膜的方法
US7858533B2 (en) * 2008-03-06 2010-12-28 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film
US8029971B2 (en) * 2008-03-13 2011-10-04 International Business Machines Corporation Photopatternable dielectric materials for BEOL applications and methods for use
JP4423379B2 (ja) * 2008-03-25 2010-03-03 合同会社先端配線材料研究所 銅配線、半導体装置および銅配線の形成方法
US8236684B2 (en) * 2008-06-27 2012-08-07 Applied Materials, Inc. Prevention and reduction of solvent and solution penetration into porous dielectrics using a thin barrier layer
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
US20100067886A1 (en) * 2008-09-16 2010-03-18 Tokyo Electron Limited Ir laser optics system for dielectric treatment module
US20100068897A1 (en) * 2008-09-16 2010-03-18 Tokyo Electron Limited Dielectric treatment platform for dielectric film deposition and curing
US20100065758A1 (en) * 2008-09-16 2010-03-18 Tokyo Electron Limited Dielectric material treatment system and method of operating
US8895942B2 (en) * 2008-09-16 2014-11-25 Tokyo Electron Limited Dielectric treatment module using scanning IR radiation source
US8557877B2 (en) 2009-06-10 2013-10-15 Honeywell International Inc. Anti-reflective coatings for optically transparent substrates
KR101042191B1 (ko) * 2009-08-17 2011-06-20 백경물산주식회사 차량용 전기전자제품에서의 전원 제어 방법
US8242460B2 (en) * 2010-03-29 2012-08-14 Tokyo Electron Limited Ultraviolet treatment apparatus
US8764581B2 (en) * 2010-08-13 2014-07-01 Nike, Inc. Systems and methods for manufacturing a golf ball
US8864898B2 (en) 2011-05-31 2014-10-21 Honeywell International Inc. Coating formulations for optical elements
CN102427019A (zh) * 2011-06-17 2012-04-25 上海华力微电子有限公司 超低介电常数薄膜实现高性能金属-氧化物-金属的方法
CN105097658B (zh) * 2014-05-15 2018-05-25 中芯国际集成电路制造(上海)有限公司 半导体器件、互连层和互连层的制作方法
US9613906B2 (en) * 2014-06-23 2017-04-04 GlobalFoundries, Inc. Integrated circuits including modified liners and methods for fabricating the same
EP3194502A4 (en) 2015-04-13 2018-05-16 Honeywell International Inc. Polysiloxane formulations and coatings for optoelectronic applications
WO2017154692A1 (ja) * 2016-03-11 2017-09-14 株式会社村田製作所 複合基板及び複合基板の製造方法
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US11753517B2 (en) 2019-12-12 2023-09-12 Raytheon Company Dispensable polyimide aerogel prepolymer, method of making the same, method of using the same, and substrate comprising patterned polyimide aerogel

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US30297A (en) * 1860-10-09 Improved light for cars
US52125A (en) * 1866-01-23 Improved curb-bit
JPS5412500A (en) 1977-06-30 1979-01-30 Matsushita Electric Ind Co Ltd Film material providing high electrostatic capacitance
JP2624254B2 (ja) * 1987-05-22 1997-06-25 東京応化工業株式会社 シリカ系被膜の膜質改善方法
MY112945A (en) 1994-12-20 2001-10-31 Ibm Electronic devices comprising dielectric foamed polymers
US5828315A (en) 1995-10-05 1998-10-27 Ncr Corporation Method of sending messages to electronic price labels prior to their identification with price look-up items
KR100440418B1 (ko) * 1995-12-12 2004-10-20 텍사스 인스트루먼츠 인코포레이티드 저압,저온의반도체갭충전처리방법
US5994375A (en) * 1996-02-12 1999-11-30 Berlex Laboratories, Inc. Benzamidine derivatives substituted by amino acid and hydroxy acid derivatives and their use as anti-coagulants
US5962113A (en) 1996-10-28 1999-10-05 International Business Machines Corporation Integrated circuit device and process for its manufacture
US5767014A (en) 1996-10-28 1998-06-16 International Business Machines Corporation Integrated circuit and process for its manufacture
US6156651A (en) * 1996-12-13 2000-12-05 Texas Instruments Incorporated Metallization method for porous dielectrics
US5895263A (en) * 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
EP0997497B1 (en) * 1997-07-15 2004-10-27 Asahi Kasei Kabushiki Kaisha Alkoxysilane/organic polymer composition for thin insulating film production and use thereof
US6448331B1 (en) * 1997-07-15 2002-09-10 Asahi Kasei Kabushiki Kaisha Alkoxysilane/organic polymer composition for thin insulating film production and use thereof
US6350704B1 (en) * 1997-10-14 2002-02-26 Micron Technology Inc. Porous silicon oxycarbide integrated circuit insulator
AU3055599A (en) * 1998-04-01 1999-10-25 Asahi Kasei Kogyo Kabushiki Kaisha Method of manufacturing interconnection structural body
US6284050B1 (en) * 1998-05-18 2001-09-04 Novellus Systems, Inc. UV exposure for improving properties and adhesion of dielectric polymer films formed by chemical vapor deposition
TW389988B (en) * 1998-05-22 2000-05-11 United Microelectronics Corp Method for forming metal interconnect in dielectric layer with low dielectric constant
US6417115B1 (en) * 1998-05-26 2002-07-09 Axeclis Technologies, Inc. Treatment of dielectric materials
EP1092234A1 (en) * 1998-06-05 2001-04-18 Georgia Tech Research Porous insulating compounds and method for making same
US6093636A (en) * 1998-07-08 2000-07-25 International Business Machines Corporation Process for manufacture of integrated circuit device using a matrix comprising porous high temperature thermosets
DE69941677D1 (de) * 1998-09-25 2010-01-07 Jgc Catalysts & Chemicals Ltd Flüssige beschichtungszusammensetzung für silicabeschichtung mit niedriger durchlössigkeit und mit d
US6528426B1 (en) * 1998-10-16 2003-03-04 Texas Instruments Incorporated Integrated circuit interconnect and method
US6171945B1 (en) * 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
EP1141128B1 (en) 1998-11-24 2006-04-12 Dow Global Technologies Inc. A composition containing a cross-linkable matrix precursor and a poragen, and a porous matrix prepared therefrom
JP3888794B2 (ja) * 1999-01-27 2007-03-07 松下電器産業株式会社 多孔質膜の形成方法、配線構造体及びその形成方法
US6420441B1 (en) * 1999-10-01 2002-07-16 Shipley Company, L.L.C. Porous materials
US6107357A (en) * 1999-11-16 2000-08-22 International Business Machines Corporatrion Dielectric compositions and method for their manufacture
US6342454B1 (en) 1999-11-16 2002-01-29 International Business Machines Corporation Electronic devices with dielectric compositions and method for their manufacture
US6329062B1 (en) * 2000-02-29 2001-12-11 Novellus Systems, Inc. Dielectric layer including silicalite crystals and binder and method for producing same for microelectronic circuits
US6495479B1 (en) * 2000-05-05 2002-12-17 Honeywell International, Inc. Simplified method to produce nanoporous silicon-based films
US6352917B1 (en) * 2000-06-21 2002-03-05 Chartered Semiconductor Manufacturing Ltd. Reversed damascene process for multiple level metal interconnects
US6271273B1 (en) * 2000-07-14 2001-08-07 Shipley Company, L.L.C. Porous materials
JP2002050687A (ja) * 2000-08-03 2002-02-15 Seiko Epson Corp 半導体装置の製造方法
US6391932B1 (en) * 2000-08-08 2002-05-21 Shipley Company, L.L.C. Porous materials
KR100795714B1 (ko) 2000-08-21 2008-01-21 다우 글로벌 테크놀로지스 인크. 마이크로일렉트로닉 장치의 제조에 있어서 유기 중합체유전체용 하드마스크로서의 유기 규산염 수지
JP2004509468A (ja) 2000-09-13 2004-03-25 シップレーカンパニー エル エル シー 電子デバイスの製造
TW588072B (en) * 2000-10-10 2004-05-21 Shipley Co Llc Antireflective porogens
US6451712B1 (en) * 2000-12-18 2002-09-17 International Business Machines Corporation Method for forming a porous dielectric material layer in a semiconductor device and device formed
US20030054115A1 (en) 2001-09-14 2003-03-20 Ralph Albano Ultraviolet curing process for porous low-K materials
DE20116246U1 (de) 2001-10-04 2002-03-28 Textilma Ag Rotations-Siebdruckvorrichtung für textile Flächengebilde

Also Published As

Publication number Publication date
US6596467B2 (en) 2003-07-22
AU2001288954A1 (en) 2002-03-26
US20030186169A1 (en) 2003-10-02
US6667147B2 (en) 2003-12-23
US20030059723A1 (en) 2003-03-27
US20040161922A1 (en) 2004-08-19
KR20030038737A (ko) 2003-05-16
KR100841597B1 (ko) 2008-06-26
US7294453B2 (en) 2007-11-13
CN1302532C (zh) 2007-02-28
US20030186168A1 (en) 2003-10-02
US7163780B2 (en) 2007-01-16
JP2004509468A (ja) 2004-03-25
WO2002023629A2 (en) 2002-03-21
US20020030297A1 (en) 2002-03-14
EP1323189A2 (en) 2003-07-02
WO2002023629A3 (en) 2002-10-31
TW587307B (en) 2004-05-11

Similar Documents

Publication Publication Date Title
CN1302532C (zh) 电子组件制造方法
CN1512542A (zh) 电子设备生产
CN1255459C (zh) 多孔材料
US7501185B2 (en) Film-forming composition, insulating material-forming composition, insulating film and electronic device
EP0122398B1 (en) Pattern forming material and method for forming pattern therewith
CN1775861A (zh) 含有有机聚硅石材料的组合物及其生产方法
US20020123240A1 (en) Electronic device manufacture
KR20070083711A (ko) 낮은-k 유전 기능 임프린팅 재료
CN1838382A (zh) 制造半导体器件的方法
JP2003518191A (ja) 低誘電率高分子材料のためのポリカルボシラン密着性促進剤
JP2003517713A (ja) 多孔質絶縁化合物およびその製法
CN1369111A (zh) 电子器件中有机介电薄膜集成化时使用硅氧烷介电薄膜的工艺
CN1260273C (zh) 蚀刻终止树脂
US8133965B2 (en) High silicon content siloxane polymers for integrated circuits
CN1387680A (zh) 在双重镶嵌方法中的低介电常数的阻蚀刻层
CN1742231A (zh) 由旋涂上的陶瓷薄膜组成的构图层
JP2006503165A (ja) オルガノシロキサン
JP3629087B2 (ja) パターン形成方法
JPH0222942B2 (zh)
JPH06271772A (ja) シルセスキオキサンポリマー組成物
JP2004303778A (ja) 絶縁膜形成材料及びそれを用いた絶縁膜

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20070228

Termination date: 20200908