KR20070083711A - 낮은-k 유전 기능 임프린팅 재료 - Google Patents

낮은-k 유전 기능 임프린팅 재료 Download PDF

Info

Publication number
KR20070083711A
KR20070083711A KR1020077008751A KR20077008751A KR20070083711A KR 20070083711 A KR20070083711 A KR 20070083711A KR 1020077008751 A KR1020077008751 A KR 1020077008751A KR 20077008751 A KR20077008751 A KR 20077008751A KR 20070083711 A KR20070083711 A KR 20070083711A
Authority
KR
South Korea
Prior art keywords
composition
imprinting
mold
substrate
organic
Prior art date
Application number
KR1020077008751A
Other languages
English (en)
Inventor
프랭크 와이. 수
준성 천
마이클 피. 씨. 와츠
Original Assignee
몰레큘러 임프린츠 인코퍼레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 몰레큘러 임프린츠 인코퍼레이티드 filed Critical 몰레큘러 임프린츠 인코퍼레이티드
Publication of KR20070083711A publication Critical patent/KR20070083711A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00444Surface micromachining, i.e. structuring layers on the substrate
    • B81C1/0046Surface micromachining, i.e. structuring layers on the substrate using stamping, e.g. imprinting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76817Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics using printing or stamping techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1042Formation and after-treatment of dielectrics the dielectric comprising air gaps
    • H01L2221/1047Formation and after-treatment of dielectrics the dielectric comprising air gaps the air gaps being formed by pores in the dielectric
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24479Structurally defined web or sheet [e.g., overall dimension, etc.] including variation in thickness
    • Y10T428/24521Structurally defined web or sheet [e.g., overall dimension, etc.] including variation in thickness with component conforming to contour of nonplanar surface
    • Y10T428/24545Containing metal or metal compound
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24479Structurally defined web or sheet [e.g., overall dimension, etc.] including variation in thickness
    • Y10T428/24612Composite web or sheet

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Power Engineering (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Silicon Polymers (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

실질적으로 평면 회로에서, 도체는 약 3.0 미만의 유전 상수를 갖는 무기 재료에 의해 분리된다. 유전 층들은 방사선 경화성 조성물의 초기의 평면 층을 임프린팅함으로써 도체에 대한 트렌치 및/또는 바이어스를 규정하는 것을 포함하는 방법으로 형성된다. 임프린팅 다이는 바람직하게는 UV 투명하여 임프린트 다이는 제 자리에 있으면서 조성물을 UV 경화되도록 한다. 경화성 조성물은 유기 변형된 실리케이트 화합물과 제2의 분해가능한 유기 성분을 포함하고, 후자는 유기 화합물이 이어서 분해되어 폴리실리케이트 매트릭스를 제공함에 따라 나노미터 스케일의 기공들을 형성한다. 기공들은 달리 조밀한 이산화규소의 유효 유전상수로부터 유효 유전 상수를 감소시킨다.
Figure 112007029231200-PCT00001
임프린팅, UV 경화, 실리케이트, 유전 층, 기공, 리소그래피.

Description

낮은-K 유전 기능 임프린팅 재료{LOW-K DIELECTRIC FUNCTIONAL IMPRINTING MATERIALS}
연방 지원 연구 또는 개발에 관한 진술
미국 정부는 본 발명에 있어서 기지불된 실시권을 가지며, 특허권자는 국가표준협회(National Institute of Standards: NIST)의 ATP 상이 수여된 70NANB4H3012의 용어에 의해 제공되는 바와 같은 합리적인 용어들에 대해 타인에게 허가를 요구할 제한된 상황에서의 권리를 갖는다.
본 발명은 집적 회로의 제작 방법 및 재료에 관한 것이며, 특히 낮은 유전상수를 갖는 기판상에 집적 회로를 형성하는 방법에 관한 것이다.
미세전자산업 분야에서, 다층(multilevel) 집적 회로 장치, 예를 들면, 메모리 및 로직 칩에서 회로 밀도를 증가시켜, 이로써 그 성능을 향상시키고 비용은 감소시키고자 하는 계속적인 요구가 있다. 이 목적을 달성하기 위해, 칩에 최소 특징 크기, 예를 들면, 회로 선 폭을 감소시키는 것이 또한 요망되며, 크로스토킹 및 커패시티브 커플링에서의 증가 없이 회로 선들의 더 가까운 간격을 가능하게 하는 개재된 유전 물질의 유전 상수를 감소시키는 것이 또한 요망된다. 또한, 장치에 대한 필수 구동 전류 및 전력 소비를 감소시키기 위해 입력/출력 회로를 함유하는 집적 회로 장치의 선(BEOL) 부분의 후방 단부에서 이용되는 것과 같은 유전 물질의 유전 상수를 감소시키는 것이 요망된다.
집적 회로에서 가장 통상적으로 사용되는 유전 물질은 이산화규소인데, 이것은 약 4.0의 유전 상수를 갖는다. 이산화규소는 현재의 반도체 장치의 대부분을 형성하기 위해 사용되는 평면 실리콘 웨이퍼의 표면에서 쉽게 성장하거나 형성된다. 이산화규소는 반도체 제조와 관련된 가공처리 조작 및 열 반복처리를 견디기 위한 필수 기계적 및 열적 성질을 갖는다. 그러나, 앞으로의 집적 회로에 대한 유전 물질은 현재의 이산화규소에 의해 나타나는 것보다 낮은 유전 상수(예를 들면, < 3.0)를 나타낼 것이 요구된다. 무기 재료는 약 3보다 낮은 유전 상수에 대한 고유의 제한점을 갖기 때문에, 낮은 유전 상수를 달성하기 위해 몇가지 유형의 대안의 재료들이 개발되었다. 많은 이들 대안의 재료들은 유기 폴리머들인데, 이것들은 적어도 부분적으로 불소화되어 있다면, 약 3 미만의 유전 상수를 가질 수 있다. 그러나, 적당한 유기 폴리머들과 또한 그들의 부착 및 패턴형성 방법들의 개발은 상당한 도전을 제기한다. 유기 물질의 선택 또는 선택의 여지는 금속화 또는 반도체 제작과 같은 공정의 다른 관점에서 더 높은 온도의 단계들에 대한 필요에 의해 빈번히 제한된다. 또 다른 형태의 대안의 재료는 더 낮은 유효 유전 상수를 달성하기 위해 분산된 마이크로 보이드 또는 기공들을 갖는 무기 재료이다. 이러한 재료를 개발하기 위한 노력들은 일반적으로 J. H. Golden, C. J. Hawker 및 P. S. Ho, "Designing Porous low-K Dielectrics," Semiconductor International, May 2001에 기술되어 있다. 또한, 1999년 4월 20일에 발행된 Carter 등의 미국 특허 No. 5,895,263은 (i) 기판; (ii) 기판 상에 위치된 금속 회로 선 그리고 (iii) 회로 선에 위치된 유전 물질을 포함하는 집적 회로 장치를 형성하는 방법을 교시한다. 유전 물질은 다공성의 유기 변형된 폴리실리카를 포함한다.
다공성 무기 재료들은 본래 다른 유전 물질과 같이 높은 가공처리 온도를 견딜 수 있을지라도, 패턴형성 방법의 복잡성으로 인해 추가의 도전이 제기된다. 리소그래피 기술은 종종 장치의 미소 제작에 사용된다. 전통적으로, 포토리소그래피는 유전물질을 기판에 퇴적시킨 후 유전 물질의 일부를 규정하거나 제거하기 위해 사용되어 왔다. S. Wolf et al. , Silicon Processing for the VLSI Era, Volume 1--Process Technology, (1986), pp. 407-413 참조. 예로서, 미소 회로 제작을 사용하여 유전 물질을 평면 기판에 부착 후에 거기에 포토 레지스트 재료를 적용시킨다. 다음에, 레지스트 층을 방사선 형태에 선택적으로 노출시킨다. 노출 도구 및 마스크는 종종 원하는 선택적인 노출을 작용시키기 위해 사용된다. 레지스트에서의 패턴은 유전 층이 후속 "현상" 단계를 겪게될 때 형성된다. 현상 후 잔류하는 레지스트의 면적들은 그것들이 덮고 있는 유전체 및 기판의 영역들을 보호한다. 레지스트가 제거된 장소들은 기판 표면상에 패턴을 전사하는 다양한 부가(예를 들면, 리프트-오프) 또는 삭감(예를 들면, 에칭) 공정에 종속시킬 수 있다. 그러나, 포토리소그래피는 더욱 단파장 원과 더욱 정교한 광학의 사용을 요구하여 미소 회로의 선 폭 및 특징 크기를 감소시키는 고유의 크기 제한점을 갖고 있다.
따라서, 미국 특허 No. 5,895,263의 공정에서는 낮은-K 유전 층이 먼저 형성된 다음, 도체 재료의 퇴적에 앞서 패턴형성되어야 한다. 다수의 요구되는 가공처 리 단계들은 본래 가공처리 시간을 증가시키고 더 높은 비용과 또한 일반적으로 감소된 생산 수득율을 가져온다.
또한, 회로 특징들의 크기 즉, 선 폭 과 도체 간의 간격을 감소시키는 것이 바람직하기 때문에, 대안의 무기 재료들은 이들 특징부의 크기의 분율인 기공 크기들로 퇴적될 수 있어야 한다.
그러므로, 본 발명의 첫번째 목적은 전도성 선 및/또는 바이어스 사이에 낮은 유전 상수의 재료를 포함하는 집적 회로 장치를 제작하는 개선된 방법을 제공하는 것이다.
본 발명의 또 다른 목적은 최저 공정 단계 수로 평면 기판상에 패턴형성된 낮은 유전 상수의 무기 재료를 퇴적하는 방법을 제공하는 것이다.
본 발명의 더 이상의 목적은 패턴형성된 낮은 유전 상수의 무기 재료를 퇴적하는 확고하고 반복가능한 방법을 제공하는 것이다.
다른 목적 및 이점들은 다음의 개시 내용으로부터 명백할 것이다.
발명의 개요
본 발명에서, 전술한 목적들은 실질적으로 평면의 기판에 미소회로 특징부들에 대응하는 릴리프 이미지를 성형하기 위한 임프린트 리소그래피를 사용함으로써 달성된다. 임프린트 성형 방법은 중합가능 수지 조성물을 사용하는데, 이것은 이어서 다공성의 낮은 유전 상수의 무기 물질로 변환된다.
릴리프 이미지를 형성하는 방법은 적어도, 실질적으로 평면의 기판을 중합가능한 유체 조성물로 덮는 단계와; 다음에 중합가능한 유체 조성물을 릴리프 구조가 안에 형성된 몰드와 접촉시켜서 중합 가능한 유체 조성물이 몰드내의 릴리프 구조를 실질적으로 채우도록 하는 단계와; 유체 조성물을 중합하여 그로부터 기판상에 고화된 중합체 물질을 형성하는 조건에 중합가능한 유체 조성물을 종속시키는 단계와; 몰드를 고체 중합체 물질로부터 분리하여 몰드내의 릴리프 구조의 복제물이 고화된 중합체 재료에 형성되도록 하는 단계를 포함한다. 중합가능한 조성물은 바람직하게는 포로젠으로 알려진 분해가능한 유기 성분을 포함하는 UV 경화성 유기 변형된 실리케이트이다. 유기 포로젠이 후속 가공 처리 중에 분해하여 이것이 중합된 유기 변형된 실리케이트를 무기 물질로 변환시킴에 따라 기공들이 남는다.
UV 경화는 바람직하게는 UV 투명인 몰드를 통해 행해지기 때문에, 확고한 임프린팅 방법을 달성하는 또 다른 목적은 유기 변형된 실리케이트, 분해가능한 유기 화합물, 그리고 임프린트-성형 도구로부터 경화된 조성물의 분리를 개선하기 위한 불소계 계면활성제(fluorosurfactant)를 포함하는 UV 경화성 중합가능 유체를 사용하는 것을 포함한다.
본 발명의 다른 목적은 UV 경화성 유기 변형된 실리케이트, 분해가능 유기 화합물 및 용매를 포함하는 조성물을 제공하는 단계; 다음에 조성물을 기판상에 스핀 코팅하고, 용매를 제거하고, 잔류 조성물에 회로 패턴을 임프린팅하고, 잔류 조성물을 UV 경화하고, 조성물을 가열하여 유기 변형된 실리케이트을 축합하고 분해가능한 폴리머를 분해하여 다공성의 패턴형성된 유전 층을 형성하고, 그리고 다공성 유전 물질에 형성된 패턴 내에 금속 도체를 퇴적시키는 단계를 포함하는 공정을 사용하여 달성된다.
본 발명의 상기 목적 및 다른 목적, 효과, 특징, 및 이점은 첨부 도면과 관련하여 다음의 구체예의 설명으로부터 더욱 명백해질 것이다.
도 1은 낮은 유전 상수의 물질을 임프린팅하는 방법에서 제 1 단계로서의 기판에 대한 몰드를 나타내는 개략적 단면 입면도이다.
도 2는 낮은 유전 상수의 물질을 임프린팅하는 방법에서 다음 단계로서의 몰드와 기판 사이에 중합가능 유체의 배치를 나타내는 개략적 단면 입면도이다.
도 3은 낮은 유전 상수의 물질을 임프린팅하는 방법에서 후속 단계로 몰드의 제거 후 기판에 배치된 중합된 유체를 나타내는 개략적 단면 입면도이다.
도 4는 낮은 유전 상수의 물질을 임프린팅하는 방법에서 후속 단계로 다공성 유전 물질로의 변환 후 기판에 배치된 중합된 물질을 나타내는 개략적 단면 입면도이다.
도 5는 회로를 형성하는 공정에서 후속 단계로 다공성 유전 물질 위에 퇴적된 전도성 물질을 나타내는 개략적 단면 입면도이다.
도 6은 이전 단계에서 퇴적된 전도성 물질을 평탄화함으로써 형성된 회로를 나타내는 개략적 단면 입면도이다.
도 7은 중합가능 유체를 형성하기 위해 선택적으로 사용되는 다양한 유기 변형된 실리케이트를 만드는 대안의 방법들의 개략적 예시도이다.
도 8은 도 2, 도 3 및 도 4에서 유체의 중합의 동안에 일어나는 화학 반응과 다공성 유전 물질로의 후속 변환의 개략적 예시도이다.
도 1 내지 도 8을 참조하면, 여러 도면에서 유사 부재 번호는 유사 구성요소를 말하며, 거기서 낮은 유전 상수를 갖는 새로운 개선된 회로를 예시하고, 여기서 일반적으로 100으로 지칭한다.
릴리프 패턴을 형성하는 임프린팅 방법은 2002년 1월 1일에 발행된 Wilson 등의 미국 특허 No. 6,334,960에 교시되어 있다.
본 발명에서, 중합가능 물질은 화학 방사선에 노출시, 가교되거나 반응을 일으켜 몰드의 형상을 복제하는 비유체 물질을 형성하는 유기 작용기를 갖는 변형된 실리케이트이다. 경화된 또는 중합된 유기 변형된 실리케이트는 이어서 몰드의 제거 후, 그 안의 유기 작용기들의 열 분해시 무기 실리케이트로 변환된다. 중합가능 재료는 또한, 분해시 무기 실리케이트에서 기공 또는 보이드를 형성하는 한가지 이상의 성분들을 함유한다. 도 7 및 도 8에 더욱 상세히 나타낸 바와 같이, 기공 형성 물질은 중합가능 재료에 혼합, 용해 또는 분산된 별도의 성분일 수도 있고, 또는 유기 변형된 실리케이트에 화학적으로 결합하고 있을 수도 있다. 중합가능 유체가 몰드를 복제하는 고형물로 변환 후, 하나 이상의 단계들을 사용하여 실리케이트 및 기공 형성 물질에 결합된 유기 기들을 분해하며, 또한 무기 실리케이트로의 변환을 실질적으로 완결하고, 이때 물이 규소에 결합된 잔류 히드록실기로부터 축합하여 Si-O-Si 연결을 형성한다. 공정은 실리케이트 망상구조가 분해하는 기공 형성 물질의 둘레에 형성되어 나노미터 스케일 보이드 또는 기공을 뒤에 남기도록 하는 방식으로 수행된다. 중합가능 유체 조성물은 또한 바람직한 구체예에 관하여 이하에 더욱 설명하는 바와 같이, 희석제, 및 중합가능 유체에 사용되는 다른 재료들, 예를 들면, 촉매 및 광 개시제를 포함할 수도 있고, 그러나 이것들에 제한되지는 않는다.
본 발명 방법에 사용되는 몰드는 여러가지 종래의 재료들, 예를 들면, 석영, 규소, 유기 폴리머, 실록산 폴리머, 붕규산 유리, 플루오로카본 폴리머, 금속, 및 상기한 것들의 조합으로부터 형성될 수도 있고, 이것들에 제한되지 않는다. 바람직하게는, 재료는 몰드가 UV 투명성이어서 몰드에 의해 덮이는 중합가능 유체 조성물로 하여금 외부 방사선원에의 노출을 허용하도록 선택된다. 따라서, 석영 몰드가 가장 바람직하다. 고체 중합체 물질로부터 몰드의 분리를 용이하게 하기 위해, 몰드는 표면 개질제로 처리될 수도 있다. 사용될 수 있는 표면 개질제는 본 분야에 공지의 것들을 포함한다. 표면 개질제의 예는 플루오로카본 실릴화제이다. 이들 표면 개질제 또는 분리 물질은 예를 들면 프라즈마원으로부터, 화학증착법(CVD), 예를 들면 Parylene 증착법의 유사 방법, 또는 용액으로부터의 퇴적을 수반하는 처리로부터 적용될 수 있다.
본 발명 방법을 이제 발명의 바람직한 구체예를 나타내는 첨부 도면을 참고하여 상세히 기술하기로 한다. 도 1은 기판(10)에 낮은-k 유전 물질과 회로 패턴을 퇴적하는 본 발명 방법에서 수행하는 단계별 순서에서 제 1 단계를 예시한다. 도 1에서 나타내는 바와 같이, UV 투명 몰드(30)를 기판(10)과 근접하게 가져와서 몰드(30)의 바닥 표면(31)과 기판(10) 사이에 틈(40)이 형성되도록 한다. 몰드(30)에는 그 안에 바람직하게는 약 0.1 내지 약 10, 및 더 바람직하게는 약 0.5 내지 약 2의 범위에 이르는 애스펙트 비를 갖는 나노 스케일 릴리프 구조가 형성되어 있다. 상세히는, 몰드 안의 릴리프 구조는 전형적으로 다수의 돌출부(41)로 구성되고, 그의 각 쌍이 그들 사이에 후미부(43)를 형성한다. 돌출부(41) 및 후미부(43)의 폭 W1 과 깊이 d1 를 예를 들면 약 10 nm 내지 약 5,000 미크론이 될 수 있다. 그러나, 돌출부(41) 및 후미부(43)는 궁극적으로 어떤 특징이 해당될 수도 있음을 이해하여야 한다.
도 2를 참조하면, 중합가능 유체 조성물은 바람직하게는 낮은 점도를 가져서 기판(10)위에 조성물(50)의 인접 층을 형성하기에 효율적인 방법으로 후미부(43)를 충전할 수 있도록 한다. 예를 들면, 조성물(50)의 점도는 25℃에서 측정한 바, 약 0.01 센티포이즈 (cps) 내지 약 100 cps 그리고 이 온도에서 측정한 바, 더 바람직하게는 약 0.01 cps 내지 약 5 cps의 범위일 수 있다. 조성물(50)을 퇴적하는 예가 되는 기술은 기판(10)상에 조성물(50)의 하나 이상의 간격진 별개의 방울들(도시 않음)을 퇴적하는 것을 사용한다. 전형적으로, 방울들(도시않음)은 조성물(50)의 방울들(도시않음)이 몰드(30)와의 상호작용, 예를 들어서, 기계적인 접촉, 전기적인 접촉, 등에 의해 합쳐져 기판(10) 위에 인접 층을 형성한다. 예가 되는 구체예에서, 조성물(50)의 방울들(도시않음)은 기판(10) 위에 배치된다. 몰드(30)와 방울들(도시않음)간의 접촉은 행해지지 않는다. 반응하여, 조성물(50)은 기판(10)위에 인접 층을 형성한다. 몰드(30)와 조성물(50) 간의 접촉이 일어나기 전에 몰드(30)와 기판(10) 및 방울들(도시않음) 모두와의 사이에 규정된, 예를 들면, 5 psi(제곱인치 당 파운드)로 흐르는 헬륨 기체로 기판(10)의 영역을 정화하는 것이 요망될 수도 있다. 예가 되는 정화 기술은 발명의 명칭 "SINGLE PHASE FLUID IMPRINT LITHOGRAPHY METHOD"하에 2003년 10월 2일에 출원된 미국 특허 출원 no. 10/677,639에 개시되어 있다.
대안으로는, 중합가능 유체는 예를 들어서, 스핀-코팅 기술을 사용하여 기판(10)에 실질적으로 균일한 유체 층으로서 먼저 퇴적될 수 있다. 그 후, 몰드(30)를 도 2에 나타낸 것과 같이 근접하게 가져온다. 이러한 경우에, 유체는 이러한 낮은 점도를 가질 필요가 없으나, 유체의 점도는 약 200,000 cPs 미만이어야 한다.
또한, 이하 기술되는 바와 같이 바람직한 올리고머보다 더 높은 분자량을 갖는 다른 가교가능 유기 폴리실리케이트를 사용하는 것이 달리 바람직할 정도로, 혼합물은 비활성 희석제로서 용매를 함유할 수도 있다. 용매는 불소계 계면활성제(이하의 더 바람직한 구체예에 기술함) 뿐만 아니라 특정한 기공 형성 물질을 용해하기 위해서 또는 단순히 점도를 평면 기판에 스핀 코팅하기에 충분히 낮은 수준으로 점도를 저하시키기 위해서 사용될 수도 있다. 스핀 코팅 후, 용매는 예를 들면 약 100℃에서 약 1분간 진공 또는 열 증발에 의해 제거된다. 다음에, 용매 없는 평탄화된 유체는 거기에 몰드를 접촉함으로써 직접 임프린팅될 수 있다.
본 발명의 장치를 위한 적합한 기판은 규소, 이산화 규소, 유리, 질화규소, 세라믹, 알루미늄, 구리 및 비소화 갈륨을 포함한다. 다른 적합한 기판은 당업자에게 공지일 것이다. 다층 집적 회로 장치에서, 절연된 평탄화된 회로 선들의 아래 놓이는 층은 또한 기판으로서 기능할 수 있다.
이제 도 2를 참조하면, 중합가능한 유체 조성물(50)은 다음에 유체를 중합하기에 충분한 조건에 노출된다. 바람직하게는, 중합가능 유체 조성물(50)은 유체 조성물을 중합하고 도 3에서 60으로 표시된 고화된 중합체 물질을 형성하기에 충분한 방사선에 노출시킨다. 더 상세하게는, 중합가능한 유체 조성물은 자외선 광에 노출되나, 예를 들면, 열 또는 방사선의 다른 형태와 같은 유체를 중합하기 위한 다른 수단을 사용할 수도 있다. 어떤 이용 분야에서는, UV 투명 기판을 사용하는 것이 바람직할 수도 있는데, 이 경우에 노출은 기판을 통해서 일어날 수 있고, 더 이상의 구체예에서는, UV 광에 불투명한 몰드를 사용한다는 것을 이해해야 한다.
유체 조성물의 중합을 개시하는 방법의 선택은 당업자에게 공지이고, 전형적으로 요망되는 특정한 용도에 따른다. 일반적으로 말해서, 유기 변형된 폴리실리카는 규소, 탄소, 산소 및 수소 원자를 포함하는 올리고머 또는 폴리머 화합물이다. 본 발명 방법에서 사용될 수 있는 중합가능(또는 가교가능) 물질은 종종 그 자체가 폴리머 또는 올리고머의 형태로 존재하는 여러가지 규소-함유 물질을 포함할 수도 있다. 적합한 유기 폴리실리카는 (i) 실세스퀴옥산; (ii) 부분적으로 축합된 알콕시실란(예를 들면, 제어된 가수분해에 의해 부분적으로 축합된 수 평균 분자량이 약 500 내지 20,000인 테트라에톡시실란); (iii) 조성 RSiO3 및 R2SiO2 (여기서 R은 유기 치환기이다)를 갖는 유기 변형된 실리케이트 그리고 (iv) 조성 SiOR4를 갖는 부분적으로 축합된 오르토실리케이트를 포함한다. 실세스퀴옥산은 RSiO1.5(여기서 R 은 유기 치환기이다) 형태의 중합체 실리케이트 재료이다. 규소-함유 물질은 바람직하게는 중합체 유체 조성물의 중량을 기준으로 약 10 퍼센트 이상의 양으로 원소상 규소를 함유하고, 더 바람직하게는 약 20 중량 퍼센트 이상을 함유한다.
규소-함유 중합가능 물질은 또한 비제한적인 예로서 에폭시기, 케톤기, 아세틸기, 비닐기, 아크릴레이트기, 메타크릴레이트기, 및 이들의 조합을 포함하는 다양한 기들로부터 하나 이상의 펜단트 작용기를 포함한다. 어떤 이론에 구속되기를 원하지는 않으나, 적합한 중합가능한 유체 조성물은 산 분해, 자유 라디칼 중합, 양이온 중합, 또는 2+2 광시클로부가, 등과 같은 다양한 반응 메카니즘에 따라 반응할 수도 있다고 생각되며, 이것들에 제한되지는 않는다.
유기 폴리실리카의 가장 바람직한 형태는 비교적 저분자량의 것이고, 지배적으로는 분자당 두개 이상의 펜단트 반응성 작용기를 갖는다. 이러한 유기 변형된 실리케이트는 상품명 "ORMOCER" 형태 수지로 이용가능하고 Micro Resist Technology GmbH (독일 베를린)로부터 입수가능하다. 전형적으로, 이들 재료는 유기 변형된 실란, 특히 알킬트리알콕시실란의 제어된 가수분해 및 축합을 통해 형성되고, 예를 들면 도 7에 예시된 바와 같은 분자들 710, 720, 730 및 740의 혼합물이다. 종래의 알콕시드 전구체인 종 720 R--Si(OX)3 에 대한 비제한적인 예로서, X는 예를 들면, CH3, CH2H5, CH3H7, 및 CH4H9를 나타낸다. R은 메틸, 에틸, 프로필, 부틸, 이소프로필, 아릴, 페닐, 뿐만 아니라 알콕시(여기서 R은 -(0X))와 같은 어떤 유기 단편도 될 수 있다. 분자 730에서, W는 바람직하게는 아릴 또는 페닐이다. 710, 720, 730 및 740 형태의 분자의 비율을 변형하여 가능한 결과 생성물 종의 분자량, 가교 정도 및 유리 전이 온도에 작용하도록 할 수 있다. 상당한 비율의 트리알콕시실란은 더 바람직하게는 종 710(여기서 R은 이제 메타크릴레이트기가 말단이다)에서와 같이 반응성 작용기를 갖는다. 대안으로는, R은 예를 들어서 740 종에서와 같이 에폭시드가 말단일 수 있다. R은 또한 메타크릴레이트, 아크릴레이트, 비닐, 에폭시드 등으로 말단이 되게 하여 UV광 및 적당한 광 개시제 및/또는 촉매로 활성화되는 가교가능한 작용성을 제공하도록 할 수 있음이 인식되어야 한다. 710 및 740 중 어느 하나에 대하여, Z는 선택적으로 H, CH3, CH2H5, CH3H7, C4H9 또는 기공 형성 물질 P2 또는 P3이다. 여기서 사용된바, 용어 "ORMOCER"는 전술한 물질들, 뿐만 아니라 때때로 상품명 ORMACORE 및 ORMACLAD로도 일컫는 다른 유기 변형된 세라믹들을 포함한다. 조성물의 어떤 부분에 대하여, 더 낮은 유전 상수보다는 다른 성질을 제공하기 위하여 혼합된 금속 산화물 재료를 생성하는 것이 바람직한 정도로, Si는 대안으로 Ti, Zr, 또는 Al이 될 수 있음이 주목된다.
축합 반응(700)시에, 전술한 트리알콕시실란 반응물질은 하나 이상의 반응성 작용기와 여러가지 형태의 가교된 망상구조를 형성한다. 따라서, 초기 축합 반응시, -OX 기들은 제거되어 Si-O- 결합된 망상구조가 형성되며 750으로 예시된 총체적인 구조를 갖게 된다. 망상구조(750)의 실리케이트 부분은 축합 반응(700)에서 형성된 다른 종들에 대해 타원형으로 개략적으로 예시한다. 초기 반응 물질의 정확한 조성과 비율에 따라 중축합 반응(700)은 적합한 광 개시제 및/또는 촉매와 함께 열에 의하거나 아니면 화학 방사선에 노출시 서로 가교할 수 있는 하나 이상의 메타크릴레이트, 아크릴레이트, 비닐, 에폭시드, 등의 펜단트 작용기들을 갖는 다양한 종을 생성한다. R 또는 Z가 대안으로 포로젠일 때, P2 또는 P3로 지칭되고, 여기서 상기 축합 반응은 포로젠 펜단트 기를 Si-O- 결합된 망상구조(750)에 결합시키며, 755로 칭한다. P3는 가교할 수 있는 추가의 펜단트 메타크릴레이트, 아크릴레이트, 비닐, 에폭시드, 등의 펜단트 작용기들을 갖는 구조 및 분자들을 포함하는 것을 의도한다. P2 및 P3는 따라서 기공 크기 및 분포를 다양하게 하거나 최적화하기 위해 올리고머 또는 폴리머일 수 있다. 예를 들면, 트리메톡시 실릴 노르보르넨(TMSNB) 및 트리에톡시실릴 노르보르넨(TESNB) 폴리머 (Promerus, Brecksville, OH) 가 Padovani, et al in "Chemically Bonded Porogens in Methylsilsesquioxane, I. Structure and Bonding," Journal of the Electrochemical Society, 149 (12) F161-F170 (2002)에 기술된 바와 같은 이러한 화학적으로 결합된 포로젠으로서 사용되어왔다. 대안으로, Pl 또는 P2는 중합가능 유체의 점도를 최소화하기 위해 바람직한 폴리히드록실 말단의 또는 분지된 히드록실 말단 종을 갖는 여러가지 분자량의 폴리(카프로락톤) 또는 다른 폴리올일 수 있다.
따라서, 반응(700)은 특히, 에폭시드 펜단트 기를 갖는 Si-O- 결합된 망상구조(750)를 갖는 종 741을 가져오는 한편, 다른 생성물들은 메타크릴레이트 펜단트 기를 갖는 Si-O- 결합된 망상구조(750)를 갖는 종 744를 포함한다. 반대로, 대안으로서, 종 742은 에폭시드 및 메타크릴레이트 펜단트 기를 둘다 갖는 Si-O- 결합된 망상구조(750)를 갖는 한편, 종 743은 두개의 메타크릴레이트 펜단트 기를 갖는 Si-O- 결합된 망상구조(750)를 갖는다. 반응(700)의 또 다른 생성물은 에폭시드, 메타크릴레이트 및 기공 형성 펜단트 기, P2를 갖는 Si-O- 결합된 망상구조(750)를 갖는 종 755이다. 종 760에서, Si-O- 결합된 망상구조(750)는 펜단트 에폭시드 및 메타크릴레이트 기를 둘다 가질 뿐만 아니라 기공 형성 펜단트 기 P3를 가지며, P3는 그것에 결합되거나 그로부터 펜단트된 제 3의 펜단트 반응성기, 즉 메타크릴레이트를 갖는다.
도 8은 유체 810 또는 815의 중합과 이어서 다공성 유전 물질 835 또는 840으로의 변환의 동안에 일어나는 화학 반응을 예시한다. 반응(700)의 결과로부터 출발하는 것은 중합가능 유체로서 적합한 2개 이상의 펜단트 반응성 기를 갖는 Si-O- 결합된 망상구조(750)를 포함하는 실질적인 수의 화합물들을 제공한다. 포로젠, Pl은 중합가능 유체에서 상 분리되거나 아니면 용해된 단순한 혼합물로서 제공될 수 있다는 것을 이해하여야 한다. 만일 포로젠이 상 분리되면, 3 내지 50 nm 스케일의 입도를 갖는 안정한 에멀션이어야 한다. 혼합물은 841, 즉 에폭시드와 두개의 메타크릴레이트 펜단트 기를 갖는 Si-O- 결합된 망상구조(750)와 같은 다른 종을 포함할 수 있다. 대안의 종 860에서는, 두개의 메타크릴레이트기가 Si-O- 결합된 망상구조(750)로부터 펜단트되어 있고, 뿐만 아니라 포로젠 기는 그로부터 펜단트된 에폭시드기를 갖는다. 종 861에서, 에폭시기, 메타크릴레이트기 및 포로젠이 Si-O- 결합된 망상구조(750)로부터 펜단트되어 있다. 따라서, 중합가능 유체는 유체에 결합, 용해 또는 분산된 펜단트 반응성 기 및 포로젠 물질을 갖는 Si-O- 결합된 망상구조(750)를 포함한다.
바람직하게는, 후속 중합 단계 815는 유체가 같은 자리에서 몰드와 함께 화학 방사선에 노출되는데, 고체의 가교된 수지 880를 가져온다. 따라서, 만일 혼합물이 에폭시드 기를 함유하면, 가교된 망상구조의 완전한 경화를 단일 단계로 달성할 수 있도록 하는 산을 발생시키는 광 개시제를 포함하는 것이 바람직하다. 따라서, 몰드가 쉽게 제거되고 기판의 다른 장치 또는 부분들을 임프린팅하기 위해 사용될 수 있게 한다.
대안으로는, 광 개시제에 따라, 후속 가교 반응(810)은 메타크릴레이트 기를 통해서 초기에 일어날 수도 있다. 이것은 유기 실리케이트 전구체를 점성을 증가시키거나 부분적으로 가교한 다음 최종 열 경화 공정(820)을 하여 이것이 어떤 잔류 에폭시 기를 가교하게 되는데, 또한 3차원 가교된 망상구조(880)를 갖는 고체 물질을 형성한다. 화학 방사선에 초기 노출 후에 에폭시드 기가 존재할 때, 경화는 80 내지 120 ℃에서 5분 이하의 동안에 소위 소프트 베이크와, 약 120 내지 240 ℃에서 약 3시간까지의 동안에 고온 경화를 사용하여 다단계로 달성될 수 있다.
도 4에서 다공성 실리케이트(70)를 형성하기 위해 유기 변형된 실리케이트를 분해하는 최종 단계는 바람직하게는 질소하에서 약 425 내지 450 ℃의 온도에서 약 1시간동안 물질을 가열하는 조건 하에서 일어난다. 그러나, 분해 공정 조건은 또한 유기 변형된 실리케이트와 비교한 포로젠의 분해 속도의 시차 온도 의존성에 따라 단계들로 수행될 수 있다. 그러나, 포로젠의 유기 분해 및 제거는 최종 다공성 유전 물질890을 이끄는 한 단계 공정(835)인지, 아니면 두 단계로 일어나는지는 기본적으로 규소 상의 펜단트 유기 기가 분해됨에 따라 단계 830에서 같은 반응의 화학이 일어난다. 유기 변형된 실리케이트는 부분적인 중축합 반응(700)의 결과로서 약간의 히드록실기를 함유한다. 히드록실기는 도 8에서 Si-OH로 표시되어 있다. 고온에서의 최종 단계 840에서 물은 인접 Si-OH 기들로부터 축합되어 실질적으로 무기 실리카 망상구조를 형성한다. 동시에, 상 응집된 포로젠 Pl, P2 또는 P3 물질들은 분해하여 바람직하게는 3 내지 30 nm의 직경 또는 특징 크기 W1 및 d1의 약 10분의 1인 나노미터 스케일의 기공들을 형성한다.
도 3을 다시 참조하면, 상기한 경화 또는 중합 공정 815 또는 810/820의 완결시, 몰드(30)는 제거되어 기판(10)에 퇴적된 고화된 중합체 물질(60)을 남긴다. 패턴화된 유기 실리케이트 코팅은 고원지대(62)에 의해 둘러싸인 홈(61)을 갖는다. 도 4에 나타낸 바와 같이, 반응 835 또는 840 중 어느 하나에 따라 결과된 패턴형성된 중합체 물질(60)은 실질적으로 무기 다공성 유전 코팅(70)으로 변환되고 이것은 여전히 균일한 분포의 기공들(41)을 가지면서 고원지대(72)에 의해 둘러싸인 홈(71)을 포함한다.
본 발명의 또 다른 특징은 회로 선들 위에 및/또는 회로 선들 사이에 및 기판 위에 위치된 유전 물질을 형성하는 것이다. 다층 회로 장치에서, 유전 물질은 종종 평탄화하여 회로 선들의 다음 층의 리소그래피 형성에 대한 기판으로서 기능한다. 유전 물질은 다공성 유기 폴리실리케이트를 포함한다.
도 5를 참조하면, 본 발명의 집적 회로를 형성하는 공정의 다음 단계에서, 금속 필름(80)이 패턴형성된 유전 층(70)에 퇴적된다. 바람직한 금속 재료는 적합한 회로 선들을 제공하도록 선택되고 따라서 구리, 텅스텐, 알루미늄, 규화물, 금, 은, 또는 그의 합금과 같은 금속 전기 전도성 물질을 포함한다. 금속은 화학증착법(CVD), 플라즈마 증강 CVD, 전기 및 비전기 증착, 스퍼터링 등과 같은 공지의 기술에 의해 패턴형성된 유전 층 위에 알맞게 퇴적된다. 선택적으로, 회로 선은 니켈, 탄탈 또는 크롬의 층 또는 다른 층들, 예를 들어서 배리어 또는 접착층(예를 들면, SiN, TiN)과 같은 금속 라이너로 코팅될 수도 있다.
도 6을 참조하면, 공정의 마지막 단계는 과잉의 금속 물질의 제거(예를 들면, 금속 필름(80)을 평탄화함)를 수반하여 금속이 채워진 홈(91)의 정상부는 일반적으로 패턴형성된 유전 층(72)과 수평이고 집적 회로 장치(100)를 가져온다. 장치(100)는 일반적으로 기판(10), 금속 회로 선(90) 및 유전 물질(70)을 포함한다. 평탄화는 화학적/기계적 폴리싱 또는 선택적인 습식 또는 건식 에칭을 사용하여 달성될 수 있다. 적합한 화학적/기계적 폴리싱 기술은 당업자에게 공지일 것이다. 장치(100)에서, 상호연결된 회로 선(90)은 장치에서 전기 신호를 분포시키는 기능을 하고 장치로 신호 입력 및 장치로부터의 신호 출력을 제공하는 기능을 한다. 알맞는 집적 회로 장치는 일반적으로 다층의 회로 선들을 포함할 것이며, 이것들은 수직의 금속 못(도면에 도시않음)에 의해 상호연결되어 있다.
더 바람직한 구체예에서, 중합가능 조성물은 또한 임프린트 몰드 또는 도구의 이형성 및 성능 수명을 개선하기 위해 불소계 계면활성제를 포함한다.
현재 바람직한 불소계 계면활성제는 3M Performance Materials Division (St. Paul, MN)사가 상품명 NOVEC FC-4432하에 판매하는 비이온계 중합체 불소계 화학 계면활성제이다. 대안의 계면활성제는 DuPont Corporation (Wilmington, DE)으로부터 입수할 수 있는 ZONYL FSO-100을 포함한다.
바람직한 중합가능 유체 조성물에서, Si- 에 대한 분해가능한 폴리머(포로젠)의 백분율 또는 분율은 원하는 유전 상수 및 유전 층의 요구되는 궁극적인 기계적 강도 및 내구성에 따라 약 10 내지 40 부피 %, 및 바람직하게는 20 내지 30%의 기공 부피를 생성하도록 선택되고, 나노 스케일 기공에 대해서 조차, 총 기공도를 증가시키는 것은 강도 및 내구성을 감소시킨다는 것이 이해된다. 포로젠 성분은 바람직하게는 조성물의 약 10 내지 50 중량 퍼센트를 포함한다. 추가로 유기 변형된 실리케이트는 적어도 약 10 중량 퍼센트 규소를 포함한다면 바람직하다. 더 바람직하게는, 유기 변형된 실리케이트는 약 50,000 미만의 분자량을 갖는다. 이러한 상황하에서, 중합가능한 유체 조성물은 바람직하게는 약 200,000 cPs 미만의 점도를 갖는다.
실시예 1
본 발명의 중합가능 유체에 대한 바람직한 조성물의 이론적인 예로서, 79.5 g ORMOCER b59 UV 경화성 유기 변형된 실리케이트, 포로젠으로서 2O g TONE 0301 및 0.5 g FC4432 의 불소계 계면활성제를 함께 혼합한다. ORMOCER b59는 적당한 광 개시제와 사전혼합된 것을 제조업자로부터 구입하기 때문에 상기 조성물은 365 nm를 포함하는 파장의 UV 방사선에 노출했을 때 상기한 바와 같은 임프린트 몰딩에 사용될 수 있다. "TONE" 0310은 Dow Chemical Company (Midland, MI)로부터 입수할 수 있으며, 비교적 저비점을 가지며 3-작용성(분자당 3개의 -OH 기)이며, 수평균 분자량이 약 900이고, 히드록실가(mg KOH/g)가 187.0인 폴리(카프로락톤) 폴리올(CAS Reg. No. 37625-56-2)이다. 부당한 실험없이 적합한 것으로 간주되는 다른 폴리카프로락톤은 CAPA 3031을 포함하는데, 이것은 Solvay Caprolactones (Warrington, Cheshire, United Kingdom)로부터 입수가능하다.
본 발명 방법은 기공 발생 공정에 앞서 몰드의 마이크로 릴리프의 존재가 핵형성 및 더 큰 기공의 성장의 경향을 최소화하기 때문에 가장 작은 기공 크기를 달성하기가 쉬운 것으로 기대된다.
당업자는 기판, 몰드, 중합가능 유체 조성물, 표면 개질제, 뿐만 아니라 본 발명 방법이 최종 사용자의 구체적인 필요에 따라 최적으로 기능하도록 하는 어떤 다른 물질들을 선택할 수 있음이 인정되어야 한다.
바람직한 구체예와 관련하여 본 발명을 설명하였으나, 제시된 구체적인 형태로 본 발명의 범위를 제한하는 것을 의도하지 않으며, 반대로 첨부 청구범위에 의해 정의되는 바와 같은 본 발명의 정신과 범위내에 드는 바와 같은 이러한 대안, 변형 및 등가물을 커버하는 것으로 의도한다.

Claims (11)

  1. a) i) UV 경화성 유기 변형된 실리케이트, 및
    ii) 분해가능 유기 화합물을 포함하는 임프린팅 조성물을 제공하는 단계;
    b) 몰드로 잔류 조성물에 회로 패턴을 임프린팅하는 단계,
    c) 잔류 임프린트 조성물을 UV 경화하는 단계,
    d) 임프린트 다이를 제거하는 단계,
    e) 조성물을 가열하여 유기 변형된 실리케이트을 축합하고 분해가능한 화합물을 분해하여 다공성 패턴화된 유전 층을 형성하는 단계를 포함하는 집적 회로의 형성 방법.
  2. 제 1항에 있어서, 몰드는 UV 투명하고 UV 경화 노출은 몰드을 통해서 일어나는 것을 특징으로 하는 방법.
  3. 제 1항에 있어서, UV 노출은 기판을 통해서 일어나는 것을 특징으로 하는 방법.
  4. 제 1항에 있어서, 임프린팅 조성물은 용매를 포함하고,
    a) 조성물을 기판상에 스핀 코팅하는 단계
    b) 조성물에 회로 패턴을 임프린팅하기에 앞서 용매를 제거하는 단계를 포함 하는 것을 특징으로 하는 방법.
  5. 제 1항에 있어서, 임프린팅 조성물은 불소계 계면활성제를 더 포함하는 것을 특징으로 하는 방법.
  6. 제 1항에 있어서, 분해가능 유기 화합물은 폴리카프로락톤인 것을 특징으로 하는 방법.
  7. 제 1항에 있어서, 분해가능 유기 화합물은 UV 경화성 유기 변형된 실리케이트에 화학적으로 결합되어 있는 것을 특징으로 하는 방법.
  8. a) UV 경화성 유기 변형된 실리케이트, 및
    b) 분해가능 유기 화합물을 포함하고,
    c) 여기서 상기 화합물의 점도는 약 200,000 cps미만인 것을 특징으로 하는, 물질의 조성물.
  9. 제 8항에 있어서, 불소계 계면활성제를 더 포함하는 것을 특징으로 하는 물질의 조성물.
  10. 제 9항에 있어서, 분해가능 유기 화합물은 폴리카프로락톤인 것을 특징으로 하는 물질의 조성물.
  11. 제 9항에 있어서, 분해가능 유기 화합물은 UV 경화성 유기 변형된 실리케이트에 화학적으로 결합되어 있는 것을 특징으로 하는 물질의 조성물.
KR1020077008751A 2004-10-18 2005-10-14 낮은-k 유전 기능 임프린팅 재료 KR20070083711A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/967,740 2004-10-18
US10/967,740 US20060081557A1 (en) 2004-10-18 2004-10-18 Low-k dielectric functional imprinting materials

Publications (1)

Publication Number Publication Date
KR20070083711A true KR20070083711A (ko) 2007-08-24

Family

ID=36179629

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077008751A KR20070083711A (ko) 2004-10-18 2005-10-14 낮은-k 유전 기능 임프린팅 재료

Country Status (6)

Country Link
US (2) US20060081557A1 (ko)
EP (1) EP1805559A2 (ko)
JP (1) JP2008517480A (ko)
KR (1) KR20070083711A (ko)
TW (1) TW200621895A (ko)
WO (1) WO2006044690A2 (ko)

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040058090A1 (en) * 2001-09-14 2004-03-25 Carlo Waldfried Low temperature UV pretreating of porous low-k materials
US20050160934A1 (en) * 2004-01-23 2005-07-28 Molecular Imprints, Inc. Materials and methods for imprint lithography
US20060108710A1 (en) * 2004-11-24 2006-05-25 Molecular Imprints, Inc. Method to reduce adhesion between a conformable region and a mold
US7307118B2 (en) * 2004-11-24 2007-12-11 Molecular Imprints, Inc. Composition to reduce adhesion between a conformable region and a mold
US7939131B2 (en) * 2004-08-16 2011-05-10 Molecular Imprints, Inc. Method to provide a layer with uniform etch characteristics
US20060062922A1 (en) * 2004-09-23 2006-03-23 Molecular Imprints, Inc. Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor
US20060081557A1 (en) 2004-10-18 2006-04-20 Molecular Imprints, Inc. Low-k dielectric functional imprinting materials
US10225906B2 (en) * 2004-10-22 2019-03-05 Massachusetts Institute Of Technology Light emitting device including semiconductor nanocrystals
US8808808B2 (en) 2005-07-22 2014-08-19 Molecular Imprints, Inc. Method for imprint lithography utilizing an adhesion primer layer
US8557351B2 (en) * 2005-07-22 2013-10-15 Molecular Imprints, Inc. Method for adhering materials together
US7759407B2 (en) * 2005-07-22 2010-07-20 Molecular Imprints, Inc. Composition for adhering materials together
US8846195B2 (en) * 2005-07-22 2014-09-30 Canon Nanotechnologies, Inc. Ultra-thin polymeric adhesion layer
US8142703B2 (en) 2005-10-05 2012-03-27 Molecular Imprints, Inc. Imprint lithography method
WO2007117672A2 (en) 2006-04-07 2007-10-18 Qd Vision, Inc. Methods of depositing nanomaterial & methods of making a device
WO2007120877A2 (en) * 2006-04-14 2007-10-25 Qd Vision, Inc. Transfer surface for manufacturing a light emitting device
KR20090031349A (ko) * 2006-04-28 2009-03-25 폴리셋 컴파니, 인코퍼레이티드 재분배층 적용을 위한 실록산 에폭시 중합체
WO2008111947A1 (en) * 2006-06-24 2008-09-18 Qd Vision, Inc. Methods and articles including nanomaterial
JP2008091685A (ja) * 2006-10-03 2008-04-17 Seiko Epson Corp 素子基板およびその製造方法
JP4344954B2 (ja) * 2006-10-03 2009-10-14 セイコーエプソン株式会社 素子基板の製造方法
CN101535892A (zh) 2006-11-01 2009-09-16 皇家飞利浦电子股份有限公司 凹凸层和制作凹凸层的压印方法
US20080110557A1 (en) * 2006-11-15 2008-05-15 Molecular Imprints, Inc. Methods and Compositions for Providing Preferential Adhesion and Release of Adjacent Surfaces
JP5137635B2 (ja) * 2007-03-16 2013-02-06 キヤノン株式会社 インプリント方法、チップの製造方法及びインプリント装置
US8142702B2 (en) * 2007-06-18 2012-03-27 Molecular Imprints, Inc. Solvent-assisted layer formation for imprint lithography
KR100991354B1 (ko) * 2007-08-13 2010-11-02 주식회사 네패스 반도체 디바이스의 절연막을 형성하기 위한 코팅용액과 이의 제조방법
US20090075491A1 (en) * 2007-09-13 2009-03-19 Tokyo Electron Limited Method for curing a dielectric film
WO2009067241A1 (en) * 2007-11-21 2009-05-28 Molecular Imprints, Inc. Porous template and imprinting stack for nano-imprint lithography
TWI409583B (zh) * 2007-12-03 2013-09-21 Molecular Imprints Inc 用於奈米壓印微影術之多孔性模板及壓印堆疊體
KR101538531B1 (ko) * 2008-03-06 2015-07-21 도쿄엘렉트론가부시키가이샤 다공성 저 유전 상수 유전체막의 경화 방법
US9028242B2 (en) * 2008-08-05 2015-05-12 Smoltek Ab Template and method of making high aspect ratio template for lithography and use of the template for perforating a substrate at nanoscale
KR101557816B1 (ko) * 2008-09-01 2015-10-07 삼성디스플레이 주식회사 레지스트 조성물 및 이를 이용한 패턴 형성 방법
US20100072671A1 (en) * 2008-09-25 2010-03-25 Molecular Imprints, Inc. Nano-imprint lithography template fabrication and treatment
US8470188B2 (en) * 2008-10-02 2013-06-25 Molecular Imprints, Inc. Nano-imprint lithography templates
US20100104852A1 (en) * 2008-10-23 2010-04-29 Molecular Imprints, Inc. Fabrication of High-Throughput Nano-Imprint Lithography Templates
US8652393B2 (en) * 2008-10-24 2014-02-18 Molecular Imprints, Inc. Strain and kinetics control during separation phase of imprint process
US8361546B2 (en) * 2008-10-30 2013-01-29 Molecular Imprints, Inc. Facilitating adhesion between substrate and patterned layer
US20100109195A1 (en) * 2008-11-05 2010-05-06 Molecular Imprints, Inc. Release agent partition control in imprint lithography
US9904165B2 (en) * 2009-08-04 2018-02-27 Agency For Science, Technology And Research Method of reducing the dimension of an imprint structure on a substrate
US20110165412A1 (en) * 2009-11-24 2011-07-07 Molecular Imprints, Inc. Adhesion layers in nanoimprint lithograhy
US8616873B2 (en) * 2010-01-26 2013-12-31 Molecular Imprints, Inc. Micro-conformal templates for nanoimprint lithography
TW201144091A (en) * 2010-01-29 2011-12-16 Molecular Imprints Inc Ultra-compliant nanoimprint lithography templates
US9274441B2 (en) 2010-08-16 2016-03-01 Asml Netherlands B.V. Inspection method for imprint lithography and apparatus therefor
JP5882922B2 (ja) * 2012-01-19 2016-03-09 キヤノン株式会社 インプリント方法、およびインプリント装置
JP6071255B2 (ja) * 2012-06-04 2017-02-01 キヤノン株式会社 光硬化物
JP6460672B2 (ja) * 2013-09-18 2019-01-30 キヤノン株式会社 膜の製造方法、光学部品の製造方法、回路基板の製造方法及び電子部品の製造方法
CN104681743B (zh) * 2013-11-29 2017-02-15 清华大学 有机发光二极管的制备方法
US20170235003A1 (en) 2016-02-12 2017-08-17 Cgg Services Sas Seismic data acquisition for compressive sensing reconstruction
TWI742875B (zh) * 2020-10-12 2021-10-11 艾姆勒車電股份有限公司 高分子複合基材表面之金屬線路及其形成方法
US11310916B1 (en) 2020-12-23 2022-04-19 Amulaire Thermal Technology, Inc. Metal circuit on polymer composite substrate surface and method for manufacturing the same

Family Cites Families (173)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3810874A (en) * 1969-03-10 1974-05-14 Minnesota Mining & Mfg Polymers prepared from poly(perfluoro-alkylene oxide) compounds
US3919351A (en) * 1973-08-29 1975-11-11 Ppg Industries Inc Composition useful in making extensible films
JPS573875A (en) * 1980-06-11 1982-01-09 Tamura Kaken Kk Photopolymerizable ink composition
US4617238A (en) * 1982-04-01 1986-10-14 General Electric Company Vinyloxy-functional organopolysiloxane compositions
US4514439A (en) * 1983-09-16 1985-04-30 Rohm And Haas Company Dust cover
US4512848A (en) * 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
US4517337A (en) * 1984-02-24 1985-05-14 General Electric Company Room temperature vulcanizable organopolysiloxane compositions and method for making
US4614667A (en) * 1984-05-21 1986-09-30 Minnesota Mining And Manufacturing Company Composite low surface energy liner of perfluoropolyether
EP0255303B1 (en) * 1986-07-25 1989-10-11 Oki Electric Industry Company, Limited Negative resist material, method for its manufacture and method for using it
US4931351A (en) * 1987-01-12 1990-06-05 Eastman Kodak Company Bilayer lithographic process
US4731155A (en) * 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
JPH01163027A (ja) * 1987-12-21 1989-06-27 Matsushita Electric Ind Co Ltd 光学素子の成形方法およびその装置
US5028366A (en) * 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
US5028511A (en) * 1989-05-30 1991-07-02 E. I. Du Pont De Nemours And Company Process for preparing a precolored image using photosensitive reproduction element containing a photorelease layer
US5792550A (en) 1989-10-24 1998-08-11 Flex Products, Inc. Barrier film having high colorless transparency and method
US5102977A (en) 1990-01-18 1992-04-07 Ruco Polymer Corporation Internally catalyzed sulfonate bearing hydroxyl terminated powder coating polyesters
US5066231A (en) * 1990-02-23 1991-11-19 Minnesota Mining And Manufacturing Company Dental impression process using polycaprolactone molding composition
US6174931B1 (en) * 1991-02-28 2001-01-16 3M Innovative Properties Company Multi-stage irradiation process for production of acrylic based compositions and compositions made thereby
US5366768A (en) 1991-05-09 1994-11-22 Kansai Paint Company, Limited Method of forming coating films
US5182174A (en) 1991-05-13 1993-01-26 E. I. Du Pont De Nemours And Company Flexible etch-resistant finishes with siloxane cross-linking
JPH04366958A (ja) 1991-06-14 1992-12-18 Oki Electric Ind Co Ltd 放射線感応性樹脂組成物
US5206983A (en) * 1991-06-24 1993-05-04 Wisconsin Alumni Research Foundation Method of manufacturing micromechanical devices
DE4228853C2 (de) * 1991-09-18 1993-10-21 Schott Glaswerke Optischer Wellenleiter mit einem planaren oder nur geringfügig gewölbten Substrat und Verfahren zu dessen Herstellung sowie Verwendung eines solchen
US5331020A (en) * 1991-11-14 1994-07-19 Dow Corning Limited Organosilicon compounds and compositions containing them
FR2693727B1 (fr) * 1992-07-20 1994-08-19 Ceramiques Tech Soc D Polycondensat organo-minéral et procédé d'obtention.
US5298556A (en) * 1992-07-21 1994-03-29 Tse Industries, Inc. Mold release composition and method coating a mold core
US5601641A (en) * 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
DE69405451T2 (de) * 1993-03-16 1998-03-12 Koninkl Philips Electronics Nv Verfahren und Vorrichtung zur Herstellung eines strukturierten Reliefbildes aus vernetztem Photoresist auf einer flachen Substratoberfläche
US5594042A (en) * 1993-05-18 1997-01-14 Dow Corning Corporation Radiation curable compositions containing vinyl ether functional polyorganosiloxanes
US5861467A (en) * 1993-05-18 1999-01-19 Dow Corning Corporation Radiation curable siloxane compositions containing vinyl ether functionality and methods for their preparation
US6776094B1 (en) * 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
JP2989453B2 (ja) 1993-11-30 1999-12-13 三菱鉛筆株式会社 連続気孔を有する多孔性ゴム印材
US5542978A (en) * 1994-06-10 1996-08-06 Johnson & Johnson Vision Products, Inc. Apparatus for applying a surfactant to mold surfaces
US5837314A (en) * 1994-06-10 1998-11-17 Johnson & Johnson Vision Products, Inc. Method and apparatus for applying a surfactant to mold surfaces
US6433098B1 (en) * 1994-06-13 2002-08-13 Rohm And Haas Company Process of preparing curable compositions and compositions therefrom
US5928767A (en) * 1995-06-07 1999-07-27 Dexter Corporation Conductive film composite
EP0784542B1 (en) 1995-08-04 2001-11-28 International Business Machines Corporation Stamp for a lithographic process
US6468642B1 (en) * 1995-10-03 2002-10-22 N.V. Bekaert S.A. Fluorine-doped diamond-like coatings
US6309580B1 (en) * 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US6518189B1 (en) * 1995-11-15 2003-02-11 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
US20030080471A1 (en) * 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method for molding pattern with nanoscale features
US20040137734A1 (en) * 1995-11-15 2004-07-15 Princeton University Compositions and processes for nanoimprinting
US20040036201A1 (en) * 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
US6482742B1 (en) * 2000-07-18 2002-11-19 Stephen Y. Chou Fluid pressure imprint lithography
US7758794B2 (en) * 2001-10-29 2010-07-20 Princeton University Method of making an article comprising nanoscale patterns with reduced edge roughness
US5772905A (en) * 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US5684066A (en) * 1995-12-04 1997-11-04 H.B. Fuller Licensing & Financing, Inc. Protective coatings having enhanced properties
US5669303A (en) * 1996-03-04 1997-09-23 Motorola Apparatus and method for stamping a surface
US6204343B1 (en) * 1996-12-11 2001-03-20 3M Innovative Properties Company Room temperature curable resin
US5895263A (en) * 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
US6355751B1 (en) * 1996-12-31 2002-03-12 Lucent Technologies Inc. Curable coatings with improved adhesion to glass
US5792821A (en) * 1997-01-06 1998-08-11 American Dental Association Health Foundation Polymerizable cyclodextrin derivatives
US6132632A (en) * 1997-09-11 2000-10-17 International Business Machines Corporation Method and apparatus for achieving etch rate uniformity in a reactive ion etcher
US6592764B1 (en) 1997-12-09 2003-07-15 The Regents Of The University Of California Block copolymer processing for mesostructured inorganic oxide materials
US6117708A (en) * 1998-02-05 2000-09-12 Micron Technology, Inc. Use of residual organic compounds to facilitate gate break on a carrier substrate for a semiconductor device
US6114404A (en) * 1998-03-23 2000-09-05 Corning Incorporated Radiation curable ink compositions and flat panel color filters made using same
JP2002505766A (ja) * 1998-04-15 2002-02-19 エテック システムズ インコーポレイテッド ホトレジスト現像剤および現像方法
DE19828969A1 (de) * 1998-06-29 1999-12-30 Siemens Ag Verfahren zur Herstellung von Halbleiterbauelementen
US20020102483A1 (en) 1998-09-15 2002-08-01 Timothy Adams Antireflective coating compositions
AU6295499A (en) 1998-10-08 2000-04-26 Robson T. Young Jr. High-strength collapsible pallet with self-aligning construction
US6713238B1 (en) * 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
US6435948B1 (en) 2000-10-10 2002-08-20 Beaver Creek Concepts Inc Magnetic finishing apparatus
US6329017B1 (en) 1998-12-23 2001-12-11 Battelle Memorial Institute Mesoporous silica film from a solution containing a surfactant and methods of making same
US6238798B1 (en) 1999-02-22 2001-05-29 3M Innovative Properties Company Ceramer composition and composite comprising free radically curable fluorochemical component
US6334960B1 (en) * 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US6342097B1 (en) * 1999-04-23 2002-01-29 Sdc Coatings, Inc. Composition for providing an abrasion resistant coating on a substrate with a matched refractive index and controlled tintability
WO2000076738A1 (en) * 1999-06-11 2000-12-21 Bausch & Lomb Incorporated Lens molds with protective coatings for production of contact lenses and other ophthalmic products
US6344105B1 (en) * 1999-06-30 2002-02-05 Lam Research Corporation Techniques for improving etch rate uniformity
US6190929B1 (en) * 1999-07-23 2001-02-20 Micron Technology, Inc. Methods of forming semiconductor devices and methods of forming field emission displays
WO2001018305A1 (en) * 1999-09-10 2001-03-15 Nano-Tex, Llc Water-repellent and soil-resistant finish for textiles
US6517995B1 (en) * 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
US6873087B1 (en) 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
EP1251974B1 (en) * 1999-12-23 2005-05-04 University of Massachusetts Methods for forming submicron patterns on films
WO2001053369A1 (fr) * 2000-01-21 2001-07-26 Mitsui Chemicals, Inc. Copolymeres blocs d'olefine, procedes de fabrication et utilisation
US6465365B1 (en) 2000-04-07 2002-10-15 Koninklijke Philips Electronics N.V. Method of improving adhesion of cap oxide to nanoporous silica for integrated circuit fabrication
US6774183B1 (en) * 2000-04-27 2004-08-10 Bostik, Inc. Copolyesters having improved retained adhesion
US6573131B2 (en) 2000-07-13 2003-06-03 The Regents Of The University Of California Silica zeolite low-k dielectric thin films and methods for their production
US6271273B1 (en) * 2000-07-14 2001-08-07 Shipley Company, L.L.C. Porous materials
US7211214B2 (en) * 2000-07-18 2007-05-01 Princeton University Laser assisted direct imprint lithography
US7635262B2 (en) * 2000-07-18 2009-12-22 Princeton University Lithographic apparatus for fluid pressure imprint lithography
US20050037143A1 (en) * 2000-07-18 2005-02-17 Chou Stephen Y. Imprint lithography with improved monitoring and control and apparatus therefor
US6455411B1 (en) * 2000-09-11 2002-09-24 Texas Instruments Incorporated Defect and etch rate control in trench etch for dual damascene patterning of low-k dielectrics
EP1352295B1 (en) * 2000-10-12 2015-12-23 Board of Regents, The University of Texas System Template for room temperature, low pressure micro- and nano-imprint lithography
US6503914B1 (en) * 2000-10-23 2003-01-07 Board Of Regents, The University Of Texas System Thienopyrimidine-based inhibitors of the Src family
KR100528950B1 (ko) * 2001-01-29 2005-11-16 제이에스알 가부시끼가이샤 유전체용 복합 입자, 초미립자 복합 수지 입자, 유전체형성용 조성물 및 그의 용도
US6660245B1 (en) 2001-02-13 2003-12-09 Novellus Systems, Inc. Methods for detemplating zeolites and silicalites for use in integrated circuit manufacture
US6387787B1 (en) * 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use
US6731857B2 (en) 2001-03-29 2004-05-04 Shipley Company, L.L.C. Photodefinable composition, method of manufacturing an optical waveguide with the photodefinable composition, and optical waveguide formed therefrom
US6737489B2 (en) * 2001-05-21 2004-05-18 3M Innovative Properties Company Polymers containing perfluorovinyl ethers and applications for such polymers
US6736857B2 (en) * 2001-05-25 2004-05-18 3M Innovative Properties Company Method for imparting soil and stain resistance to carpet
US7141188B2 (en) 2001-05-30 2006-11-28 Honeywell International Inc. Organic compositions
SG169225A1 (en) * 2001-07-25 2011-03-30 Univ Princeton Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
US6483174B1 (en) 2001-08-16 2002-11-19 Jds Uniphase Corporation Apparatus and method for dicing and testing optical devices, including thin film filters
US20030054115A1 (en) * 2001-09-14 2003-03-20 Ralph Albano Ultraviolet curing process for porous low-K materials
US6721529B2 (en) * 2001-09-21 2004-04-13 Nexpress Solutions Llc Release agent donor member having fluorocarbon thermoplastic random copolymer overcoat
US6790905B2 (en) * 2001-10-09 2004-09-14 E. I. Du Pont De Nemours And Company Highly repellent carpet protectants
US20030127002A1 (en) 2002-01-04 2003-07-10 Hougham Gareth Geoffrey Multilayer architechture for microcontact printing stamps
TWI339680B (en) 2002-02-19 2011-04-01 Kanto Kagaku Washing liquid composition for semiconductor substrate
JP4038688B2 (ja) * 2002-02-19 2008-01-30 日産化学工業株式会社 反射防止膜形成組成物
US7060330B2 (en) 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US7179079B2 (en) * 2002-07-08 2007-02-20 Molecular Imprints, Inc. Conforming template for patterning liquids disposed on substrates
US20080160129A1 (en) 2006-05-11 2008-07-03 Molecular Imprints, Inc. Template Having a Varying Thickness to Facilitate Expelling a Gas Positioned Between a Substrate and the Template
US7077992B2 (en) * 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US6932934B2 (en) * 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US6900881B2 (en) * 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US6908861B2 (en) * 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
WO2004009505A1 (en) * 2002-07-23 2004-01-29 Shell Internationale Research Maatschappij B.V. Hydrophobic surface treatment composition and method of making and using same
US6916584B2 (en) * 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
US7027156B2 (en) * 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
US7070405B2 (en) * 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US6957608B1 (en) 2002-08-02 2005-10-25 Kovio, Inc. Contact print methods
US6936194B2 (en) * 2002-09-05 2005-08-30 Molecular Imprints, Inc. Functional patterning material for imprint lithography processes
US6897259B1 (en) 2002-09-25 2005-05-24 Rohm And Haas Company Heat stable wrinkle finish powder coatings
US8349241B2 (en) 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US20040065252A1 (en) * 2002-10-04 2004-04-08 Sreenivasan Sidlgata V. Method of forming a layer on a substrate to facilitate fabrication of metrology standards
JP4170735B2 (ja) 2002-11-13 2008-10-22 信越化学工業株式会社 ゼオライトゾルとその製造方法、多孔質膜形成用組成物、多孔質膜とその製造方法、層間絶縁膜及び半導体装置
US7018918B2 (en) * 2002-11-21 2006-03-28 Intel Corporation Method of forming a selectively converted inter-layer dielectric using a porogen material
US6790790B1 (en) 2002-11-22 2004-09-14 Advanced Micro Devices, Inc. High modulus filler for low k materials
US7750059B2 (en) * 2002-12-04 2010-07-06 Hewlett-Packard Development Company, L.P. Polymer solution for nanoimprint lithography to reduce imprint temperature and pressure
US7365103B2 (en) * 2002-12-12 2008-04-29 Board Of Regents, The University Of Texas System Compositions for dark-field polymerization and method of using the same for imprint lithography processes
US20040112862A1 (en) * 2002-12-12 2004-06-17 Molecular Imprints, Inc. Planarization composition and method of patterning a substrate using the same
US6960327B2 (en) 2003-01-30 2005-11-01 The Regents Of The University Of California Methods for removing organic compounds from nano-composite materials
US7507783B2 (en) 2003-02-24 2009-03-24 Brewer Science Inc. Thermally curable middle layer comprising polyhedral oligomeric silsesouioxanes for 193-nm trilayer resist process
US7452574B2 (en) * 2003-02-27 2008-11-18 Molecular Imprints, Inc. Method to reduce adhesion between a polymerizable layer and a substrate employing a fluorine-containing layer
US7179396B2 (en) 2003-03-25 2007-02-20 Molecular Imprints, Inc. Positive tone bi-layer imprint lithography method
US7122079B2 (en) 2004-02-27 2006-10-17 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
WO2004086471A1 (en) * 2003-03-27 2004-10-07 Korea Institute Of Machinery & Materials Uv nanoimprint lithography process using elementwise embossed stamp and selectively additive pressurization
US7396475B2 (en) 2003-04-25 2008-07-08 Molecular Imprints, Inc. Method of forming stepped structures employing imprint lithography
US7307118B2 (en) 2004-11-24 2007-12-11 Molecular Imprints, Inc. Composition to reduce adhesion between a conformable region and a mold
US7157036B2 (en) 2003-06-17 2007-01-02 Molecular Imprints, Inc Method to reduce adhesion between a conformable region and a pattern of a mold
US7344783B2 (en) 2003-07-09 2008-03-18 Shell Oil Company Durable hydrophobic surface coatings using silicone resins
TWI240648B (en) 2003-09-30 2005-10-01 Univ Nat Central Method for making transparent zeolite film and structure of the zeolite film
US7090716B2 (en) 2003-10-02 2006-08-15 Molecular Imprints, Inc. Single phase fluid imprint lithography method
KR100601090B1 (ko) 2003-10-14 2006-07-14 주식회사 엘지화학 다공성 템플레이트를 이용하여 제조된 고표면적 전극시스템 및 이를 이용한 전기 소자
US20050084804A1 (en) * 2003-10-16 2005-04-21 Molecular Imprints, Inc. Low surface energy templates
US7122482B2 (en) * 2003-10-27 2006-10-17 Molecular Imprints, Inc. Methods for fabricating patterned features utilizing imprint lithography
WO2005082277A1 (en) 2004-02-18 2005-09-09 Stanford University Drug delivery systems using mesoporous oxide films
KR101179063B1 (ko) 2004-02-23 2012-09-07 더 보드 오브 리전츠 오브 더 유니버시티 오브 텍사스 시스템 임프린트 리소그래피용 재료
US8076386B2 (en) 2004-02-23 2011-12-13 Molecular Imprints, Inc. Materials for imprint lithography
US7906180B2 (en) 2004-02-27 2011-03-15 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US20080055581A1 (en) 2004-04-27 2008-03-06 Rogers John A Devices and methods for pattern generation by ink lithography
KR101185613B1 (ko) 2004-04-27 2012-09-24 더 보오드 오브 트러스티스 오브 더 유니버시티 오브 일리노이즈 소프트 리소그래피용 복합 패터닝 장치
US8025831B2 (en) 2004-05-24 2011-09-27 Agency For Science, Technology And Research Imprinting of supported and free-standing 3-D micro- or nano-structures
US7629272B2 (en) 2004-06-07 2009-12-08 Axcelis Technologies, Inc. Ultraviolet assisted porogen removal and/or curing processes for forming porous low k dielectrics
US7422776B2 (en) 2004-08-24 2008-09-09 Applied Materials, Inc. Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
JP4893905B2 (ja) 2004-08-31 2012-03-07 独立行政法人産業技術総合研究所 ゼオライト用原料液体、ゼオライト結晶作成方法、ゼオライト用原料液体の作成方法、およびゼオライト薄膜
US20060081557A1 (en) 2004-10-18 2006-04-20 Molecular Imprints, Inc. Low-k dielectric functional imprinting materials
US7303989B2 (en) 2004-11-22 2007-12-04 Intel Corporation Using zeolites to improve the mechanical strength of low-k interlayer dielectrics
US20060177535A1 (en) 2005-02-04 2006-08-10 Molecular Imprints, Inc. Imprint lithography template to facilitate control of liquid movement
US7365375B2 (en) 2005-03-25 2008-04-29 Intel Corporation Organic-framework zeolite interlayer dielectrics
JP4775561B2 (ja) 2005-04-01 2011-09-21 信越化学工業株式会社 シルセスキオキサン系化合物混合物、その製造方法及びそれを用いたレジスト組成物並びにパターン形成方法
KR100744068B1 (ko) 2005-04-29 2007-07-30 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 제조 방법
US7381659B2 (en) 2005-11-22 2008-06-03 International Business Machines Corporation Method for reducing film stress for SiCOH low-k dielectric materials
US20070123059A1 (en) 2005-11-29 2007-05-31 Haverty Michael G Methods of internal stress reduction in dielectric films with chemical incorporation and structures formed thereby
US7947579B2 (en) 2006-02-13 2011-05-24 Stc.Unm Method of making dense, conformal, ultra-thin cap layers for nanoporous low-k ILD by plasma assisted atomic layer deposition
US20080000373A1 (en) 2006-06-30 2008-01-03 Maria Petrucci-Samija Printing form precursor and process for preparing a stamp from the precursor
US7662527B2 (en) 2006-08-01 2010-02-16 Xerox Corporation Silanol containing photoconductor
KR100831046B1 (ko) 2006-09-13 2008-05-21 삼성전자주식회사 나노 임프린트용 몰드 및 그 제조 방법
TW200826319A (en) 2006-12-14 2008-06-16 Univ Nat Central LED with micron and nano structure
TWI339881B (en) 2007-02-15 2011-04-01 Via Tech Inc Chip package
US8025932B2 (en) 2007-02-21 2011-09-27 Colorado School Of Mines Self-limiting thin film synthesis achieved by pulsed plasma-enhanced chemical vapor deposition
JP4870810B2 (ja) 2007-03-30 2012-02-08 パイオニア株式会社 インプリント用モールドおよびインプリント用モールドの製造方法
WO2009067241A1 (en) 2007-11-21 2009-05-28 Molecular Imprints, Inc. Porous template and imprinting stack for nano-imprint lithography
US20090266418A1 (en) 2008-02-18 2009-10-29 Board Of Regents, The University Of Texas System Photovoltaic devices based on nanostructured polymer films molded from porous template
US20100072671A1 (en) 2008-09-25 2010-03-25 Molecular Imprints, Inc. Nano-imprint lithography template fabrication and treatment
US8470188B2 (en) 2008-10-02 2013-06-25 Molecular Imprints, Inc. Nano-imprint lithography templates
US20100104852A1 (en) 2008-10-23 2010-04-29 Molecular Imprints, Inc. Fabrication of High-Throughput Nano-Imprint Lithography Templates
US20100109201A1 (en) 2008-10-31 2010-05-06 Molecular Imprints, Inc. Nano-Imprint Lithography Template with Ordered Pore Structure
CN101477304B (zh) 2008-11-04 2011-08-17 南京大学 在复杂形状表面复制高分辨率纳米结构的压印方法
EP2221664A1 (en) 2009-02-19 2010-08-25 Solvay Solexis S.p.A. Nanolithography process
US8147704B2 (en) 2009-07-10 2012-04-03 Korea University Research And Business Foundation Wide area stamp for antireflective surface
US8616873B2 (en) 2010-01-26 2013-12-31 Molecular Imprints, Inc. Micro-conformal templates for nanoimprint lithography
TW201144091A (en) 2010-01-29 2011-12-16 Molecular Imprints Inc Ultra-compliant nanoimprint lithography templates

Also Published As

Publication number Publication date
WO2006044690A3 (en) 2006-08-10
JP2008517480A (ja) 2008-05-22
TW200621895A (en) 2006-07-01
US20060081557A1 (en) 2006-04-20
US8889332B2 (en) 2014-11-18
US20110256355A1 (en) 2011-10-20
EP1805559A2 (en) 2007-07-11
WO2006044690A2 (en) 2006-04-27

Similar Documents

Publication Publication Date Title
KR20070083711A (ko) 낮은-k 유전 기능 임프린팅 재료
JP5285814B2 (ja) 段階化キャップ層を有するパターニング可能low−k誘電体配線構造、エアギャップ包含配線構造、および製作方法
KR890003264B1 (ko) 3층 레지스트 및 레지스트 패턴의 형성방법
CN102569179B (zh) 形成受控的空隙的材料和方法
US8241992B2 (en) Method for air gap interconnect integration using photo-patternable low k material
US8637395B2 (en) Methods for photo-patternable low-k (PPLK) integration with curing after pattern transfer
US7691275B2 (en) Use of step and flash imprint lithography for direct imprinting of dielectric materials for dual damascene processing
EP1825330B1 (en) Method for forming anti-reflective coating
JP5739196B2 (ja) 空隙組込みの構造体及び方法
Ro et al. Silsesquioxanes in nanoscale patterning applications
US8367540B2 (en) Interconnect structure including a modified photoresist as a permanent interconnect dielectric and method of fabricating same
US8163658B2 (en) Multiple patterning using improved patternable low-k dielectric materials
KR20110014540A (ko) 전자 디바이스 제조
CN1802265A (zh) 正性双层压印光刻法及其所用组合物
KR20040099390A (ko) 이중 다마신 상호접속물의 패턴 형성을 위한 3층 마스킹구조
WO1999052136A1 (fr) Procede de fabrication d&#39;un corps structurel d&#39;interconnexion
JP4413612B2 (ja) エッチストップ樹脂
US20070232046A1 (en) Damascene interconnection having porous low K layer with improved mechanical properties
CN100552542C (zh) 由旋涂上的陶瓷薄膜组成的构图层
US8475667B2 (en) Method of patterning photosensitive material on a substrate containing a latent acid generator
JP2009056736A (ja) 構造体の製造方法及び構造体

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid