JP5739196B2 - 空隙組込みの構造体及び方法 - Google Patents
空隙組込みの構造体及び方法 Download PDFInfo
- Publication number
- JP5739196B2 JP5739196B2 JP2011057583A JP2011057583A JP5739196B2 JP 5739196 B2 JP5739196 B2 JP 5739196B2 JP 2011057583 A JP2011057583 A JP 2011057583A JP 2011057583 A JP2011057583 A JP 2011057583A JP 5739196 B2 JP5739196 B2 JP 5739196B2
- Authority
- JP
- Japan
- Prior art keywords
- curing
- photopatternable
- low
- cured
- arc
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Fee Related
Links
- 238000000034 method Methods 0.000 title claims description 64
- 239000000463 material Substances 0.000 claims description 162
- 239000006117 anti-reflective coating Substances 0.000 claims description 92
- 239000000203 mixture Substances 0.000 claims description 44
- 239000000758 substrate Substances 0.000 claims description 41
- 238000001723 curing Methods 0.000 claims description 29
- 239000012212 insulator Substances 0.000 claims description 12
- 238000005530 etching Methods 0.000 claims description 9
- 238000003848 UV Light-Curing Methods 0.000 claims description 8
- 238000001029 thermal curing Methods 0.000 claims description 7
- 238000010884 ion-beam technique Methods 0.000 claims description 5
- 229910052782 aluminium Inorganic materials 0.000 claims description 3
- 229910052802 copper Inorganic materials 0.000 claims description 3
- 238000001227 electron beam curing Methods 0.000 claims description 3
- 238000011415 microwave curing Methods 0.000 claims description 3
- 230000002238 attenuated effect Effects 0.000 claims description 2
- 230000010363 phase shift Effects 0.000 claims description 2
- 229910052721 tungsten Inorganic materials 0.000 claims description 2
- 229920000642 polymer Polymers 0.000 description 55
- 229910052751 metal Inorganic materials 0.000 description 40
- 239000002184 metal Substances 0.000 description 40
- 239000000178 monomer Substances 0.000 description 38
- 238000000151 deposition Methods 0.000 description 33
- 239000010410 layer Substances 0.000 description 31
- 229920001577 copolymer Polymers 0.000 description 28
- 229910000077 silane Inorganic materials 0.000 description 26
- 230000008569 process Effects 0.000 description 25
- 239000002253 acid Substances 0.000 description 24
- 229920002120 photoresistant polymer Polymers 0.000 description 24
- 238000011282 treatment Methods 0.000 description 22
- 239000011800 void material Substances 0.000 description 22
- 239000002243 precursor Substances 0.000 description 21
- -1 siloxanes Chemical class 0.000 description 21
- 125000004417 unsaturated alkyl group Chemical group 0.000 description 21
- 239000000126 substance Substances 0.000 description 20
- KPUWHANPEXNPJT-UHFFFAOYSA-N disiloxane Chemical class [SiH3]O[SiH3] KPUWHANPEXNPJT-UHFFFAOYSA-N 0.000 description 18
- 239000007788 liquid Substances 0.000 description 18
- 102100033892 Actin-related protein 2/3 complex subunit 5 Human genes 0.000 description 17
- 101000925555 Homo sapiens Actin-related protein 2/3 complex subunit 5 Proteins 0.000 description 17
- 229910052799 carbon Inorganic materials 0.000 description 17
- 229910052757 nitrogen Inorganic materials 0.000 description 17
- 150000004756 silanes Chemical group 0.000 description 17
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 16
- 230000008021 deposition Effects 0.000 description 16
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 15
- 238000004132 cross linking Methods 0.000 description 15
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 14
- 239000003989 dielectric material Substances 0.000 description 13
- 229910052760 oxygen Inorganic materials 0.000 description 13
- 239000004065 semiconductor Substances 0.000 description 12
- 229910052710 silicon Inorganic materials 0.000 description 12
- 229910052731 fluorine Inorganic materials 0.000 description 11
- 239000007791 liquid phase Substances 0.000 description 11
- 230000003287 optical effect Effects 0.000 description 11
- 230000004888 barrier function Effects 0.000 description 10
- 150000001875 compounds Chemical class 0.000 description 10
- 239000004020 conductor Substances 0.000 description 10
- 238000012545 processing Methods 0.000 description 10
- 229910052717 sulfur Inorganic materials 0.000 description 10
- 238000006243 chemical reaction Methods 0.000 description 9
- 239000007789 gas Substances 0.000 description 9
- 229910052739 hydrogen Inorganic materials 0.000 description 9
- 238000001459 lithography Methods 0.000 description 9
- 230000005855 radiation Effects 0.000 description 9
- 239000002904 solvent Substances 0.000 description 9
- 238000005137 deposition process Methods 0.000 description 8
- 238000009792 diffusion process Methods 0.000 description 8
- 230000010354 integration Effects 0.000 description 8
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 8
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 7
- 238000000059 patterning Methods 0.000 description 7
- 239000012071 phase Substances 0.000 description 7
- 239000010703 silicon Substances 0.000 description 7
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 7
- 229910052718 tin Inorganic materials 0.000 description 7
- 229910052796 boron Inorganic materials 0.000 description 6
- 238000005229 chemical vapour deposition Methods 0.000 description 6
- 125000004122 cyclic group Chemical group 0.000 description 6
- 229910052732 germanium Inorganic materials 0.000 description 6
- 239000013110 organic ligand Substances 0.000 description 6
- 229910010271 silicon carbide Inorganic materials 0.000 description 6
- 229920005573 silicon-containing polymer Polymers 0.000 description 6
- 238000003860 storage Methods 0.000 description 6
- 229910052719 titanium Inorganic materials 0.000 description 6
- 238000000231 atomic layer deposition Methods 0.000 description 5
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 5
- 238000000224 chemical solution deposition Methods 0.000 description 5
- 239000011248 coating agent Substances 0.000 description 5
- 238000000576 coating method Methods 0.000 description 5
- 239000003431 cross linking reagent Substances 0.000 description 5
- 229910052735 hafnium Inorganic materials 0.000 description 5
- 238000010438 heat treatment Methods 0.000 description 5
- 239000001301 oxygen Substances 0.000 description 5
- 238000009832 plasma treatment Methods 0.000 description 5
- 239000002356 single layer Substances 0.000 description 5
- 239000004971 Cross linker Substances 0.000 description 4
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 4
- 150000001412 amines Chemical class 0.000 description 4
- 230000008033 biological extinction Effects 0.000 description 4
- 230000015572 biosynthetic process Effects 0.000 description 4
- 230000015556 catabolic process Effects 0.000 description 4
- 238000005516 engineering process Methods 0.000 description 4
- 125000000524 functional group Chemical group 0.000 description 4
- 229910052746 lanthanum Inorganic materials 0.000 description 4
- 150000002739 metals Chemical class 0.000 description 4
- 229910052759 nickel Inorganic materials 0.000 description 4
- 238000000206 photolithography Methods 0.000 description 4
- WGTYBPLFGIVFAS-UHFFFAOYSA-M tetramethylammonium hydroxide Chemical compound [OH-].C[N+](C)(C)C WGTYBPLFGIVFAS-UHFFFAOYSA-M 0.000 description 4
- NHBMXLXMVPLQAX-UHFFFAOYSA-N 1,3-disiletane Chemical compound C1[SiH2]C[SiH2]1 NHBMXLXMVPLQAX-UHFFFAOYSA-N 0.000 description 3
- UHOVQNZJYSORNB-UHFFFAOYSA-N Benzene Chemical compound C1=CC=CC=C1 UHOVQNZJYSORNB-UHFFFAOYSA-N 0.000 description 3
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 3
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 3
- 229910052786 argon Inorganic materials 0.000 description 3
- IISBACLAFKSPIT-UHFFFAOYSA-N bisphenol A Chemical compound C=1C=C(O)C=CC=1C(C)(C)C1=CC=C(O)C=C1 IISBACLAFKSPIT-UHFFFAOYSA-N 0.000 description 3
- 239000003795 chemical substances by application Substances 0.000 description 3
- 238000011161 development Methods 0.000 description 3
- 238000003618 dip coating Methods 0.000 description 3
- 239000012777 electrically insulating material Substances 0.000 description 3
- 238000010894 electron beam technology Methods 0.000 description 3
- 238000011049 filling Methods 0.000 description 3
- GVEPBJHOBDJJJI-UHFFFAOYSA-N fluoranthrene Natural products C1=CC(C2=CC=CC=C22)=C3C2=CC=CC3=C1 GVEPBJHOBDJJJI-UHFFFAOYSA-N 0.000 description 3
- 239000011737 fluorine Substances 0.000 description 3
- 229910021389 graphene Inorganic materials 0.000 description 3
- 229910052734 helium Inorganic materials 0.000 description 3
- 239000001257 hydrogen Substances 0.000 description 3
- 239000003446 ligand Substances 0.000 description 3
- 229910044991 metal oxide Inorganic materials 0.000 description 3
- 150000004706 metal oxides Chemical class 0.000 description 3
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 3
- 238000005240 physical vapour deposition Methods 0.000 description 3
- 238000007747 plating Methods 0.000 description 3
- 231100000572 poisoning Toxicity 0.000 description 3
- 230000000607 poisoning effect Effects 0.000 description 3
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 2
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 2
- 239000004215 Carbon black (E152) Substances 0.000 description 2
- ISWSIDIOOBJBQZ-UHFFFAOYSA-N Phenol Chemical compound OC1=CC=CC=C1 ISWSIDIOOBJBQZ-UHFFFAOYSA-N 0.000 description 2
- NINIDFKCEFEMDL-UHFFFAOYSA-N Sulfur Chemical compound [S] NINIDFKCEFEMDL-UHFFFAOYSA-N 0.000 description 2
- 238000010521 absorption reaction Methods 0.000 description 2
- 239000000654 additive Substances 0.000 description 2
- 125000000217 alkyl group Chemical group 0.000 description 2
- 229910045601 alloy Inorganic materials 0.000 description 2
- 239000000956 alloy Substances 0.000 description 2
- MWPLVEDNUUSJAV-UHFFFAOYSA-N anthracene Chemical compound C1=CC=CC2=CC3=CC=CC=C3C=C21 MWPLVEDNUUSJAV-UHFFFAOYSA-N 0.000 description 2
- 230000005540 biological transmission Effects 0.000 description 2
- 229910002091 carbon monoxide Inorganic materials 0.000 description 2
- 239000002041 carbon nanotube Substances 0.000 description 2
- 229910021393 carbon nanotube Inorganic materials 0.000 description 2
- WDECIBYCCFPHNR-UHFFFAOYSA-N chrysene Chemical compound C1=CC=CC2=CC=C3C4=CC=CC=C4C=CC3=C21 WDECIBYCCFPHNR-UHFFFAOYSA-N 0.000 description 2
- 238000010276 construction Methods 0.000 description 2
- 239000000356 contaminant Substances 0.000 description 2
- 230000009977 dual effect Effects 0.000 description 2
- 230000032050 esterification Effects 0.000 description 2
- 238000005886 esterification reaction Methods 0.000 description 2
- 229930195733 hydrocarbon Natural products 0.000 description 2
- 150000002430 hydrocarbons Chemical class 0.000 description 2
- 229910052747 lanthanoid Inorganic materials 0.000 description 2
- 150000002602 lanthanoids Chemical class 0.000 description 2
- 239000003607 modifier Substances 0.000 description 2
- 230000007935 neutral effect Effects 0.000 description 2
- 150000002825 nitriles Chemical class 0.000 description 2
- 125000000962 organic group Chemical group 0.000 description 2
- 125000005375 organosiloxane group Chemical group 0.000 description 2
- 230000003647 oxidation Effects 0.000 description 2
- 238000007254 oxidation reaction Methods 0.000 description 2
- 239000002245 particle Substances 0.000 description 2
- 125000001997 phenyl group Chemical group [H]C1=C([H])C([H])=C(*)C([H])=C1[H] 0.000 description 2
- 235000011007 phosphoric acid Nutrition 0.000 description 2
- 230000000704 physical effect Effects 0.000 description 2
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 2
- 238000012805 post-processing Methods 0.000 description 2
- BBEAQIROQSPTKN-UHFFFAOYSA-N pyrene Chemical compound C1=CC=C2C=CC3=CC=CC4=CC=C1C2=C43 BBEAQIROQSPTKN-UHFFFAOYSA-N 0.000 description 2
- 229910052706 scandium Inorganic materials 0.000 description 2
- 229910021332 silicide Inorganic materials 0.000 description 2
- 239000000243 solution Substances 0.000 description 2
- 238000004528 spin coating Methods 0.000 description 2
- 238000005507 spraying Methods 0.000 description 2
- 238000004544 sputter deposition Methods 0.000 description 2
- 125000001424 substituent group Chemical group 0.000 description 2
- 150000003460 sulfonic acids Chemical class 0.000 description 2
- 239000011593 sulfur Substances 0.000 description 2
- 229910052715 tantalum Inorganic materials 0.000 description 2
- CZDYPVPMEAXLPK-UHFFFAOYSA-N tetramethylsilane Chemical compound C[Si](C)(C)C CZDYPVPMEAXLPK-UHFFFAOYSA-N 0.000 description 2
- AQRLNPVMDITEJU-UHFFFAOYSA-N triethylsilane Chemical compound CC[SiH](CC)CC AQRLNPVMDITEJU-UHFFFAOYSA-N 0.000 description 2
- PQDJYEQOELDLCP-UHFFFAOYSA-N trimethylsilane Chemical compound C[SiH](C)C PQDJYEQOELDLCP-UHFFFAOYSA-N 0.000 description 2
- 125000000391 vinyl group Chemical group [H]C([*])=C([H])[H] 0.000 description 2
- 229920002554 vinyl polymer Polymers 0.000 description 2
- 235000012431 wafers Nutrition 0.000 description 2
- 238000001039 wet etching Methods 0.000 description 2
- 229910052727 yttrium Inorganic materials 0.000 description 2
- MCVVDMSWCQUKEV-UHFFFAOYSA-N (2-nitrophenyl)methyl 4-methylbenzenesulfonate Chemical compound C1=CC(C)=CC=C1S(=O)(=O)OCC1=CC=CC=C1[N+]([O-])=O MCVVDMSWCQUKEV-UHFFFAOYSA-N 0.000 description 1
- DLDWUFCUUXXYTB-UHFFFAOYSA-N (2-oxo-1,2-diphenylethyl) 4-methylbenzenesulfonate Chemical compound C1=CC(C)=CC=C1S(=O)(=O)OC(C=1C=CC=CC=1)C(=O)C1=CC=CC=C1 DLDWUFCUUXXYTB-UHFFFAOYSA-N 0.000 description 1
- ICSWLKDKQBNKAY-UHFFFAOYSA-N 1,1,3,3,5,5-hexamethyl-1,3,5-trisilinane Chemical compound C[Si]1(C)C[Si](C)(C)C[Si](C)(C)C1 ICSWLKDKQBNKAY-UHFFFAOYSA-N 0.000 description 1
- JKDNLUGVHCNUTB-UHFFFAOYSA-N 1,1,3,3-tetramethyl-1,3-disiletane Chemical compound C[Si]1(C)C[Si](C)(C)C1 JKDNLUGVHCNUTB-UHFFFAOYSA-N 0.000 description 1
- XGQJGMGAMHFMAO-UHFFFAOYSA-N 1,3,4,6-tetrakis(methoxymethyl)-3a,6a-dihydroimidazo[4,5-d]imidazole-2,5-dione Chemical compound COCN1C(=O)N(COC)C2C1N(COC)C(=O)N2COC XGQJGMGAMHFMAO-UHFFFAOYSA-N 0.000 description 1
- NJQJGRGGIUNVAB-UHFFFAOYSA-N 2,4,4,6-tetrabromocyclohexa-2,5-dien-1-one Chemical compound BrC1=CC(Br)(Br)C=C(Br)C1=O NJQJGRGGIUNVAB-UHFFFAOYSA-N 0.000 description 1
- KUMMBDBTERQYCG-UHFFFAOYSA-N 2,6-bis(hydroxymethyl)-4-methylphenol Chemical compound CC1=CC(CO)=C(O)C(CO)=C1 KUMMBDBTERQYCG-UHFFFAOYSA-N 0.000 description 1
- LCIMJULVQOQTEZ-UHFFFAOYSA-N 2-hydroxyacetyl chloride Chemical compound OCC(Cl)=O LCIMJULVQOQTEZ-UHFFFAOYSA-N 0.000 description 1
- NXKOSHBFVWYVIH-UHFFFAOYSA-N 2-n-(butoxymethyl)-1,3,5-triazine-2,4,6-triamine Chemical compound CCCCOCNC1=NC(N)=NC(N)=N1 NXKOSHBFVWYVIH-UHFFFAOYSA-N 0.000 description 1
- KFVIYKFKUYBKTP-UHFFFAOYSA-N 2-n-(methoxymethyl)-1,3,5-triazine-2,4,6-triamine Chemical compound COCNC1=NC(N)=NC(N)=N1 KFVIYKFKUYBKTP-UHFFFAOYSA-N 0.000 description 1
- XLLXMBCBJGATSP-UHFFFAOYSA-N 2-phenylethenol Chemical compound OC=CC1=CC=CC=C1 XLLXMBCBJGATSP-UHFFFAOYSA-N 0.000 description 1
- 125000003903 2-propenyl group Chemical group [H]C([*])([H])C([H])=C([H])[H] 0.000 description 1
- DDBXVFIKLTXQRH-UHFFFAOYSA-N 3-silylpropylsilane Chemical compound [SiH3]CCC[SiH3] DDBXVFIKLTXQRH-UHFFFAOYSA-N 0.000 description 1
- CNCZOAMEKQQFOA-HZQGBTCBSA-N 4-[(2s,3s,4r,5r,6r)-4,5-bis(3-carboxypropanoyloxy)-2-methyl-6-[[(2r,3r,4s,5r,6s)-3,4,5-tris(3-carboxypropanoyloxy)-6-[2-(3,4-dihydroxyphenyl)-5,7-dihydroxy-4-oxochromen-3-yl]oxyoxan-2-yl]methoxy]oxan-3-yl]oxy-4-oxobutanoic acid Chemical compound OC(=O)CCC(=O)O[C@@H]1[C@H](OC(=O)CCC(O)=O)[C@@H](OC(=O)CCC(O)=O)[C@H](C)O[C@H]1OC[C@@H]1[C@@H](OC(=O)CCC(O)=O)[C@H](OC(=O)CCC(O)=O)[C@@H](OC(=O)CCC(O)=O)[C@H](OC=2C(C3=C(O)C=C(O)C=C3OC=2C=2C=C(O)C(O)=CC=2)=O)O1 CNCZOAMEKQQFOA-HZQGBTCBSA-N 0.000 description 1
- 125000003143 4-hydroxybenzyl group Chemical group [H]C([*])([H])C1=C([H])C([H])=C(O[H])C([H])=C1[H] 0.000 description 1
- 229910016570 AlCu Inorganic materials 0.000 description 1
- WVDDGKGOMKODPV-UHFFFAOYSA-N Benzyl alcohol Chemical compound OCC1=CC=CC=C1 WVDDGKGOMKODPV-UHFFFAOYSA-N 0.000 description 1
- 229930185605 Bisphenol Natural products 0.000 description 1
- 229910004261 CaF 2 Inorganic materials 0.000 description 1
- 229910000881 Cu alloy Inorganic materials 0.000 description 1
- XZMCDFZZKTWFGF-UHFFFAOYSA-N Cyanamide Chemical compound NC#N XZMCDFZZKTWFGF-UHFFFAOYSA-N 0.000 description 1
- 241000018344 Ehrlichia sp. 'CGE agent' Species 0.000 description 1
- LFQSCWFLJHTTHZ-UHFFFAOYSA-N Ethanol Chemical compound CCO LFQSCWFLJHTTHZ-UHFFFAOYSA-N 0.000 description 1
- 238000003547 Friedel-Crafts alkylation reaction Methods 0.000 description 1
- 238000005727 Friedel-Crafts reaction Methods 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- 229910000927 Ge alloy Inorganic materials 0.000 description 1
- 229910000673 Indium arsenide Inorganic materials 0.000 description 1
- 229920000877 Melamine resin Polymers 0.000 description 1
- 238000010934 O-alkylation reaction Methods 0.000 description 1
- 235000003976 Ruta Nutrition 0.000 description 1
- 240000005746 Ruta graveolens Species 0.000 description 1
- 229910003811 SiGeC Inorganic materials 0.000 description 1
- 229910004541 SiN Inorganic materials 0.000 description 1
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 1
- QYKIQEUNHZKYBP-UHFFFAOYSA-N Vinyl ether Chemical class C=COC=C QYKIQEUNHZKYBP-UHFFFAOYSA-N 0.000 description 1
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 1
- 230000004913 activation Effects 0.000 description 1
- 230000000996 additive effect Effects 0.000 description 1
- 239000002318 adhesion promoter Substances 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 125000003158 alcohol group Chemical group 0.000 description 1
- 150000001298 alcohols Chemical class 0.000 description 1
- 125000002723 alicyclic group Chemical group 0.000 description 1
- 150000001336 alkenes Chemical class 0.000 description 1
- 125000003342 alkenyl group Chemical group 0.000 description 1
- 150000001345 alkine derivatives Chemical class 0.000 description 1
- 125000003545 alkoxy group Chemical group 0.000 description 1
- 125000005907 alkyl ester group Chemical group 0.000 description 1
- 150000001343 alkyl silanes Chemical class 0.000 description 1
- 238000005804 alkylation reaction Methods 0.000 description 1
- 125000000304 alkynyl group Chemical group 0.000 description 1
- 229910000147 aluminium phosphate Inorganic materials 0.000 description 1
- 125000003277 amino group Chemical class 0.000 description 1
- 229920003180 amino resin Polymers 0.000 description 1
- 229910021529 ammonia Inorganic materials 0.000 description 1
- JCJNNHDZTLRSGN-UHFFFAOYSA-N anthracen-9-ylmethanol Chemical compound C1=CC=C2C(CO)=C(C=CC=C3)C3=CC2=C1 JCJNNHDZTLRSGN-UHFFFAOYSA-N 0.000 description 1
- 150000001454 anthracenes Chemical class 0.000 description 1
- RJGDLRCDCYRQOQ-UHFFFAOYSA-N anthrone Chemical compound C1=CC=C2C(=O)C3=CC=CC=C3CC2=C1 RJGDLRCDCYRQOQ-UHFFFAOYSA-N 0.000 description 1
- 230000003667 anti-reflective effect Effects 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 239000007864 aqueous solution Substances 0.000 description 1
- 125000003118 aryl group Chemical group 0.000 description 1
- 229910052789 astatine Inorganic materials 0.000 description 1
- 239000012298 atmosphere Substances 0.000 description 1
- CREXVNNSNOKDHW-UHFFFAOYSA-N azaniumylideneazanide Chemical class N[N] CREXVNNSNOKDHW-UHFFFAOYSA-N 0.000 description 1
- 150000001540 azides Chemical class 0.000 description 1
- ICCBZGUDUOMNOF-UHFFFAOYSA-N azidoamine Chemical compound NN=[N+]=[N-] ICCBZGUDUOMNOF-UHFFFAOYSA-N 0.000 description 1
- 125000000751 azo group Chemical group [*]N=N[*] 0.000 description 1
- RWCCWEUUXYIKHB-UHFFFAOYSA-N benzophenone Chemical compound C=1C=CC=CC=1C(=O)C1=CC=CC=C1 RWCCWEUUXYIKHB-UHFFFAOYSA-N 0.000 description 1
- 239000012965 benzophenone Substances 0.000 description 1
- 125000000484 butyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 1
- 125000004432 carbon atom Chemical group C* 0.000 description 1
- 239000012159 carrier gas Substances 0.000 description 1
- 239000003054 catalyst Substances 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 239000002131 composite material Substances 0.000 description 1
- 125000000753 cycloalkyl group Chemical group 0.000 description 1
- 238000006731 degradation reaction Methods 0.000 description 1
- 230000000593 degrading effect Effects 0.000 description 1
- 230000001934 delay Effects 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- FJWRGPWPIXAPBJ-UHFFFAOYSA-N diethyl(dimethyl)silane Chemical compound CC[Si](C)(C)CC FJWRGPWPIXAPBJ-UHFFFAOYSA-N 0.000 description 1
- UCXUKTLCVSGCNR-UHFFFAOYSA-N diethylsilane Chemical compound CC[SiH2]CC UCXUKTLCVSGCNR-UHFFFAOYSA-N 0.000 description 1
- UBHZUDXTHNMNLD-UHFFFAOYSA-N dimethylsilane Chemical compound C[SiH2]C UBHZUDXTHNMNLD-UHFFFAOYSA-N 0.000 description 1
- JZZIHCLFHIXETF-UHFFFAOYSA-N dimethylsilicon Chemical compound C[Si]C JZZIHCLFHIXETF-UHFFFAOYSA-N 0.000 description 1
- PZPGRFITIJYNEJ-UHFFFAOYSA-N disilane Chemical compound [SiH3][SiH3] PZPGRFITIJYNEJ-UHFFFAOYSA-N 0.000 description 1
- 238000001035 drying Methods 0.000 description 1
- 230000005684 electric field Effects 0.000 description 1
- 238000000609 electron-beam lithography Methods 0.000 description 1
- ZSWFCLXCOIISFI-UHFFFAOYSA-N endo-cyclopentadiene Natural products C1C=CC=C1 ZSWFCLXCOIISFI-UHFFFAOYSA-N 0.000 description 1
- 150000002118 epoxides Chemical class 0.000 description 1
- KJISMKWTHPWHFV-UHFFFAOYSA-N ethyl(dimethyl)silicon Chemical compound CC[Si](C)C KJISMKWTHPWHFV-UHFFFAOYSA-N 0.000 description 1
- DRTMJRUYUMAHMS-UHFFFAOYSA-N ethyl(methyl)silicon Chemical compound CC[Si]C DRTMJRUYUMAHMS-UHFFFAOYSA-N 0.000 description 1
- UKAJDOBPPOAZSS-UHFFFAOYSA-N ethyl(trimethyl)silane Chemical compound CC[Si](C)(C)C UKAJDOBPPOAZSS-UHFFFAOYSA-N 0.000 description 1
- KCWYOFZQRFCIIE-UHFFFAOYSA-N ethylsilane Chemical compound CC[SiH3] KCWYOFZQRFCIIE-UHFFFAOYSA-N 0.000 description 1
- 238000001704 evaporation Methods 0.000 description 1
- 230000008020 evaporation Effects 0.000 description 1
- UQSQSQZYBQSBJZ-UHFFFAOYSA-N fluorosulfonic acid Chemical class OS(F)(=O)=O UQSQSQZYBQSBJZ-UHFFFAOYSA-N 0.000 description 1
- 238000009472 formulation Methods 0.000 description 1
- 229910052733 gallium Inorganic materials 0.000 description 1
- 239000000499 gel Substances 0.000 description 1
- 125000000350 glycoloyl group Chemical group O=C([*])C([H])([H])O[H] 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 125000004435 hydrogen atom Chemical group [H]* 0.000 description 1
- 238000006459 hydrosilylation reaction Methods 0.000 description 1
- 125000006289 hydroxybenzyl group Chemical group 0.000 description 1
- 238000003384 imaging method Methods 0.000 description 1
- 238000007654 immersion Methods 0.000 description 1
- 229910052738 indium Inorganic materials 0.000 description 1
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 1
- 239000011261 inert gas Substances 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 238000002164 ion-beam lithography Methods 0.000 description 1
- 229910052742 iron Inorganic materials 0.000 description 1
- 230000001678 irradiating effect Effects 0.000 description 1
- 239000012705 liquid precursor Substances 0.000 description 1
- 238000004519 manufacturing process Methods 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 150000007974 melamines Chemical class 0.000 description 1
- 239000012528 membrane Substances 0.000 description 1
- UIUXUFNYAYAMOE-UHFFFAOYSA-N methylsilane Chemical compound [SiH3]C UIUXUFNYAYAMOE-UHFFFAOYSA-N 0.000 description 1
- 238000000813 microcontact printing Methods 0.000 description 1
- 238000001053 micromoulding Methods 0.000 description 1
- 238000001682 microtransfer moulding Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- JESXATFQYMPTNL-UHFFFAOYSA-N mono-hydroxyphenyl-ethylene Natural products OC1=CC=CC=C1C=C JESXATFQYMPTNL-UHFFFAOYSA-N 0.000 description 1
- 238000001127 nanoimprint lithography Methods 0.000 description 1
- 239000002071 nanotube Substances 0.000 description 1
- 239000002070 nanowire Substances 0.000 description 1
- 229910052758 niobium Inorganic materials 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- 150000002894 organic compounds Chemical class 0.000 description 1
- 125000005010 perfluoroalkyl group Chemical group 0.000 description 1
- IWQPFENGXVKSDO-UHFFFAOYSA-N phenol;2h-thiazine Chemical compound N1SC=CC=C1.OC1=CC=CC=C1 IWQPFENGXVKSDO-UHFFFAOYSA-N 0.000 description 1
- NBIIXXVUZAFLBC-UHFFFAOYSA-N phosphoric acid Substances OP(O)(O)=O NBIIXXVUZAFLBC-UHFFFAOYSA-N 0.000 description 1
- 238000006552 photochemical reaction Methods 0.000 description 1
- 125000003367 polycyclic group Chemical group 0.000 description 1
- 239000003361 porogen Substances 0.000 description 1
- 238000011417 postcuring Methods 0.000 description 1
- 125000002924 primary amino group Chemical group [H]N([H])* 0.000 description 1
- 238000007639 printing Methods 0.000 description 1
- 125000001453 quaternary ammonium group Chemical group 0.000 description 1
- 238000003847 radiation curing Methods 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 238000002310 reflectometry Methods 0.000 description 1
- 238000000820 replica moulding Methods 0.000 description 1
- 230000000717 retained effect Effects 0.000 description 1
- 235000005806 ruta Nutrition 0.000 description 1
- 238000000263 scanning probe lithography Methods 0.000 description 1
- FZHAPNGMFPVSLP-UHFFFAOYSA-N silanamine Chemical compound [SiH3]N FZHAPNGMFPVSLP-UHFFFAOYSA-N 0.000 description 1
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 1
- HVXTXDKAKJVHLF-UHFFFAOYSA-N silylmethylsilane Chemical compound [SiH3]C[SiH3] HVXTXDKAKJVHLF-UHFFFAOYSA-N 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 238000002384 solvent-assisted micromoulding Methods 0.000 description 1
- 238000012360 testing method Methods 0.000 description 1
- VCZQFJFZMMALHB-UHFFFAOYSA-N tetraethylsilane Chemical compound CC[Si](CC)(CC)CC VCZQFJFZMMALHB-UHFFFAOYSA-N 0.000 description 1
- 229920001187 thermosetting polymer Polymers 0.000 description 1
- YRHRIQCWCFGUEQ-UHFFFAOYSA-N thioxanthen-9-one Chemical compound C1=CC=C2C(=O)C3=CC=CC=C3SC2=C1 YRHRIQCWCFGUEQ-UHFFFAOYSA-N 0.000 description 1
- JCSVHJQZTMYYFL-UHFFFAOYSA-N triethyl(methyl)silane Chemical compound CC[Si](C)(CC)CC JCSVHJQZTMYYFL-UHFFFAOYSA-N 0.000 description 1
- 229910052720 vanadium Inorganic materials 0.000 description 1
- 238000007740 vapor deposition Methods 0.000 description 1
- 239000012808 vapor phase Substances 0.000 description 1
- 229910052726 zirconium Inorganic materials 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02126—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02282—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02318—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
- H01L21/0274—Photolithographic processes
- H01L21/0276—Photolithographic processes using an anti-reflective coating
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/76—Making of isolation regions between components
- H01L21/764—Air gaps
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/7682—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76822—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
- H01L21/76825—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/5222—Capacitive arrangements or effects of, or between wiring layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/0001—Technical content checked by a classifier
- H01L2924/0002—Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/10—Details of semiconductor or other solid state devices to be connected
- H01L2924/11—Device type
- H01L2924/12—Passive devices, e.g. 2 terminal devices
- H01L2924/1204—Optical Diode
- H01L2924/12044—OLED
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Microelectronics & Electronic Packaging (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Power Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Plasma & Fusion (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Formation Of Insulating Films (AREA)
Description
特性(i)ARC16はリソグラフィによるパターン化プロセス中に反射防止コーティング(ARC)として機能する。ARC16は、フォトレジスト(例えば、PPLK材料)を透過し、基板12で反射され、フォトレジスト(例えば、PPLK材料)内に戻り、そこで入射光と干渉してフォトレジストを不均一に露光させる光の反射を制御するように設計することができる。ARCの光学定数は本明細書では屈折率n及び吸光係数kとして定める。一般にARC16は、ARCの最適光学パラメータ(n及びkの値)及び最適厚を見出すように設計することができる。ARC16の好ましい光学定数は、368、248、193、157及び126nm並びに極端紫外(13.4nm)放射の波長において、n=1.2からn=3.0まで及びk=0.01からk=0.9まで、好ましくはn=1.4からn=2.6まで及びk=0.02からk=0.78までの範囲にある。ARC16の光学的性質及び厚さは、当業者には周知のことであるが、最適の解像度及び輪郭制御を得るように、並びに後のパターン化ステップ中にフォトレジスト(例えば、PPLK材料)のプロセス・ウィンドウを最大にするように最適化される。
式中、RCは発色団を含み、RDは架橋成分との反応のための反応部位を含む。
式中、xは1乃至1.5である。理論的には、xは1.5より大きくすることができるが、そのような組成物は一般に、スピン・コーティング・プロセスに適した特性を有しない(例えば、望ましくないゲル又は析出相を形成する)。
並びに、エステル化したアミノ樹脂、例えば、メチル化又はブチル化メラミン樹脂(それぞれ、N−メトキシメチル−メラミン又はN−ブトキシメチル−メラミン)又は、例えば、特許文献12に見出すことができるメチル化/ブチル化グリコリルが含まれる。ビスエポキシ又はビスフェノール(例えば、ビスフェノールA)のような他の架橋剤を用いることもできる。架橋剤の組合せを用いることができる。架橋成分はSi含有ポリマー骨格に化学的に結合することができる。
12:基板
16:反射防止コーティング(ARC)
18:処理済みポジティブ型PPLK材料
18’:処理済み硬化PPLK材料
19:拡散障壁
20:金属線
22:処理済みPPLK材料の第1の部分
22’:処理済みPPLK材料の第2の部分
24:第1の深さの間隙
24’:第2の深さの間隙
26:誘電体キャップ
28:第1の深さの空隙
28’:第2の深さの空隙
50:幅広の間隙
50’:第1の空隙
52:拡大された深さの間隙
52’:第2の空隙
Claims (11)
- 基板の上に配置された硬化した恒久的なチップ上光パターン化可能低k材料であって、恒久的なチップ上絶縁体であり、内部に配置された複数の導電性充填開口を含む、前記硬化した光パターン化可能低k材料と、
第1の予め選択された組の導電性充填開口の間の前記硬化した光パターン化可能低k材料の内部に配置され、各々が第1の深さを有し、各々の下部が前記第1の組の導電性充填開口の下方で接続された、複数の第1の空隙と、
第2の予め選択された組の導電性充填開口の間の前記硬化した光パターン化可能低k材料の内部に配置された、前記第1の深さとは異なる第2の深さを有する少なくとも1つの第2の空隙と、
前記硬化した光パターン化可能低k材料の上、並びに前記空隙の各々の上に配置された誘電体キャップと
を備えた相互接続構造体。 - 前記硬化した光パターン化可能低k材料は、ポジティブ型光パターン化可能低k材料である、請求項1に記載の相互接続構造体。
- 前記硬化した光パターン化可能低k材料と前記基板の間に配置されたパターン化された反射防止コーティングをさらに備える、請求項1に記載の相互接続構造体
- 前記第1及び第2の予め選択された導電性充填開口はAl、Cu、W又はそれらの混合物を含む、請求項1に記載の相互接続構造体。
- 空隙を含有する相互接続構造体を形成する方法であって、
内部に複数の導電性充填開口を有する光パターン化可能低k材料を基板の上に設けることと、
第1の予め選択された隣接する導電性充填開口の間の前記光パターン化可能低k材料の内部に第1の深さを有する少なくとも1つの第1の間隙と、第2の予め選択された隣接する導電性充填開口の間の前記光パターン化可能低k材料の内部に前記第1の深さとは異なる第2の深さを有する少なくとも1つの第2の間隙とを形成することと、
前記光パターン化可能低k材料を硬化させることと、
前記硬化した光パターン化可能低k材料の上、並びに前記少なくとも1つの第1及び第2の間隙の上に誘電体キャップを形成することと
を含み、
前記少なくとも1つの第1及び第2の間隙を形成することと硬化させることとの間に横方向エッチング・ステップを実施することをさらに含む、
方法。 - 前記少なくとも1つの第1の間隙及び前記少なくとも1つの第2の間隙を形成することは、前記光パターン化可能低k材料の一部分を光源に露光し、前記光パターン化可能低k材料の露光部分を現像することを含む、請求項5に記載の方法。
- 前記硬化させることは、熱硬化、電子ビーム硬化、UV硬化、イオンビーム硬化、プラズマ硬化、マイクロ波硬化又はそれらの任意の組合せを含む、請求項5に記載の方法。
- 反射防止コーティングが前記光パターン化可能低k材料と前記基板の間に配置される、請求項5に記載の方法。
- 異なる量の波長が前記光パターン化可能低k材料の表面に当たることを可能にするマスクが用いられる、請求項6に記載の方法。
- 前記マスクは減衰位相シフト・マスクである、請求項9に記載の方法。
- 前記光パターン化可能低k材料を設けることは、ポジティブ型光パターン化可能低k材料を選択することを含む、請求項5に記載の方法。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US12/768267 | 2010-04-27 | ||
US12/768,267 US8896120B2 (en) | 2010-04-27 | 2010-04-27 | Structures and methods for air gap integration |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2011233864A JP2011233864A (ja) | 2011-11-17 |
JP5739196B2 true JP5739196B2 (ja) | 2015-06-24 |
Family
ID=44815109
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2011057583A Expired - Fee Related JP5739196B2 (ja) | 2010-04-27 | 2011-03-16 | 空隙組込みの構造体及び方法 |
Country Status (3)
Country | Link |
---|---|
US (1) | US8896120B2 (ja) |
JP (1) | JP5739196B2 (ja) |
KR (1) | KR20110119568A (ja) |
Families Citing this family (15)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8456009B2 (en) * | 2010-02-18 | 2013-06-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor structure having an air-gap region and a method of manufacturing the same |
US8241992B2 (en) * | 2010-05-10 | 2012-08-14 | International Business Machines Corporation | Method for air gap interconnect integration using photo-patternable low k material |
KR20120025315A (ko) * | 2010-09-07 | 2012-03-15 | 삼성전자주식회사 | 반도체 소자 및 그 제조 방법 |
US9177796B2 (en) * | 2013-05-03 | 2015-11-03 | Applied Materials, Inc. | Optically tuned hardmask for multi-patterning applications |
KR102154112B1 (ko) | 2013-08-01 | 2020-09-09 | 삼성전자주식회사 | 금속 배선들을 포함하는 반도체 장치 및 그 제조 방법 |
US20150162277A1 (en) | 2013-12-05 | 2015-06-11 | International Business Machines Corporation | Advanced interconnect with air gap |
US9214429B2 (en) * | 2013-12-05 | 2015-12-15 | Stmicroelectronics, Inc. | Trench interconnect having reduced fringe capacitance |
US9564355B2 (en) * | 2013-12-09 | 2017-02-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnect structure for semiconductor devices |
KR102092863B1 (ko) | 2013-12-30 | 2020-03-24 | 삼성전자주식회사 | 반도체 장치 및 이의 제조 방법 |
KR102167603B1 (ko) | 2014-01-06 | 2020-10-19 | 삼성전자주식회사 | 배선 구조물 형성 방법 및 반도체 장치의 제조 방법 |
DE112017004206T5 (de) * | 2016-08-25 | 2019-05-29 | Sony Semiconductor Solutions Corporation | Halbleitervorrichtung, bildaufnahmevorrichtung und verfahren zum herstellen einer halbleitervorrichtung |
KR102567527B1 (ko) | 2016-11-07 | 2023-08-16 | 삼성전자주식회사 | 반도체 소자 및 그 제조 방법. |
JP6685945B2 (ja) * | 2017-01-31 | 2020-04-22 | キオクシア株式会社 | 半導体装置およびその製造方法 |
US10453605B2 (en) | 2017-10-11 | 2019-10-22 | Globalfoundries Inc. | Insulating inductor conductors with air gap using energy evaporation material (EEM) |
JP7414593B2 (ja) * | 2020-03-10 | 2024-01-16 | 東京エレクトロン株式会社 | 基板処理方法及び基板処理装置 |
Family Cites Families (62)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4371605A (en) | 1980-12-09 | 1983-02-01 | E. I. Du Pont De Nemours And Company | Photopolymerizable compositions containing N-hydroxyamide and N-hydroxyimide sulfonates |
DE3265701D1 (en) | 1981-02-13 | 1985-10-03 | Ciba Geigy Ag | Curable compositions containing an acid-curable resin, and process for curing them |
JPH01293339A (ja) | 1988-05-23 | 1989-11-27 | Tosoh Corp | フォトレジスト組成物 |
US5886102A (en) | 1996-06-11 | 1999-03-23 | Shipley Company, L.L.C. | Antireflective coating compositions |
US5939236A (en) | 1997-02-07 | 1999-08-17 | Shipley Company, L.L.C. | Antireflective coating compositions comprising photoacid generators |
US6492732B2 (en) | 1997-07-28 | 2002-12-10 | United Microelectronics Corp. | Interconnect structure with air gap compatible with unlanded vias |
US6025260A (en) | 1998-02-05 | 2000-02-15 | Integrated Device Technology, Inc. | Method for fabricating air gap with borderless contact |
US6316167B1 (en) | 2000-01-10 | 2001-11-13 | International Business Machines Corporation | Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof |
US6087064A (en) | 1998-09-03 | 2000-07-11 | International Business Machines Corporation | Silsesquioxane polymers, method of synthesis, photoresist composition, and multilayer lithographic method |
US6211561B1 (en) * | 1998-11-16 | 2001-04-03 | Conexant Systems, Inc. | Interconnect structure and method employing air gaps between metal lines and between metal layers |
JP2000294642A (ja) * | 1999-04-12 | 2000-10-20 | Toshiba Corp | 半導体装置及びその製造方法 |
US6214719B1 (en) | 1999-09-30 | 2001-04-10 | Novellus Systems, Inc. | Method of implementing air-gap technology for low capacitance ILD in the damascene scheme |
US6815329B2 (en) * | 2000-02-08 | 2004-11-09 | International Business Machines Corporation | Multilayer interconnect structure containing air gaps and method for making |
US6423629B1 (en) | 2000-05-31 | 2002-07-23 | Kie Y. Ahn | Multilevel copper interconnects with low-k dielectrics and air gaps |
US20020005584A1 (en) * | 2000-07-12 | 2002-01-17 | Shinichi Domae | Semiconductor device |
US6455416B1 (en) | 2000-10-24 | 2002-09-24 | Advanced Micro Devices, Inc. | Developer soluble dyed BARC for dual damascene process |
WO2002075449A1 (fr) | 2001-03-16 | 2002-09-26 | Xianfeng Zhou | Systeme d'imagerie pour produire des images composites a double exposition et son application |
US6984892B2 (en) * | 2001-03-28 | 2006-01-10 | Lam Research Corporation | Semiconductor structure implementing low-K dielectric materials and supporting stubs |
US6566019B2 (en) | 2001-04-03 | 2003-05-20 | Numerical Technologies, Inc. | Using double exposure effects during phase shifting to control line end shortening |
KR100436220B1 (ko) | 2001-08-30 | 2004-06-12 | 주식회사 네패스 | 바닥 반사방지막용 유기 중합체, 그의 제조방법 및 그를함유하는 조성물 |
JP2003163265A (ja) * | 2001-11-27 | 2003-06-06 | Nec Corp | 配線構造およびその製造方法 |
US6787469B2 (en) | 2001-12-28 | 2004-09-07 | Texas Instruments Incorporated | Double pattern and etch of poly with hard mask |
US6844131B2 (en) | 2002-01-09 | 2005-01-18 | Clariant Finance (Bvi) Limited | Positive-working photoimageable bottom antireflective coating |
US7064080B2 (en) | 2002-02-08 | 2006-06-20 | Micron Technology, Inc. | Semiconductor processing method using photoresist and an antireflective coating |
US6730454B2 (en) | 2002-04-16 | 2004-05-04 | International Business Machines Corporation | Antireflective SiO-containing compositions for hardmask layer |
US6780753B2 (en) | 2002-05-31 | 2004-08-24 | Applied Materials Inc. | Airgap for semiconductor devices |
US6861180B2 (en) | 2002-09-10 | 2005-03-01 | Taiwan Semiconductor Manufacturing Co., Ltd | Contact printing as second exposure of double exposure attenuated phase shift mask process |
US20040048194A1 (en) | 2002-09-11 | 2004-03-11 | International Business Machines Corporation | Mehod for forming a tunable deep-ultraviolet dielectric antireflection layer for image transfer processing |
US6805109B2 (en) | 2002-09-18 | 2004-10-19 | Thomas L. Cowan | Igniter circuit with an air gap |
US6867125B2 (en) * | 2002-09-26 | 2005-03-15 | Intel Corporation | Creating air gap in multi-level metal interconnects using electron beam to remove sacrificial material |
US7449407B2 (en) | 2002-11-15 | 2008-11-11 | United Microelectronics Corporation | Air gap for dual damascene applications |
US7138329B2 (en) | 2002-11-15 | 2006-11-21 | United Microelectronics Corporation | Air gap for tungsten/aluminum plug applications |
US6917109B2 (en) | 2002-11-15 | 2005-07-12 | United Micorelectronics, Corp. | Air gap structure and formation method for reducing undesired capacitive coupling between interconnects in an integrated circuit device |
US7041748B2 (en) | 2003-01-08 | 2006-05-09 | International Business Machines Corporation | Patternable low dielectric constant materials and their use in ULSI interconnection |
JP4052950B2 (ja) * | 2003-01-17 | 2008-02-27 | Necエレクトロニクス株式会社 | 半導体装置の製造方法 |
US7071532B2 (en) | 2003-09-30 | 2006-07-04 | International Business Machines Corporation | Adjustable self-aligned air gap dielectric for low capacitance wiring |
US7056840B2 (en) | 2003-09-30 | 2006-06-06 | International Business Machines Corp. | Direct photo-patterning of nanoporous organosilicates, and method of use |
US7012022B2 (en) | 2003-10-30 | 2006-03-14 | Chartered Semiconductor Manufacturing Ltd. | Self-patterning of photo-active dielectric materials for interconnect isolation |
US7199046B2 (en) * | 2003-11-14 | 2007-04-03 | Tokyo Electron Ltd. | Structure comprising tunable anti-reflective coating and method of forming thereof |
US7064078B2 (en) | 2004-01-30 | 2006-06-20 | Applied Materials | Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme |
US7355384B2 (en) * | 2004-04-08 | 2008-04-08 | International Business Machines Corporation | Apparatus, method, and computer program product for monitoring and controlling a microcomputer using a single existing pin |
KR100674967B1 (ko) | 2005-04-06 | 2007-01-26 | 삼성전자주식회사 | 더블 패터닝 방식을 이용한 미세 피치를 갖는 포토레지스트패턴 형성방법 |
KR100613461B1 (ko) | 2005-06-29 | 2006-08-17 | 주식회사 하이닉스반도체 | 이중노광기술을 이용한 이중노광방법과 이를 위한포토마스크 |
KR100640657B1 (ko) | 2005-07-25 | 2006-11-01 | 삼성전자주식회사 | 반도체 소자의 미세 패턴 형성 방법 |
JP4197694B2 (ja) * | 2005-08-10 | 2008-12-17 | 株式会社東芝 | 半導体装置およびその製造方法 |
KR100687883B1 (ko) | 2005-09-03 | 2007-02-27 | 주식회사 하이닉스반도체 | 이중 노광용 포토마스크 및 이를 이용한 이중 노광 방법 |
KR100714305B1 (ko) | 2005-12-26 | 2007-05-02 | 삼성전자주식회사 | 자기정렬 이중패턴의 형성방법 |
US20070212649A1 (en) | 2006-03-07 | 2007-09-13 | Asml Netherlands B.V. | Method and system for enhanced lithographic patterning |
US8097949B2 (en) * | 2006-03-30 | 2012-01-17 | Nxp B.V. | Control of localized air gap formation in an interconnect stack |
KR100721205B1 (ko) | 2006-04-21 | 2007-05-23 | 주식회사 하이닉스반도체 | 이중 노광을 위한 패턴 분할 및 광 근접 효과 보정 방법 |
US7772702B2 (en) * | 2006-09-21 | 2010-08-10 | Intel Corporation | Dielectric spacers for metal interconnects and method to form the same |
JP4921945B2 (ja) * | 2006-12-13 | 2012-04-25 | 株式会社東芝 | 半導体装置の製造方法及び半導体装置 |
US8017522B2 (en) | 2007-01-24 | 2011-09-13 | International Business Machines Corporation | Mechanically robust metal/low-κ interconnects |
US7867689B2 (en) * | 2007-05-18 | 2011-01-11 | International Business Machines Corporation | Method of use for photopatternable dielectric materials for BEOL applications |
US7709370B2 (en) | 2007-09-20 | 2010-05-04 | International Business Machines Corporation | Spin-on antireflective coating for integration of patternable dielectric materials and interconnect structures |
US8618663B2 (en) | 2007-09-20 | 2013-12-31 | International Business Machines Corporation | Patternable dielectric film structure with improved lithography and method of fabricating same |
US8084862B2 (en) | 2007-09-20 | 2011-12-27 | International Business Machines Corporation | Interconnect structures with patternable low-k dielectrics and method of fabricating same |
US8476758B2 (en) | 2008-01-09 | 2013-07-02 | International Business Machines Corporation | Airgap-containing interconnect structure with patternable low-k material and method of fabricating |
US7943480B2 (en) * | 2008-02-12 | 2011-05-17 | International Business Machines Corporation | Sub-lithographic dimensioned air gap formation and related structure |
US8029971B2 (en) | 2008-03-13 | 2011-10-04 | International Business Machines Corporation | Photopatternable dielectric materials for BEOL applications and methods for use |
US7919225B2 (en) | 2008-05-23 | 2011-04-05 | International Business Machines Corporation | Photopatternable dielectric materials for BEOL applications and methods for use |
US8659115B2 (en) * | 2009-06-17 | 2014-02-25 | International Business Machines Corporation | Airgap-containing interconnect structure with improved patternable low-K material and method of fabricating |
-
2010
- 2010-04-27 US US12/768,267 patent/US8896120B2/en not_active Expired - Fee Related
-
2011
- 2011-03-16 JP JP2011057583A patent/JP5739196B2/ja not_active Expired - Fee Related
- 2011-04-26 KR KR1020110038823A patent/KR20110119568A/ko not_active Application Discontinuation
Also Published As
Publication number | Publication date |
---|---|
US20110260326A1 (en) | 2011-10-27 |
JP2011233864A (ja) | 2011-11-17 |
KR20110119568A (ko) | 2011-11-02 |
US8896120B2 (en) | 2014-11-25 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP5739196B2 (ja) | 空隙組込みの構造体及び方法 | |
US8952539B2 (en) | Methods for fabrication of an air gap-containing interconnect structure | |
US8629561B2 (en) | Air gap-containing interconnect structure having photo-patternable low k material | |
US8637395B2 (en) | Methods for photo-patternable low-k (PPLK) integration with curing after pattern transfer | |
JP5285814B2 (ja) | 段階化キャップ層を有するパターニング可能low−k誘電体配線構造、エアギャップ包含配線構造、および製作方法 | |
US9196523B2 (en) | Self-aligned permanent on-chip interconnect structures | |
US9431295B2 (en) | Interconnect structure including a modified photoresist as a permanent interconnect dielectric and method of fabricating same | |
US7709370B2 (en) | Spin-on antireflective coating for integration of patternable dielectric materials and interconnect structures | |
US8659115B2 (en) | Airgap-containing interconnect structure with improved patternable low-K material and method of fabricating | |
US8795556B2 (en) | Self-aligned permanent on-chip interconnect structure formed by pitch splitting | |
US8373271B2 (en) | Interconnect structure with an oxygen-doped SiC antireflective coating and method of fabrication | |
US8487411B2 (en) | Multiple patterning using improved patternable low-κ dielectric materials | |
US20080150091A1 (en) | MULTIPLE PATTERNING USING PATTERNABLE LOW-k DIELECTRIC MATERIALS |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20131224 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20141022 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20141028 |
|
A521 | Written amendment |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20141204 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20150331 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20150423 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 5739196 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
LAPS | Cancellation because of no payment of annual fees |