CN102569179B - 形成受控的空隙的材料和方法 - Google Patents

形成受控的空隙的材料和方法 Download PDF

Info

Publication number
CN102569179B
CN102569179B CN201210022734.0A CN201210022734A CN102569179B CN 102569179 B CN102569179 B CN 102569179B CN 201210022734 A CN201210022734 A CN 201210022734A CN 102569179 B CN102569179 B CN 102569179B
Authority
CN
China
Prior art keywords
air gap
layer
forming air
method forming
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201210022734.0A
Other languages
English (en)
Other versions
CN102569179A (zh
Inventor
R·N·弗尔蒂斯
吴定军
M·L·奥奈尔
M·D·比特纳
J·L·文森特
E·J·小卡瓦克基
A·S·卢卡斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Versum Materials US LLC
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of CN102569179A publication Critical patent/CN102569179A/zh
Application granted granted Critical
Publication of CN102569179B publication Critical patent/CN102569179B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/764Air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/42Bombardment with radiation
    • H01L21/423Bombardment with radiation with high-energy radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1042Formation and after-treatment of dielectrics the dielectric comprising air gaps
    • H01L2221/1047Formation and after-treatment of dielectrics the dielectric comprising air gaps the air gaps being formed by pores in the dielectric

Abstract

本发明涉及形成受控的空隙的材料和方法。本发明的一个技术方案是在基底上形成气隙的方法,该方法包括:提供基底;通过沉积至少一种牺牲材料前体来沉积牺牲层;沉积复合层;除去复合层中的成孔剂以形成多孔层和使层状基底与除去介质接触以基本上除去牺牲材料并且在基底内提供气隙;其中至少一种牺牲材料前体选自有机成孔剂;硅和极性溶剂可溶的金属氧化物和其混合物。

Description

形成受控的空隙的材料和方法
本申请是申请日为2007年4月18日、申请号为200710103575.6、发明名称为“形成受控的空隙的材料和方法”的发明专利申请的分案申请。
相关申请的交叉参考
本申请要求于2006年4月18日提交的美国临时申请60/792,793的权益。该临时申请的公开内容在这里通过参考进行引用。
技术领域
本发明涉及形成受控的空隙的材料和方法。在一个实施方案中本发明是在基底中所述的形成气隙的方法。
背景技术
在微电子和纳米技术工业中均希望能够沉积能作为制备的辅助材料但一旦制备完成能容易除去的材料。在纳米技术领域中一个实例是使用SiO2作为制备的辅助材料以帮助制备硅悬臂结构。一旦制备完成,能通过HF水溶液刻蚀除去SiO2而没有影响硅。然而使用水溶液除去SiO2,由于在水干燥过程中的毛细管效应能引起小结构的坍塌,例如在悬臂制备中。也已经报道能使用XeF2相对于SiO2选择性的刻蚀硅用于该制备,硅覆盖有薄的有机膜,XeF2能通过该有机膜进行扩散。
在微电子工业中使用牺牲材料的一个实例是使用牺牲有机材料以在有机硅酸盐玻璃(OSG)中引入空隙以制备多孔OSG。这些空隙的产生将显著地降低材料的介电常数,因为空气的介电常数是1.0,而OSG材料的介电常数通常>2.7。通过引入有效介电常数为1.0的气隙,获得微电子制备中介电常数的下限。牺牲有机层的使用是实现这一种希望的方法。
能通过各种方式在半导体基底中所述的形成气隙。在器件中所述的形成气隙的一种方法是通过沉积差的保形材料,当在凸起表面间具有间隙的基底顶部沉积时,在这些表面间形成气隙或空隙。在这方面,如图1所示,当间隙部分填充差的保形介电材料时,在一对互连引线间的间隙中所述的形成气隙。该差的保形材料可以通过例如化学气相沉积或其它方式进行沉积。然而,该方法对于目前在铜集成中使用的双层镶嵌方法(例如参见6,057,226)是不适合的。
美国专利申请号2002/0149085和美国专利号6,472,719B1;6,211,057B1;6,297,125B1;6,268,277B1;6,238,987B1和6,228,763B1公开了方法,其中牺牲材料由具有高HF刻蚀率的旋涂玻璃或化学气相沉积的含有氧化物的材料构成,后者被桥层加帽,桥层具有在其中形成的开口。然后使用稀释的HF通过开口除去旋涂的材料。在图2中参见该技术。
美国专利申请号US20040099951A1;美国20040094821A1和2002/1016888和2002/002563和美国专利号6,316,347;6,329,279;和6,498,070;6,713,835B1;6,720,655B1公开了方法,其中牺牲材料是被桥层加帽的有机聚合物,桥层具有一个或多个开口,通过在惰性环境下的热退火或使用氧化剂例如分子氧(O2)烧除以除去聚合物。
发明内容
本发明是在基底中所述的形成气隙的方法。在本发明的一个实施方式中,所述方法包括:提供基底;在基底上沉积具有至少一种有机前体的牺牲层;在基底上沉积具有成孔剂和至少一种含有氧化硅的前体或OSG前体的复合材料层,该成孔剂与牺牲层中的至少一种有机前体相同;对具有牺牲层和复合材料层的基底应用能量以除去牺牲层而提供气隙和除去成孔剂而形成多孔层。
在本发明的另一实施方式中,所述方法包括:提供基底;提供基底;沉积包括硅的牺牲层;沉积具有成孔剂和至少一种含有氧化硅的前体或有机硅酸盐玻璃(OSG)前体的复合层;对具有牺牲层和复合层的基底应用能量以除去成孔剂而形成多孔层;使具有牺牲层和多孔层的基底与能够通过多孔层扩散的含氟试剂在减少的压力下进行接触,以选择性地除去牺牲层而形成气隙。
在本发明的又一实施方式中,所述方法包括:提供基底;沉积具有金属前体的极性溶剂可溶解的金属氧化物牺牲层;沉积具有成孔剂和至少一含硅前体或有机硅酸盐玻璃(OSG)前体的复合层;对具有牺牲层和复合层的基底应用能量以除去成孔剂而形成多孔层;使具有牺牲层和多孔层的基底与极性能够通过多孔层扩散的溶剂接触,以除去牺牲层而形成气隙。
在本发明的另一实施方式中,所述方法包括:提供基底;沉积具有金属前体的极性溶剂可溶的金属氧化物牺牲层;沉积具有成孔剂和至少一种含有氧化硅的前体或有机硅酸盐玻璃(OSG)前体的复合层,该成孔剂与牺牲层中极性溶剂可溶的金属氧化物相同;使具有牺牲层和复合层的基底与极性溶剂接触以除去成孔剂而形成多孔层和除去牺牲层而形成气隙。
附图说明
图1显示通过现有技术的差的步骤覆盖形成的气隙的截面图。
图2显示通过现有技术的桥接层中的空隙除去材料而形成气隙结构的截面图。
图3显示图解说明形成本发明结构的方法递进步骤的截面图,其中使用应用的能量除去空隙空间中的牺牲材料。
图4显示图解说明本发明可替换的方法和实施方式的递进步骤的截面图,其中使用选择性的刻蚀试剂BrF3来除去空隙空间中的硅牺牲材料。
图5显示图解说明本发明另一可替换方法和实施方式的递进步骤的截面图,其中使用极性溶剂例如水来除去空隙空间中的GeO2牺牲材料。
图6A-6G显示形成双层镶嵌铜金属互连结构的一种可能途径。
图7A-7K显示形成单嵌入式铜金属互连结构的一种可能途径。
图8A-8I显示形成双层镶嵌铜金属互连结构的另一可能途径。
图9A、9B和9C是通过本发明制备的具有空隙空间的实际结构的扫描电镜图像。
发明的详细描述
随着电子器件尺寸的持续减少,需要具有非常低介电常数的层间介电(ILD)材料。在过去12年间,介电材料已经从SiO2发展到FSG’s、到OSG’s和现在发展到具有2.0介电常数的多孔OSG’s。为了获得低于2.0的介电常数,必须在OSG薄膜中引入25%或更多的孔隙率。当OSG薄膜中引入的孔隙率量增加时,不仅降低介电常数而且引起机械性能的更大降低。
例如具有介电常数2.9的致密OSG薄膜具有大约3.0GPa的机械硬度。而通过我们PDEMSTM技术(参见例如美国专利6,583,048和美国专利6,846,515,在这里通过参考进行引用),具有大约25%孔隙率的多孔OSG材料具有2.2的介电常数,但仅具有0.8GPa的机械硬度。将该趋势外延到更低的介电常数,具有低于1.9介电常数的材料可能具有低于0.3GPa的机械硬度。我们已经观察到的另一趋势是:当孔隙率百分比增加时,互连路径长度相应增加。通过正电子湮没寿命(PALS)测量的互连路径长度是正电子元素能进行迁移而没有发生碰撞的最长距离的量度,或是连接孔的最长弦。认为互连对于原子层沉积(ALD)考虑和对于与湿处理例如抗蚀剂显影剂、抗蚀剂剥离剂和CMP料浆的相互作用是重要的。因此,认为在k低于大约1.9的一些情况下,ILD提供差的耐机械性或耐阻挡性,在多孔OSG薄膜和气隙间的差异开始消失。
迄今,气隙的制备已经集中在三种主要途径:(i)使用非常不保形的SiO2沉积,导致大的匙孔结构作为气隙,(ii)通过旋涂方法或CVD使用热不稳定的聚合物材料进行沉积,(iii)通过使用O2等离子体的活性离子刻蚀下层薄膜或通过使用HF湿刻蚀进行气隙的各向同性刻蚀。
本发明描述了制备气隙或空隙空间的三种可替代的方法。所有这三种途径利用液体或气体通过多孔OSG或SiO2层进行扩散的能力。该层的孔隙率能在0.1-99体积%孔隙率,更优选10-50体积%孔隙率的范围。第一种方法利用有机牺牲材料产生气隙。这是使用例如用于多孔OSG薄膜制备的授予专利PDEMSTM产品的多孔介电层的推广。在该方法中,使用相同的有机前体,在复合层方法中,牺牲层和成孔剂与OSG共沉积。以这种方式,能利用一种有机材料用于牺牲层和用于制备多孔OSG。第二种方法与第一种方法相似,在由硅构成的牺牲材料上使用多孔加帽层。在该方法中,在图案化前或后、并在除去成孔剂以产生多孔SiO2或OSG层后,给牺牲层覆盖复合层,然后将制备的片子暴露于气体中,相对于SiO2或OSG,选择性地刻蚀硅,例如XeF2或BrF3能通过多孔SiO2或OSG层进行扩散以除去下面的硅结构并剩下空隙。第三种方法使用在极性溶剂中可溶的(即,水)牺牲无机材料例如GeO2或B2O3。在该方法中,在图案化前或后、并在除去成孔剂以产生多孔SiO2或OSG层后,给牺牲层覆盖复合层,然后将制备的片暴露于水或其它极性溶剂,水或其它极性溶剂能通过多孔SiO2或OSG层进行扩散以除去可溶性无机材料(即GeO2或B2O3)并剩下空隙。在水或极性溶剂中添加表面活性剂以提高通过多孔层的扩散是有利的,特别是如果多孔层是疏水的OSG材料。
下面是适用于本发明的氧化硅基前体的非限制性的实施例。在下面的化学式以及整个申请文件中的所有化学式中,术语“独立地”应理解为:主题R基团不仅相对于带有不同上标的其它R基团独立地进行选择,而且相对于任何其它类型相同的R基团独立地进行选择。例如在化学式R1 n(OR2)4-nSi中,当n=2或3时,两个或三个R1无需彼此相同或与R2相同。
-R1 n(OR2)3-nSi,其中R1能独立地为H、或C1-C4直链或支链的、饱和的、单或多不饱和的、环状的、部分或完全氟化的;R2独立地为H、或C1-C6直链或支链的、饱和的、单或多不饱和的、环状的、芳香族的、部分或完全氟化的,n是1-3。
-例如:二乙氧基甲基硅烷、二甲基二甲氧基硅烷
-R1 n(OR2)3-nSi-O-R3 m(OR4)3-m,其中R1和R3能独立地为H、或C1-C4直链或支链的、饱和的、单或多不饱和的、环状的、部分或完全氟化的;R2和R4能独立地为H、或C1-C6直链或支链的、饱和的、单或多不饱和的、环状的、芳香族的、部分或完全氟化的,n是1-3并且m是1-3。
-例如1,3二甲基-1,3-二乙氧基二硅氧烷
-R1 n(OR2)3-nSi-SiR3 m(OR4)3-m,其中R1和R3能独立地为H、或C1-C4直链或支链的、饱和的、单或多不饱和的、环状的、部分或完全氟化的;R2和R4能独立地为H、或C1-C6直链或支链的、饱和的、单或多不饱和的、环状的、芳香族的、部分或完全氟化的,n是1-3并且m是1-3。
-实例:1,2-二甲基-1,1,2,2-四乙氧基二硅氧烷
-R1 n(O(O)CR2)4-nSi,其中R1能独立地为H、或C1-C4直链或支链的、饱和的、单或多不饱和的、环状的、部分或完全氟化的;R2能独立地为H、或C1-C6直链或支链的、饱和的、单或多不饱和的、环状的、芳香族的、部分或完全氟化的,n是1-3。
-实例:二甲基二乙酰氧基硅烷
-R1 n(O(O)CR2)3-nSi-O-SiR3 m(O(O)CR4)3-m,其中R1和R3能独立地为H、或C1-C4直链或支链的、饱和的、单或多不饱和的、环状的、部分或完全氟化的;R2和R4能独立地为H、或C1-C6直链或支链的、饱和的、单或多不饱和的、环状的、芳香族的、部分或完全氟化的,n是1-3并且m是1-3。
-实例:1,3-二甲基-1,3-二乙酰氧基二硅氧烷
-R1 n(O(O)CR2)3-nSi-SiR3 m(O(O)CR4)3-m,其中R1和R3能独立地为H、或C1-C4直链或支链的、饱和的、单或多不饱和的、环状的、部分或完全氟化的;R2和R4能独立地为H、或C1-C6直链或支链的、饱和的、单或多不饱和的、环状的、芳香族的、部分或完全氟化的,n是1-3并且m是1-3。
-实例:1,2-二甲基-1,1,2,2-四乙酰氧基乙硅烷
-R1 n(O(O)CR2)3-nSi-O-SiR3 m(OR4)3-m,其中R1和R3能独立地为H、或C1-C4直链或支链的、饱和的、单或多不饱和的、环状的、部分或完全氟化的;R2能独立地为H、C1-C6直链或支链的、饱和的、单或多不饱和的、环状的、芳香族的、部分或完全氟化的;R4能独立地为C1-C6直链或支链的、饱和的、单或多不饱和的、环状的、芳香族的、部分或完全氟化的,n是1-3和m是1-3。
-实例:1,3-二甲基-1-乙酸基-3-乙氧基二硅氧烷
-R1 n(O(O)CR2)3-nSi-SiR3 m(OR4)3-m,其中R1和R3能独立地为H、或C1-C4直链或支链的、饱和的、单或多不饱和的、环状的、部分或完全氟化的;R2能独立地为H、C1-C6直链或支链的、饱和的、单或多不饱和的、环状的、芳香族的、部分或完全氟化的;R4能独立地为C1-C6直链或支链的、饱和的、单或多不饱和的、环状的、芳香族的、部分或完全氟化的,n是1-3并且m是1-3。
-实例:1,2-二甲基-1-乙酰氧基-2-乙氧基乙硅烷
-R1 n(OR2)p(O(O)CR4)4-(n+p)Si,其中R1能独立地为H、或C1-C4直链或支链的、饱和的、单或多不饱和的、环状的、部分或完全氟化的;R2能独立地为H、或C1-C6直链或支链的、饱和的、单或多不饱和的、环状的、芳香族的、部分或完全氟化的,和R4能独立地为H、或C1-C6直链或支链的、饱和的、单或多不饱和的、环状的、芳香族的、部分或完全氟化的,n是1-3并且p是1-3。
-实例:甲基乙酰氧基-叔丁氧基硅烷
-R1 n(OR2)p(O(O)CR4)4-n-pSi-O-SiR3 m(O(O)CR5)q(OR6)3-m-q,其中R1和R3能独立地为H、或C1-C4直链或支链的、饱和的、单或多不饱和的、环状的、部分或完全氟化的;R2和R6能独立地为C1-C6直链或支链的、饱和的、单或多不饱和的、环状的、芳香族的、部分或完全氟化的,以及R4和R5能独立地为H、或C1-C6直链或支链的、饱和的、单或多不饱和的、环状的、芳香族的、部分或完全氟化的,n是1-3、m是1-3、p是1-3并且q是1-3。
-实例:1,3-二甲基-1,3-二乙酰氧基-1,3-二乙氧基二硅氧烷
-R1 n(OR2)p(O(O)CR4)4-n-pSi-SiR3 m(O(O)CR5)q(OR6)3-m-q,其中R1和R3能独立地为H、或C1-C4直链或支链的、饱和的、单或多不饱和的、环状的、部分或完全氟化的;R2、R6能独立地为C1-C6直链或支链的、饱和的、单或多不饱和的、环状的、芳香族的、部分或完全氟化的,以及R4和R5能独立地为H、或C1-C6直链或支链的、饱和的、单或多不饱和的、环状的、芳香族的、部分或完全氟化的,n是1-3、m是1-3、p是1-3并且q是1-3。
-实例:1,2-二甲基-1,2-二乙酰氧基-1,2-二乙氧基乙硅烷
-式(OSiR1R3)x的环状硅氧烷,其中R1和R3能独立地为H、或C1-C4直链或支链的、饱和的、单或多不饱和的、环状的、部分或完全氟化的,并且x可以是2-8之间的任意整数。
-实例:1,3,5,7-四甲基环四硅氧烷、八甲基环四硅氧烷
能用于SiO2或OSG沉积的其它前体例如是TEOS、三乙氧基硅烷、二-叔丁氧基硅烷、硅烷、乙硅烷、二叔丁氧基二乙酰氧基硅烷等。
本发明指向使用通过电子制备工业中多种已知常规方法中的任一方法沉积的牺牲材料,作为牺牲层在空隙空间制备中的用途。该层在微电子工业的气隙结构制备中和在纳米技术制备中用于“释放”结构或用于空隙空间制备中特别有用。
将在这里对在其中含有一个或多个气隙结构的半导体和形成其的方法进行描述。在一个实施方式中,在层状基底中在导线间的至少部分空间中形成一个或多个气隙。这里所使用的术语“导线”通常指的是金属线、迹线、丝、互连导线、信息通路或为基底中耦合或互连电路提供信号通路的信号介质。结构易于放大到多层和适于单或双层镶嵌处理。在某些实施方式中,介电层可以是固体材料或可替代地可以为多孔和/或含有空隙、空穴或气隙。
第一种实施方式:有机不稳定的牺牲材料
在这个实施方式中,使用牺牲有机层来产生空隙空间。通过使用至少一种相同的有机前体用于沉积牺牲层和作为复合层中的成孔剂,例如PDEMSTM层,它允许在器件中建造梯度。因为使用相同的前体作为牺牲层前体和作为有机成孔剂前体,所以能最初仅使用至少一种有机前体、然后添加至少一种OSG前体进行PECVD过程的操作,在牺牲层和多孔层之间能形成无缝梯度。美国专利号6,583,048和6,846,515、6,054,206、6,054,379、6,171,945和WO99/41423提供了一些实例性的可用于形成本发明的有机硅酸盐薄膜的CVD方法。
不受限于理论,用于复合层中的成孔剂和作为牺牲层沉积的有机前体的本质具有某些希望的属性。有机前体应能够以气体形式输送到反应室中,因此希望有机前体在50℃或更高温度具有可测量的蒸气压。希望有机前体应在反应室中以这样一种方式反应使得它形成在100℃或更高温度不具有显著蒸气压的材料,更优选的,希望成孔剂反应而形成在高于200℃不具有明显蒸气压的材料。
有机分子中的不饱和度数目被定义为分子中多键数量或环结构的数量。因此,分子中单个双键或单个环结构为一个不饱和度,而三键或环结构中的双键为两个不饱和度。有机前体中不饱和度数目将影响沉积反应,不饱和度数目较高通常导致较高的沉积速率。然而,不受限于理论,通常观察到由具有较高不饱和度的有机前体沉积的材料更难于被完全除去,因此必须在沉积和除去间找到平衡。
在一些情况下,在分子中可具有可提高成孔剂或牺牲材料沉积的杂原子(例如氧、单或硫)是有利的。相信在等离子体环境中,杂原子将增加电子俘获的截面,因此增加气相中中性自由基的数目。中性自由基数量的增加将增加沉积效率,因为相信沉积基于中性自由基的反应。
下面是适于本发明有机前体的非限制性的材料实例。
1)通式CnH2n的环烃,其中你=4-14,其中环状结构中的碳原子数在4到10之间,其中能在环状结构上具有多个取代的简单烃或支链烃。
实例包括环已烷、1,2,4,-三甲基环己烷、1-甲基-4-(1-甲基乙基)环己烷,环辛烷、甲基环辛烷等。
2)通式CnH(2n+2)-2y的直链或支链的、饱和的、单或多不饱和烃,其中n=2-20,y=0-n。
实例包括:乙烯、丙烯、乙炔、新己烷、1,3-丁二烯、2-甲基-1,3-丁二烯、2,3-二甲基-2,3-丁二烯、取代的二烯烃等。
3)通式CnH2n-2x的单或多不饱和环烃,其中x是分子中不饱和位置的数目,n=4-14,其中环状结构上的碳原子数在4和10之间,其中在环状结构中能具有多个取代的简单烃或支链烃。不饱和度能位于环内或环状结构的一个烃取代基上。
实例包括:辛烷、1,5-辛二烯、己烯、乙烯-环己烷、二甲基环己烷、α-萜品烯、萜烯、1,8-萜二烯、乙烯-环己烯。
4)通式CnH2n-2的双环烃,其中n=4-14,其中双环中的碳原子数在4-12之间,其中在环状结构中能具有多个取代的简单烃或支链烃。
实例包括:降莰烷、螺环壬烷、十氢化萘等。
5)通式CnH2n-(2+2x)的多不饱和双环烃,其中x是分子中不饱和位置数,n=4-14,其中双环结构中的碳原子数在4和12之间,其中在环状结构中能具有多个取代的简单烃或支链烃。不饱和度能位于环内或环结构的一个烃取代基上。
实例包括莰烯、降冰片烯、降冰片二烯、5-亚乙基-2-降冰片烯等。
6)烃通式CnH2n-4的三环烃,其中n=4-14,其中三环结构中的碳原子数在4和12之间,在环结构中能具有多个取代的简单烃或支链烃。
实例包括金刚烷。
7)包含一个或多个醇基并且通式为CnH2n+2-2x-2y-z(OH)z的烃结构,其中n=1-12,x是所述结构中环的数目并且在0-4之间,y是所述结构中不饱和键的数目并且在0和n之间,z是所述化合物中醇基的数目并且在1和4之间,并且其中醇官能团可以在环外和/或环内。其实例有:丙醇(n=3,x=0,z=1)、乙二醇(n=2,x=0,y=0,z=2)、己醇(n=6,x=0,y=0,z=1)、环戊醇(n=5,x=1,y=0,z=1)、1,5-己二烯-3,4-二醇(n=6,x=0,y=2,z=2)、甲酚(n=7,x=1,y=3,z=1)和间苯二酚(n=6,x=1,y=3,z=2)等。
8)包含一个或多个醚基并且通式为CnH2n+2-zx-2yOz的烃结构,其中n=1-12,x是所述结构中环的数目并且在0-4之间,y是所述结构中不饱和键的数目并且在0和n之间,z是所述结构中醚键的数目并且在1和4之间,并且其中醚键可以在环外和/或环内。其实例有:乙醚(n=4,x=0,y=0,z=1)、2-甲基-四氢呋喃(n=5,x=1,y=0,z=1)、2,3-苯并呋喃(n=8,x=2,y=4,z=1)、乙二醇二乙烯基醚(n=6,x=0,y=2,z=2)、桉树脑(桉叶油素)(n=10,x=2,y=0,z=1)等。
9)包含一个或多个环氧基团并且通式为CnH2n+2-2x-2y-2zOz的烃结构,其中n=1-12,x是所述结构中环的数目并且在0-4之间,y是所述结构中不饱和键的数目并且在0和n之间,z是所述结构中环氧基团的数目并且在1和4之间,并且其中环氧基团可连接在环上或直链上。其实例有:1,2环氧-3-甲基丁烷(n=5,x=0,y=0,z=1)、1,2-环氧-5-己烯(n=5,x=0,y=1,z=1)、氧化环己烯(n=6,x=1,y=0,z=1)、9-氧杂二环[6.1.0]壬-4-烯(n=8,x=1,y=1,z=1)等。
10)包含一个或多个醛基并且通式为CnH2n+2-2x-2y-2zOz的烃结构,其中n=1-12,x是所述结构中环的数目并且在0-4之间,y是所述结构中不饱和键的数目并且在0和n之间,z是所述结构中醛基的数目并且在1和4之间。其实例有:环戊烷甲醛(n=5,x=1,y=0,z=1)等。
11)包含一个或多个酮基并且通式为CnH2n+2-2x-2y-2zOz的烃结构,其中n=1-12,x是所述结构中环的数目并且在0-4之间,y是所述结构中不饱和键的数目并且在0和n之间,z是所述结构中酮基的数目并且在1和4之间,并且其中酮基可以在环外和/或环内。其实例有:3,4-己二酮(n=6,x=0,y=0,z=2))、环戊酮(n=5,x=1,y=0,z=1))、2,4,6-三甲苯基氧化物(n=6,x=0,y=1,z=1)等。
12)包含一个或多个羧基并且通式为CnH2n+2-2x-2y-3z(OOH)z的烃结构,其中n=1-12,x是所述结构中环的数目并且在0-4之间,y是所述结构中不饱和键的数目并且在0和n之间,z是所述结构中羧基的数目并且在1和4之间。其实例有:环戊烷甲酸(n=6,y=1,x=0,z=1)等。
13)包含偶数个羧基并且酸官能团被脱水以形成环状酸酐基团的烃结构,其中所述结构的通式为CnH2n+2-2x-2y-6z(O3)z,其中n=1-12,x是所述结构中环的数目并且在0-4之间,y是所述结构中不饱和键的数目并且在0和n之间,z是所述结构中酸酐基的数目并且为1或2。其实例有:马来酸酐(n=2,x=0,y=1,z=1)等。
14)包含酯基并且通式为CnH2n+2-2x-2y-2z(O2)z的烃结构,其中n=1-12,x是所述结构中环的数目并且在0-4之间,y是所述结构中不饱和键的数目,其中没有不饱和键与酯的羰基共轭,z是所述结构中酸酐基的数目并且为1或2。其实例有:等。
15)包含丙烯酸酯官能团并且通式为CnH2n+2-2x-2y-2z(O2)z的烃结构,其中所述官能团由酯基和至少一个与酯基的羰基共轭的不饱和键组成,n=1-12,x是所述结构中环的数目并且在0-4之间,y是所述结构中不饱和键的数目并且大于或等于1,其中至少一个不饱和键与酯的羰基共轭,z是所述结构中酯基的数目并且为1或2。其实例有:甲基丙烯酸乙酯(n=6,x=0,y=1,z=1)等。
16)包含醚基和羰基官能团并且通式为CnH2n+2-2w-2x-2z(O)y(O)z的烃结构,其中n=1-12,w是所述结构中环的数目并且在0-4之间,x是所述结构中不饱和键的数目并且在0和n之间,y是所述结构中羰基的数目,其中羰基可以为酮和/或醛,z是所述结构中醚基的数目并且为1或2,并且醚基可以在环外和/或环内。其实例有:乙氧基异丁烯醛(n=6,w=0,x=1,y=1,z=1)等。
17)包含醚和醇官能团并且通式为CnH2n+2-2w-2x-2z(OH)y(O)z的烃结构,其中n=1-12,w是所述结构中环的数目并且在0-4之间,x是所述结构中不饱和键的数目并且在0和n之间,y是所述结构中醇基的数目z是所述结构中醚基的数目并且为1或2,并且其中醚基可以在环外和/或环内。其实例有:3-羟基四氢呋喃等。
18)包含选自下面官能团:醇、醚、羰基和羧酸的任何组合并且通式为CnH2n+2-2u-2v-w-2y-3z(OH)w(O)x(O)y(OOH)z的烃结构,其中n=1-12,u是所述结构中环的数目并且在0-4之间,v是所述结构中不饱和键的数目并且在0和n之间,w为通式中醇基的数目并且在0和4之间,x是所述结构中醚基的数目且在0和4之间并且其中醚基可以是环外或环内的,y是所述结构中羰基的数目并且在0和3之间,其中所述羰基可以是酮和/或醛,z是所述结构中羧基的数目并且在0和2之间。
19)包含一个或多个伯胺基并且并且通式为CnH2n+2-2x-2y-z(NH2)z的烃结构,其中n=1-12,x是所述结构中环的数目并且在0-4之间,y是所述结构中不饱和键的数目并且在0和n之间,z是所述化合物中胺基的数目并且在1和4之间,并且其中胺官能团可以是环外和/或环内的。其实例有:环戊胺(n=5,x=1,y=0,z=1)等。
20)包含一个或多个仲胺基并且通式为CnH2n+2-2x-2y-2z(NH)z的烃结构,其中n=1-12,x是所述结构中环的数目并且在0-4之间,y是所述结构中不饱和键的数目并且在0和n之间,z是所述化合物中仲胺基的数目并且在1和4之间,并且其中胺官能团可以是环外和/或环内的。其实例有:二异丙胺(n=6,x=0,y=0,z=1)、哌啶(n=5,x=1,y=0,z=1)、吡啶(n=5,x=1,y=3,z=1)等。
21)包含一个或多个叔胺并且通式为CnH2n+2-2x-2y-3z(N)z的烃结构,其中n=1-12,x是所述结构中环的数目并且在0-4之间,y是所述结构中不饱和键的数目并且在0和n之间,z是所述化合物中叔胺基的数目并且在1和4之间,并且其中胺官能团可以是环外和/或环内的。其实例有:三乙胺(n=6,x=0,y=0,z=1)、N-甲基吡咯烷(n=5,x=1,y=0,z=1)、N-甲基吡咯(n=5,x=1,y=2,z=1)等。
22)包含一个或多个硝基并且通式为CnH2n+2-2x-2y-z(NO2)z的烃结构,其中n=1-12,x是所述结构中环的数目并且在0-4之间,y是所述结构中不饱和键的数目并且在0和n之间,z是所述化合物中硝基的数目并且在1和4之间,并且其中硝基官能团可以是环外和/或环内的。其实例有:硝基环戊烷(n=5,x=1,y=0,z=1)、硝基苯(n=6,x=1,y=3,z=1)等。
23)包含胺和醚官能团并且通式为CnH2n+2-2u-2v-w-2x-3y-z(NH2)w(NH)x(N)y(OH)z的烃结构,其中n=1-12,u是所述结构中环的数目并且在0-4之间,v是所述结构中不饱和键的数目并且在0和n之间,w是伯胺基的数目,x是仲胺基的数目,y是叔胺基的数目,并且1<w+x+y<4,z是所述化合物中醇基的数目并且在1和4之间,并且其中醇基和/或胺基官能团可以是环外和/或环内的。其实例有:2-(2-氨基乙基氨基)乙醇(n=4,u=0,u=0,w=1,x=1,y=0,z=1),N-甲基吗啉(n=5,u=1,v=0,w=0,x=0,y=1,z=1)等。
24)包含胺和醇官能团并且通式为CnH2n+2-2u-2v-w-2x-3y-z(NH2)w(NH)x(N)y(OH)z的烃结构,其中n=1-12,u是所述结构中环的数目并且在0-4之间,v是所述结构中不饱和键的数目并且在0和n之间,w是伯胺基的数目,x是仲胺基的数目,y是叔胺基的数目,并且1<w+x+y<4,z是所述化合物中醚基的数目并且在1和4之间,并且其中醚基和/或胺基可以是环外和/或环内的。其实例有:四氢糖胺(n=5,u=1,v=0,w=1,x=0,y=0,z=1)等。
25)包含胺和羰基官能团并且通式为CnH2n+2-2u-2v-w-2x-3y-2z(NH2)w(NH)x(N)y(O)z的烃结构,其中n=1-12,u是所述结构中环的数目并且在0-4之间,v是所述结构中不饱和键的数目并且在0和n之间,w是伯胺基的数目,x是仲胺基的数目,y是叔胺基的数目,并且1<w+x+y<4,z是所述化合物中羰基的数目并且在1和4之间,其中羰基可以醛和/或酮,羰基和/或胺基可以是环外和/或环内的。其实例有:N,N-二乙基甲酰胺(n=5,u=0,v=0,w=0,x=0,y=1,z=1)、(二甲胺)丙酮(n=5,u=0,v=0,w=0,x=0,y=1,z=1)、N-甲基吡咯烷酮(n=5,u=1,v=1,w=0,x=0,y=1,z=1)等。
在图3A-H中和实施例4中举例说明了该技术,使用至少一种相同的有机前体沉积复合层中的牺牲层和成孔剂。在图3A中,提供裸硅晶片,尽管可以在微电子或纳米技术器件制备中的任意阶段制备该硅晶片。第一步骤是如图3B所述的通过PECVD利用至少一种有机前体沉积牺牲层。在该牺牲层顶部沉积硬掩膜,该硬掩膜可以是具有对光刻胶和牺牲层好的刻蚀选择性的任何材料,在图3C中描述使用由DEMS和Limonen沉积的复合薄膜作为硬掩膜。如图3D所示,下一步骤是应用图案转移层,这能通过多种技术获得,例如通过应用、曝光和光刻胶显影或通过纳米压印转移,或根据应用可通过喷墨技术。图3E描述在刻蚀硬掩膜后的结构,例如能通过RIE或湿刻蚀。接下来如图3E所示,通过例如O2RIE将图案转移到牺牲层上,在该步骤中,将图案转移材料(即光刻胶)的刻蚀速度和厚度工程化,使得当牺牲层刻蚀(这限制了可对牺牲层具有负面影响的灰化步骤)时硬掩膜上的图案转移材料被完全除去是有利的。在将牺牲层图案化后,然后如图3G所示利用与沉积牺牲层相同的至少一种有机前体、通过PECVD在该结构顶部沉积PDEMSTM复合层,因此确保牺牲层能够通过多孔OSG层。最后,通过任意技术除去成孔剂和牺牲层,在图3H中描述了热退火技术。
通过SEM测试薄膜的截面以确定通过多孔OSG层除去牺牲有机材料的效果。图9A显示在热退火除去牺牲材料后并在多孔OSG网络中留有“孔道”的SEM照片。
第二种实施方式:选择性地刻蚀的硅的牺牲材料
已知气态的含氟选择性刻蚀气体(例如XeF2或BrF3),将相对于二氧化硅选择性地刻蚀硅,例如参见Lopez等人MicroTotalAnalysisSystems2002,ProceedingsoftheμTAS2002Symposium,6th,Nara,Japan,Nov.3-7,2002(2002),934-936。刻蚀选择性由单晶-多晶-或无定形硅与使用的XeF2、BrF3等间增加的化学活性获得。这种类型制备的一个实例是形成悬臂,通过在硅上沉积多晶硅层为SiO2,然后在多晶硅顶部涂覆第二层SiO2,在图案化和刻蚀顶层SiO2层和多晶硅层后,通过使用XeF2来选择性地刻蚀多晶硅层而形成悬臂。
在本发明的第二实施方式中,含氟的选择性刻蚀气体通过在多晶硅或无定形硅层上形成的多孔硅酸盐或多孔OSG加帽层进行扩散以刻蚀下层的多晶或无定形硅,并刻蚀顶层周围的下面的层而无需对结构进行开口(即无需侧向扩散)。以这种方式,能除去硅层而无需制备通道以用于刻蚀气体的扩散。
能够使用该技术的实例是:在半导体制备中气隙的形成、用于气体或液体输送通道的形成,例如用于通过微毛细管制备的药物输送,或用于先进光纤光缆制备中中空芯的形成。在除去硅前,能够制备多层多孔SiO2或多孔OSG和硅将允许能够制备微毛细管的互连层,微毛细管能以与微芯片中电路相似的方式相互作用,特别是对于芯片上的化学物质。
图4A-I显示了典型的形成孔隙的途径。在该方法中,在氧环境中对硅晶片(图4A)进行热氧化以形成对于阻止选择性的含氟刻蚀气体与硅晶片反应是必要的SiO2层(图4B),如果使用非硅下层,那么该步骤不是必须的。在该SiO2上,能例如使用高温热CVD由硅烷沉积多晶硅层(图4C)。如图4D所述的下一步骤是应用图案转移层,这能通过各种技术例如通过应用、曝光和光刻胶显影而获得,或通过纳米压印转移或依靠所述应用能够通过喷墨技术获得。图4E描述了硅层被刻蚀后的结构,例如能通过RIE或使用例如C12或HBr进行刻蚀。接着,在灰化步骤除去图案转移材料(即光刻胶),在灰化步骤中能使用多种不同方法,即O2活性离子刻蚀步骤、O2下游灰化、还原灰化例如H2或NH3下游灰化,或UV辅助的灰化等。然后如图4G所示,给这些导线涂覆了复合氧化硅或OSG。能利用任意方法形成复合层,例如:使用旋涂器和Meso-ELK产品(AirProductsandChemicals,Inc,Allentown,PA),如美国专利6,365,266;6,592,980;6,818,289和6,942,918所描述的,这些专利在这里通过参考进行引用;或通过PSEMTM方法的PECVD进行沉积(AirProductsandChemicals,Inc,Allentown,PA),如美国专利6,583,048和美国专利6,846,515描述的。然后如图4H所示在退火步骤中除去用于形成多孔氧化硅或多孔OSG的成孔剂,该退火步骤能是任意过程,例如在惰性气氛下的热退火、在氧化气氛下的热退火、在真空的热退火、活性离子例如O2等离子体、在气氛下或还原压力下的UV退火等。然后如图4I所示这些样品在10托和室温下暴露于BeF3一段时间。
用于本发明形成气隙的其它硅选择性刻蚀剂包括:HF、惰性气体卤化物、卤间化合物,例如IF5、BrCl3、IF7和C1F3
BrF3和XeF2在SiO2或OSG上刻蚀硅的选择性依赖于温度,较低的温度导致较高的选择性。
图9B显示将结构暴露于BrF3以除去牺牲硅材料并在多孔OSG网络中留下“通道”后的SEM照片。
不受限于理论,能够制备在适当位置具有硅的整体半导体器件,然后在切割晶片后,将芯片暴露于选择性含氟刻蚀气体以除去硅和选择性的产生气隙,这可在封装过程中提供机械完整性的优点,这对于具有差机械完整性的材料是主要问题。
能够预见,用于制备具有液体或气体通道的器件能将多晶或无定形硅层刻蚀成图案,通过允许多层通道允许在沿晶片的特定位置进行化学物质的混合,能在单芯片上进行分子多步合成。一旦制备希望结构的多孔氧化硅或OSG和多晶或无定形硅层,将器件暴露于选择性的含氟刻蚀气体中以刻蚀硅并留下开口通道。如果需要,在多孔氧化硅或OSG层中进行填充是有利的,以阻止气体或液体通过多孔层从一个通道扩散到另一通道。可进行的一种方式是给孔填充可聚合的有机物,例如能被活化进行聚合以有效填充孔的液体。能使孔中的液体比通道中的材料更少程度挥发的毛细管作用促进该过程。
中空芯光纤光缆的制备是有利的,因为空气(RI=1)和多孔氧化硅或OSG(RI=1.2-1.46)间反射指数(RI’s)的大的差异,与常规实芯光纤光缆相比,这种差异将允许更大的信号密度并降低信号损失。为了制备中空芯光纤光缆,可预见,首先形成多晶或无定形硅的薄丝,然后涂覆复合氧化硅或OSG层,接着在退火步骤中除去成孔剂。然后使用选择性含氟刻蚀气体刻蚀硅芯。然后再给中空芯光纤涂覆另一层以提供机械强度是有利的。
第三实施方式:在极性溶剂中溶解的牺牲材料
也希望使用多孔硅酸盐层和水溶性金属氧化物例如氧化锗(GeO2)以制备结构作为本发明的实施方式。已知GeO2是水溶性氧化物材料,能通过任何技术例如化学气相沉积或PECVD由容易获得的前体材料或由使用预氧化物的旋涂技术然后进行退火步骤沉积GeO2。形成水溶性金属氧化物的前体的实例是例如但不限制于选自四甲基锗烷、锗烷、四甲氧基锗和四乙氧基锗的锗(Ge)基前体;和选自三甲基硼、三甲氧基硼烷、三乙氧基硼烷和乙硼烷的硼(B)基前体。尽管SiO2和OSG薄膜不是水溶性的,但它们允许水通过它们扩散,因此允许例如被多孔硅酸盐或多孔OSG层覆盖的GeO2的溶解。
本发明还打算在水溶性金属氧化层上使用多孔硅酸盐涂层以允许水通过多孔层进行扩散并刻蚀下层的水溶性金属氧化物层而无需刻蚀结构以暴露下层的边缘。
可能使用该技术的实例是:在半导体制备中气隙的形成、用于输送气体或液体例如用于药物输送的通道的形成或用于先进光纤光缆制备中中空芯的形成。
图5A-I显示形成空隙空间的典型途径。在该方法中,给硅晶片涂覆一层水溶性金属氧化物层,例如GeO2(图5A)。在该水溶性金属氧化物层上,能使用例如PECVD方法沉积阻挡层例如SiO2层(图4B),在这种情况下使用阻挡层来在光刻以显影抗蚀剂图案过程中阻止水溶性金属氧化物在氢氧化四甲基铵中的溶解(5C图),如果使用其它图案转移技术例如喷墨或压印技术,那么该层不是必需的。图5D描述刻蚀水溶性金属氧化物后的结构,这能通过例如RIE或使用任何碳氟化合物包括CF4、C4F3/C4F8等进行刻蚀。如图5F所示,下一步骤是在灰化步骤中除去图案转移材料(即光刻胶),在灰化步骤中能使用许多不同的方法,即O2活性离子刻蚀步骤、O2下游灰化、还原灰化例如H2或NH3下游灰化,或UV辅助的灰化等。然后如图5G所示,这些导线涂覆复合氧化硅或OSG。能利用任意方法形成复合层,例如:使用旋涂器和Meso-ELK产品(AirProductsandChemicals,Inc,Allentown,PA),如美国专利6,365,266;6,592,980;6,818,289和6,942,918描述的,这些专利在这里通过参考进行引用;或通过PSEMTM方法中的PECVD进行沉积(AirProductsandChemicals,Inc,Allentown,PA),如美国专利6,583,048和美国专利6,846,515描述的。然后如图4H所示,在退火步骤中除去用于形成多孔氧化硅或多孔OSG的成孔剂,该退火步骤能是任意过程,例如在惰性气氛下的热退火、在氧化气氛下的热退火、在真空的热退火、活性离子例如O2等离子体、在气氛下或还原压力下的UV退火等。然后如图5I中所示,将这些样品在10托和室温下暴露于BeE3一段时间。
图9C显示用水溶解GeO2部分以除去牺牲的水溶性金属氧化物并在多孔OSG网络中留下“通道”的SEM照片。
用于气隙制备时,模式将与使用纯成孔剂作为牺牲层的方法相似,除了在该方法中使用GeO2作为牺牲层外,实际上,能够在气隙制备前制备整个半导体器件,这可在封装中提供机械完整性的优点,这对于具有差机械完整性的材料是主要问题。
用于液体或气体通道,能预见,能将GeO2层刻蚀成图案,通过允许多层通道允许在沿晶片的特定位置上进行化学物质的混合,能在单芯片上进行分子多步合成。一旦制备希望结构的多孔氧化硅或OSG和GeO2层,将器件暴露于水中以刻蚀GeO2并留下开口通道。如果需要,在多孔氧化硅或OSG层中进行填充是有利的,以阻止气体或液体通过多孔层从一个通道扩散到另一通道。可进行的一种方式是给孔填充可聚合的有机物,例如能被活化进行聚合以有效填充孔的液体。通过能使孔中的液体比通道中的材料更少程度挥发的毛细管作用促进该过程。
中空芯光纤光缆的制备是有利的,因为空气(RI=1)和多孔氧化硅或OSG(RI=1.2-1.4)间反射指数(RI)的大的差异,与常规实芯光纤光缆相比,这种差异将允许更大的信号密度并降低信号损失。为了制备中空芯纤维光缆,可预见,首先形成GeO2的薄丝,然后涂覆多孔氧化硅或OSG层,然后使用水溶解硅芯。然后再给中空芯光纤涂覆另一层以提供机械强度是有利的。
能使用B2O3代替GeO2作为水溶性金属氧化物。能使用各种极性溶剂,例如乙醇、乙醚、含有杂原子的分子、酯、酮、醛和这些溶剂的混合物来代替水。
也能够共沉积GeO2和或B2O3连同SiO2和或OSG以通过无机成孔剂的溶解来形成多孔层,以这种方式,能通过在水中溶解GeO2和或B2O3完成器件的制备。
沉积方法
如在前提及的,使用各种不同的方法在至少部分基底上由前体组合物或混合物来沉积牺牲材料和复合层。这些方法可各自使用或组合使用。一些可用于形成有机硅酸盐玻璃的方法的实例包括:热化学气相沉积、等离子增强的化学气相沉积(“PECVD”)、高密度PECVD、光辅助的CVD、等离子-光辅助的(“PPECVD”)、低温化学气相沉积、化学辅助的气相沉积、热丝化学气相沉积(akaiCVD或cat-CVD)、光诱发的化学气相沉积、液体聚合物前体的CVD、由超临界流体的沉积,或传输聚合(“TP”)。在某些优选的实施方式中,在100-425℃、优选200-425℃、更优选200-350℃温度范围内进行沉积。尽管有时这里使用的化学试剂可被描述为“气态”,但应理解为化学试剂可直接以气体输送到反应器中,以蒸发的液体、升华的固体输送和/或通过惰性载气输送到反应器。
在本发明的某些实施方式中,通过等离子辅助的化学气相沉积方法形成牺牲材料和复合材料。简单地说以PECVD方法,将化学试剂流入反应室中例如真空室,并且等离子体能量使化学试剂活化,因此在至少部分基底上形成薄膜。在这些实施方式中,能通过共沉积形成基底的层,或可替换地,通过气态混合物依次沉积,该混合物包括至少一种形成牺牲层的等离子体聚合有机材料和至少一层形成复合层的含有氧化硅的前体例如有机硅烷或有机硅氧烷。在某些实施方式中,应用于试剂的等离子体能量可以在0.02-7瓦特/cm2、更优选在0.3-3瓦特/cm2范围。每种试剂的流速可以在10-5000sccm范围。本发明PECVD过程沉积中的真空室中压力可在0.01-600托范围、优选在1-10托的范围。然而应理解的是,工艺参数例如等离子体能量、流速和压力可以依靠多种因素例如基底表面积、在PECVD过程中使用的设备等进行变化。
除了一种或多种化学试剂外,能在沉积反应前、过程中和/或后在真空室中充入附加材料。这种材料包括例如惰性气体(例如,He、Ar、N2、Kr、Xe、等,可用于较少挥发的前体的载气和/或能促进所沉积材料的固化和提供更稳定的最终薄膜)和活性物质,例如气态或液体有机物、NH3、H2、CO2、CO、O2或N2O。CO2是优选的载气。
对气态试剂应用能量以促使气体反应和在基底上形成薄膜。能通过例如热、热丝、等离子体、脉冲等离子体、螺旋(helicon)等离子、高密度等离子体、感应耦合等离子和远程等离子方法提供这种能量。能使用二级射频频率源调整基底表面上的等离子体特性。优选地,通过等离子体增强的化学气相沉积形成薄膜。特别优选的在13.56MHz频率产生电容耦合等离子。
基于基底的表面积,等离子体功率优选0.02-7瓦特/cm2、更优选0.3-3瓦特/cm2。使用具有低电离能以降低等离子体中电子温度的载气是有利的,这又将引起OSG前体中较少的碎裂。这种类型的低电离气体的实例包括CO2、NH3、CO、CH4、Ar、Xe和Kr。
对于每单个200mm晶片,每种气态试剂的流速优选为10-5000sccm,更优选为30-1000sccm。选择各自的速率以提供薄膜中希望的结构形成体和气孔形成体的量。实际需要的流速可依据晶片尺寸和腔室的构造,并不限制于200mm晶片或单个晶片腔室。在某些实施方式中,可以以至少50nm/分钟的沉积速度沉积薄膜。
在沉积过程中,真空室的压力优选为0.01-600托,更优选为1-15托。
薄膜优选被沉积到0.002-10微米厚度,尽管厚度能根据需要进行变化。在没有图案化的表面上沉积的覆盖薄膜具有优异的均匀性,除去适当的边缘以外,其中例如基底5mm最外边缘不包括在均匀性的统计计算中,在横跨基底的1个标准偏差厚度上,其厚度变化小于2%。
如同上面描述的气相沉积方法,在某些实施方式中能够够使用旋涂技术应用复合薄膜,例如AirProductandChemicalsMeso-ELKTM薄膜,例如根据美国专利7,122,880沉积的薄膜。
通常利用旋涂技术使用混合物形成这些薄膜。混合物通常包括至少一种氧化硅源和至少一种成孔剂。混合物还可包括其它组分,例如但不限于水、溶剂、催化剂和/或离子添加剂。
如前面描述的,混合物包括至少一种氧化硅源。这里使用的“氧化硅源”是具有硅(Si)和氧(O)的化合物,并且可能附加取代基例如但不限制为其它元素例如H、B、C、P或卤化物原子和有机基团例如烷基基团或芳基基团。这里使用的术语“烷基”包括直链、支链或环状烷基基团,含有1-24碳原子,优选1-12碳原子和更优选1-5碳原子。该术语也应用于含有其它基团的烷基部分,例如卤代烷基、芳烷基。术语“烷基”也应用于被取代的烷基部分,例如用羰基官能团取代的烷基部分。这里使用的术语“芳基”是指具有芳族特征的6-12碳环。术语“芳基”也应用于被取代的芳基部分。氧化硅源可包括具有多个Si-O键的材料,但也能包括Si-O-Si桥、Si-R-Si桥、Si-C键、Si-H键、Si-F键或C-H键。优选至少一个氧化硅源赋予了介电材料中最小量的Si-OH键。
用于形成本发明薄膜的混合物还包括成孔剂。这里使用的“成孔剂”是用于在所得薄膜中产生空隙体积的试剂。用于本发明复合材料的适合成孔剂包括不稳定的有机基团、溶剂、可分解的聚合物、表面活性剂、枝状体(dendrimers)、高度枝化的聚合物、聚氧化烯化合物、有机大分子或其组合。适合的成孔剂的另外实例包括已经转让给本发明受让人的待审专利申请代理人案号06274P2中描述的成孔剂。
在本发明的某些实施方式中,成孔剂可包括不稳定的有机基团。当在反应混合物中具有一些不稳定的有机基团时,不稳定的有机基团可含有充分的氧并在固化步骤中转变为气态产物。含有不稳定有机基团的化合物的一些实例包括在美国专利号6,171,945中公开的化合物,该专利在这里通过参考进行全部引用。
在本发明的一些实施方式中,成孔剂可以是溶剂。在这方面,该溶剂通常存在于与基质材料的至少一部分发生交联的过程中。典型的用来帮助气孔形成的溶剂具有相对较高的沸点,即高于175℃或高于200℃。适于在本发明混合物中用于成孔剂的溶剂包括例如在美国专利号6,231,989中提供的那些溶剂。
在某些实施方式中,成孔剂可以是小分子,例如在参考文献Zheng等人“SynthsisofMesoporousSilicaMaterialswithHydroxyaceticAcidDerivativesasTemplatesviaaSol-GelProcess”,J.Inorg.Organomet.Polymers,1,103-113(2000)中描述的那些。
成孔剂也可以是可分解的聚合物。可分解的聚合物可以是可辐射分解的,或者更优选是可热分解的。除非有相反的明确表述,这里所用的术语“聚合物”也包括术语低聚物和/或共聚物。可辐射分解的聚合物是在暴露于例如紫外线、X-射线、电子束等辐射时分解的聚合物。可热分解的聚合物在接近氧化硅源材料缩合温度的温度下进行热分解并且在至少一部分发生交联的过程中存在。这样的聚合物是可以培育玻璃固化反应的模板、可以控制和确定气孔的尺寸和可以在加工过程的适合时间分解并从基质中扩散出来的那些聚合物。这些聚合物的实例包括具有三维结构的聚合物,例如但不限于,嵌段共聚物、即二嵌段、三嵌段和多嵌段共聚物;星形嵌段共聚物;放射状二嵌段共聚物;接枝二嵌段共聚物;共接枝共聚物;枝形接枝共聚物;递变嵌段共聚物;和这些构造的组合。可分解聚合物的其它实例见于美国专利号6,204,202中,该专利通过参考全部进行引用。
成孔剂可以是高度支化的或枝状的聚合物。高度支化和枝状的聚合物通常具有低的溶液和熔体粘度,由于表面官能团而具有高化学反应性,并且即使在较高分子量中也具有提高的溶解度。适合的可分解的高度支化聚合物和枝状聚合物的一些非限制性实例在“ComprehensivePolymerScience”,2ndSupplement,Aggarwal,pp.71-132(1996)中提供,通过参考全部进行引用。
在形成薄膜的混合物中的成孔剂也可包括聚氧化烯化合物,例如聚氧化烯非离子表面活性剂、聚氧化烯聚合物、聚氧化烯共聚物、聚氧化烯低聚物和它们的组合。其中一个实例是包括C2-C6烷基部分的聚氧化烯,例如聚氧化乙烯、聚氧化丙烯和它们的共聚物。
本发明的成孔剂也可以包括表面活性剂。对于其中通过加入表面活性剂(所述表面活性剂随后被除去)而引入孔的氧化硅溶胶-凝胶基薄膜改变表面活性剂的量可以改变孔隙率。典型的表面活性剂表现出两亲性质,意味着它们同时是亲水的和疏水的。两亲性表面活性具有对水有强亲和性的亲水头基和长的疏水尾部,该疏水尾部是亲有机的并且排斥水。表面活性剂可以是阴离子的、阳离子的、非离子的或两性的。表面活性剂的进一步分类包括硅氧烷表面活性剂、聚(氧化烯)表面活性剂和氟化学品表面活性剂。
在其中通过旋涂方法形成薄膜的实施方式中,所述混合物包括,除其它外,至少一种氧化硅源、成孔剂、催化剂、离子添加剂和水。在某些优选的实施方式中,混合物还包括溶剂和表面活性剂。总之,在基底上分散混合物和蒸发溶剂和水能形成薄膜。通常通过将涂覆的基底固化到一个或多个温度并且持续足够的时间而除去表面活性剂和剩余的溶剂和水以产生复合物薄膜。
然后进一步对涂覆的基底进行加热或固化到以形成多孔SiO2或OSG薄膜。具体的温度和持续的时间将依赖于混合物的组分、基底和希望的孔体积进行变化。在某些实施方式中,在两个或多个温度下而不是受控的升温或热渗透下进行固化步骤。典型的低于300℃的第一温度可以从混合物中除去水和/或溶剂并还发生交联反应。第二温度可以除去成孔剂,并基本上但不必完全使材料交联。
有机成孔剂和牺牲材料的除去
通过能包括热退火、化学处理、原位或间接等离子体处理、电子束处理、光固化和/或微波的固化步骤来除去有机成孔剂和牺牲材料。也可使用其它原位或沉积后处理以提高剩余多孔SiO2或多孔OSG的材料性能,例如硬度、稳定性(相对于收缩、暴露于空气中、刻蚀、湿刻蚀等)、整体性、一致性以及粘附性。所述处理可使用除去成孔剂的相同或不同的手段在除去成孔剂之前、期间和/或之后应用于所述薄膜。因此在这里使用的术语“”后处理”指的是:利用能量(例如加热、等离子体、光量子、电子、微波等)或化学物质对薄膜的处理,以除去成孔剂、稳定薄膜和/或提高材料性能。
后处理的条件能进行明显变化。例如,能在高压或在真空环境下进行后处理。
在下面条件下进行退火。
环境可为惰性的(例如氮气、CO2、稀有气体(He、Ar、Ne、Kr、Xe)等)、氧化性的(例如氧气、空气、稀氧环境、富氧环境、臭氧、一氧化二氮等)或还原性的(稀或浓氢、烃(饱和的、不饱和的和直链或支链的、芳香烃)等)。压力优选为约1-约1000托。然而,对于热退火和任何其它的后处理方式,真空环境也是可能的。温度优选为200-500℃,升温速率为0.1-100℃/分钟。总的退火时间优选为0.01分钟至12小时。
在下面条件下进行等离子体处理以选择性地除去不稳定基团和可能对OSG薄膜进行化学物质改性。
环境可为惰性的(例如氮气、CO2、稀有气体(He、Ar、Ne、Kr、Xe)等)、氧化性的(例如氧气、空气、稀氧环境、富氧环境、臭氧、一氧化二氮等)或还原性的(稀或浓氢、烃(饱和的、不饱和的和直链或支链的、芳香烃)等)。等离子体的功率优选为0-5000W。温度优选为室温到500℃。压力优选为10毫托至大气压力。总的固化时间优选为0.01分钟至12小时。
在下面条件下通过暴露于UV而除去成孔剂和牺牲有机材料。
环境可为惰性的(例如氮气、CO2、稀有气体(He、Ar、Ne、Kr、Xe)等)、氧化性的(例如氧气、空气、稀氧环境、富氧环境、臭氧、一氧化二氮等)或还原性的(稀或浓氢、烃(饱和的、不饱和的和直链或支链的、芳香烃)等)。温度优选为室温到500℃。功率优选为0-5000W。波长优选为IR、可见光、UV或远UV(波长<200nm)。总的固化时间优选为0.01分钟至12小时。
在下面条件下通过暴露于微波而除去成孔剂和牺牲有机材料。
环境可为惰性的(例如氮气、CO2、稀有气体(He、Ar、Ne、Kr、Xe)等)、氧化性的(例如氧气、空气、稀氧环境、富氧环境、臭氧、一氧化二氮等)或还原性的(稀或浓氢、烃(饱和的、不饱和的和直链或支链的、芳香烃)等)。温度优选为室温到500℃。功率和波长可以变化,并且可以被调至特定值。总的固化时间优选为0.01分钟至12小时。
在下面条件下通过暴露于电子束而除去成孔剂和牺牲有机材料。
环境可为真空、惰性(例如氮气、CO2、稀有气体(He、Ar、Ne、Kr、Xe)等)、氧化性的(例如氧气、空气、稀氧环境、富氧环境、臭氧、一氧化二氮等)或还原性的(稀或浓氢、烃(饱和的、不饱和的和直链或支链的、芳香烃)等)。温度优选为室温到500℃。电子密度和能量可以被改变并可被调至特定值。总的固化时间优选为0.001分钟至12小时,并且可以是连续或脉冲的。电子束的一般性使用的其它指导可以参考出版物:S.Chattoradhyay等人,JoumalofMaterialsScience,36(2001)4323-4330;G.Koster等人,ProceedingsofHTC,June3-5,2002,SF,CA;以及美国专利号6,207,555B1、6,204,201B1和6,132,814A1。利用电子束处理可以除去成孔剂并通过基质中键的形成来提高薄膜的机械性能。
本发明的薄膜也可含有呈无机氟形式的氟(例如Si-F)。当存在时,氟优选含量为0.5-7原子%。
所述薄膜可与化学机械平面化(CMP)和各向同性刻蚀相容,并且能够粘附在各种材料上,例如粘附硅、SiO2、Si3N4、OSG、FSG、碳化硅、氢化碳化硅、氮化硅、氢化氮化硅、碳氮化硅、氢化碳氮化硅、氮化硼、防反射涂层、光刻胶、有机聚合物、多孔有机和无机材料、例如铜和铝的金属、以及例如但不限于TiN、Ti(C)N、TaN、Ta(C)N、Ta、W、WN或W(C)N的扩散阻挡层上。薄膜优选能够粘附在至少一种前述材料上,并足以通过常规的拉力试验,如ASTMD3359-95a带拉力试验。如果没有可察觉的薄膜除去,那么就认为试样通过了试验。
能以能够通过CVD沉积的各种形式提供本发明的产品,例如涂层、多层构件、无需平或薄的其它类型物体、不需用于集成电路的各种物品。优选基底是半导体。
除了用于气隙结构牺牲材料的本发明材料外,本发明包括制备产品的方法、使用产品的方法,使用用于制备产品的化合物和复合物的方法
图6A-G显示了说明用于形成本发明半导体基底的方法步骤的截面图。图6A显示在牺牲材料(30)层上的多孔SiO2或OSG和成孔剂的层(40)。这些层下面是已经制备的气隙互连的层级,该层级包括在基底(50)上的至少一空隙空间(10)、多孔氧化硅或OSG层(20)、金属化层(60)和铜金属(70)。在图6B中,由至少成孔剂和氧化硅或OSG构成的顶层(40)优选使用光刻技术进行刻蚀。如图6C所示,在顶层(40)图案化后,层级能使用标准光刻技术刻蚀出牺牲层(30)。然后如图6D所示沉积包括至少一种Ta、TaN、Ru、Ti、TiN、TiSiN的铜阻挡层(60)。然后如图6E通过例如电镀或化学镀沉积铜(70),接着通过铜和阻挡层的CMP形成二维结构图6F。最后如图6G所示除去成孔剂和牺牲层。
如图7A-7K所示的单嵌入式方法允许在金属线间形成牺牲层。在该方法中,分别沉积和刻蚀每一层。首先在图7A和7B中沉积和刻蚀牺牲层(30)。然后如图7C所示沉积包括至少一种Ta、TaN、Ru、Ti、TiN、TiSiN的铜阻挡层(60)。然后如图7D所示,通过例如电镀或化学镀沉积铜(70),接着通过铜和阻挡层的CMP形成二维结构图7E。然后沉积(图7F)并刻蚀(图7G)具有成孔剂(40)的复合氧化硅或OSG。然后如图7H所示沉积包括至少一种Ta、TaN、Ru、Ti、TiN、TiSiN的铜阻挡层(60)。然后如图7I通过例如电镀或化学镀沉积铜(70),接着通过铜和阻挡层的CMP形成二维结构图7J。最后如图7K所示除去成孔剂和牺牲层。
图8A-I示意性地显示如何通过双层镶嵌方法在金属线间形成气隙。最初,如图8A所示在基底50上沉积具有成孔剂(40)的复合氧化硅或OSG层。然后如图8B所示除去成孔剂以产生多孔氧化硅或OSG层(20)。然后如图8C所示在多孔层(20)上沉积牺牲层(30)。然后使用光刻技术刻蚀牺牲层和多孔层(图8D-E),在该步骤中必须使用硬掩膜以提高在牺牲层和光刻胶间的刻蚀和灰化效率,特别是如果牺牲层是有机材料。然后如图8F所示沉积包括至少一种Ta、TaN、Ru、Ti、TiN、TiSiN的铜阻挡层(60)。然后如图8G通过例如电镀或化学镀沉积铜(70),接着通过铜和阻挡层的CMP形成二维结构图8H。然后在图8I顶层上沉积具有成孔剂(40)的氧化硅或OSG的复合层。
前面的描述旨在示例性地进行描述,可需要附加的步骤和或层以完成所描述的完整方案。也可在某些实施方式中包括层例如刻蚀停止层、防反射涂层、SiO2硬掩膜层、TiN或其它金属硬掩膜、导线、阻挡层、Cu扩散阻挡层、金属晶种层、金属粘附层、碳硬掩膜等以帮助描述和制备所述结构是有利的。用于通孔优先中的沟槽优先(trech-firstofviafirst)双层镶嵌刻蚀等的其它实例还包括但不限于硬掩膜层、刻蚀停止阻挡层、粘附层、粘附促进层、应力阻挡层、刻蚀后处理、修复化学物、牺牲层。
具体实施方式
将参考下面实施例更为详细的说明本发明,但应理解为本发明并不限制于此。
实施例
通过等离子体增强的CVD方法使用AppliedMaterialsPrecision-5000系统在200mm真空室中形成实施例薄膜,该真空室配备有用于各种不同化学前体和过程条件的AdvanceEnergy200射频发生器。CVD过程通常包括下面基本步骤:最初气流的充入和稳定、沉积、在除去晶片前腔室的吹扫/抽空。在SCIFilmtek2000反射仪上测量每层薄膜的厚度和反射指数。使用标准RIE刻蚀剂在AMAT平台上进行RIE刻蚀。使用KarlSussMA6接触式光刻机在365nm处进行光刻,使用标准TMAH显影方法进行显影。在结构RIE刻蚀后,剩余光刻胶在O2下游灰化过程中被除去。
当应用时,在装配有4英寸直径吹扫石英管并且氮流速为2-4slpm的AppliedTestSystems,Inc.3210系列管式炉中进行热沉积后处理或退火。从25到425℃的升温速率为13℃/分钟。在425℃,将薄膜进行热渗透4小时。在从炉中取出前允许薄膜冷却到低于100℃。
实施例1-3
使用有机前体的牺牲层的沉积
通过这里描述的等离子增强的CVD方法在基底表面上沉积三种示例性的牺牲层。表1中提供三种示例性的牺牲层的沉积条件。
表1-各种有机前体的沉积参数
实施例4
具有DEMS/Limo复合层的Limo牺牲层的沉积
使用下面参数沉积牺牲层:800mg/分钟Limo液体流速、200sccmCO2、载气流速、350毫英寸喷淋头/晶片间距、250℃晶片温度、8托腔室压力、360秒以产生具有下面性质的薄膜:薄膜厚度289nm和反射指数1.568。
使用下面参数在Limo牺牲层顶部上沉积复合层:800mg/分钟液体流速(20/80DEMS/Limo摩尔混合物)、220sccmCO2载气流速、350毫英寸喷淋头/晶片间距、250℃晶片温度、8托腔室压力、60秒以产生具有下面性质的薄膜:薄膜厚度114nm和反射指数1.439。
然后给该晶片涂覆500nmSchipley1805抗蚀剂并使用KarlSussMA6接触式光刻机在365nm、12mW/cm2曝光1秒进行显影。然后使用TMAH显影图案。
然后使用下面顺序刻蚀该图案:首先6秒高密度O2等离子体以清除图案,接着使用C4F4/O2/Ar刻蚀配比进行60秒刻蚀,刻蚀速率140nm/分钟以清除硬掩膜,接着第二O2等离子体24秒以刻蚀除去在硬掩膜上的光刻胶并刻蚀牺牲1,8-萜二烯层。然后使用下面参数沉积加帽的复合层:800mg/分钟液体流速(20/80DEMS/Limo摩尔混合物)、220sccmCO2载气流速、350毫英寸喷淋头/晶片间距250℃晶片夹温度、8托腔室压力、180秒以产生具有下面性能的薄膜:薄膜厚度362nm和反射指数1.439。
然后对该结构进行热退火以除去成孔剂和牺牲层以形成气隙。在图9A中显示热退火后的该叠层的扫描电镜(SEM)照片。
实施例5
使用选择性刻蚀硅特征的气隙制备,首先进行裸硅晶片的热氧化,以提供XeF2/BrF3选择性刻蚀的刻蚀停止。在热氧化物的顶部,通过高温(900℃)CVD方法使用硅烷生长0.5微米厚的多晶硅层,也能使用其它类型的硅例如无定形硅。使用标准光刻技术对多晶硅和BCl3基的RIE方法进行图案化。在刻蚀多晶硅后,在O2等离子体中灰化光刻胶,然后使用AirProducts’proprietaryMeso-ELKTM旋涂多孔OSG方法给图案化的多晶硅结构涂覆复合OSG层。然后将叠层在400℃空气中煅烧以除去成孔剂。将样品在室温下暴露于10托的BeE31小时。BrF3通过Meso-ELK结构进行扩散并且选择性地刻蚀硅结构。图9B显示在使用BrF3选择性地刻蚀硅层后形成气隙的SEM照片,XeF2也能用于该制备过程。
实施例6
使用水溶性牺牲层的气隙制备,首先在硅晶片上沉积1.2微米GeO2层。我们使用PECVD由Me4Ge和O2沉积GeO2,尽管也能使用其它锗基前体例如Ge(OEt)4。使用Me4Si和O2在GeO2上沉积SiO2层作为在光刻胶TMAH显影过程中的水分阻挡层,也能使用多种不同的SiO2或OSG前体作为水分阻挡层。一旦光刻胶进行图案化和显影,在CF4/Ar/O2RIE过程中对SiO2/GeO2叠层进行刻蚀。在O2等离子体灰化过程除去光刻胶后,能保留SiO2帽或在定时的CF4/Ar/O2RIE过程中除去,在该实施例中,在定时的刻蚀中除去帽。然后使用AirProducts’proprietaryMeso-ELKTM旋涂多孔OSG方法给图案化的GeO2涂覆复合OSG层。然后在400℃空气中煅烧复合层以除去成孔剂。在涂覆多孔OSG后,将薄膜在水中浸渍10分钟,期间GeO2溶解。在图9C中显示多孔OSG层下部分溶解的GeO2牺牲层的SEM截面。也能使用B2O3或其它水溶性金属氧化物材料作为牺牲层使用相同的方法进行该过程。
本发明的技术使用三种不同的牺牲材料成功地制备了气隙结构。第一种材料是与在多孔OSG层中产生孔的不稳定材料相同的不稳定有机牺牲材料,这种一致性允许产生结构。通过使用相同的牺牲材料和成孔剂,对于所述两层能使用相同的PECVD腔室,能使用一个退火步骤以产生气隙和产生多孔OSG,通过多孔OSG,由气隙产生的副产物进行扩散。该方法的另一优点是PECVD沉积过程能在>250℃的温度下进行,相对于旋涂聚合物,这允许更大尺寸稳定的牺牲材料。
第二牺牲材料是水溶性的GeO2结构。使用无机材料作为牺牲材料提供了更高的结构强度,在制备结构中允许使用更为标准的刻蚀过程。在气隙制备中使用GeO2或B2O3的一个困难是对湿过程,例如:显影、剥离、Cu沉积和CMP的选择性。如果能通过硬掩膜或Cu阻挡层对GeO2/B2O3结构相对于这些过程进行保护,那么因为热强度和机械强度,GeO2/B2O3将提供独特的优点。
最后成功的牺牲材料是硅。能使用硅,因为XeF2或BrF3相对于SiO2或OSG对硅进行热刻蚀的选择性特性,能够沉积任何数量的多孔OSG层,例如使用PECVDTM或Meso-ELK多孔介电材料。再次,使用无机牺牲层在制备中提供结构更高的机械强度并允许更标准的各向同性刻蚀过程。
尽管参考具体的实施例更为详细的描述了本发明,但对本领域技术人员而言能在没有脱落其实质和范围内进行各种变化和改变是显而易见的。

Claims (31)

1.形成气隙的方法,该方法包括:
(a)提供基底;
(b)沉积具有金属前体或硼基前体的极性溶剂可溶的金属氧化物或B2O3牺牲层;
(c)沉积具有成孔剂和至少一种含有氧化硅的前体或有机硅酸盐玻璃前体的复合层;
(d)对具有牺牲层和复合层的基底应用能量以除去成孔剂而形成多孔层;和
(e)使具有牺牲层和多孔层的基底与能够通过多孔层扩散的极性溶剂接触,以除去牺牲层而形成气隙。
2.权利要求1中所述的形成气隙的方法,其中通过选自气相化学沉积、旋涂、浸渍涂覆和喷雾涂覆的方法在(b)中进行极性溶剂可溶的牺牲层的沉积和在(d)中进行复合层的沉积。
3.权利要求1中所述的形成气隙的方法,其中极性溶剂可溶的牺牲层是GeO2层或B2O3层。
4.权利要求3中所述的形成气隙的方法,其中用锗基前体沉积GeO2层,所述锗基前体选自四甲基锗烷、锗烷、四甲氧基锗和四乙氧基锗;而用硼基前体沉积B2O3层,所述硼基前体选自三甲基硼、三甲氧基硼烷、三乙氧基硼烷和乙硼烷。
5.权利要求1中所述的形成气隙的方法,其中步骤(e)中的极性溶剂选自醇、醚、酯、酮、醛和这些溶剂的混合物。
6.权利要求1中所述的形成气隙的方法,其中步骤(e)中的极性溶剂是水。
7.权利要求1中所述的形成气隙的方法,在极性溶剂中另外添加表面活性剂以促进极性溶剂通过多孔介电层的扩散。
8.权利要求1中所述的形成气隙的方法,还包括将选自牺牲层、复合层、多孔层、以及牺牲层与复合层或多孔层的组合的层图案化。
9.权利要求1中所述的形成气隙的方法,其中在步骤(d)中应用的能量包括选自下面的至少一种:α-粒子、β-粒子、γ-射线、x-射线、高能电子、电子束、紫外光、可见光、红外光、微波频率、射频、热能、等离子体及其组合。
10.权利要求1中所述的形成气隙的方法,其中在步骤(d)中应用的能量是紫外光。
11.权利要求10中所述的形成气隙的方法,其中当紫外光功率为0-5000W;气氛条件选自惰性的、氧化的和还原的气氛条件;温度为从室温到500℃以及暴露时间为0.01分钟到12小时时应用步骤(d)。
12.权利要求1中所述的形成气隙的方法,其中在步骤(d)中应用的能量是热能。
13.权利要求12中所述的形成气隙的方法,其中当压力为从10毫托到大气压力;气氛条件选自惰性的、氧化的和还原的气氛条件;温度为从室温到500℃以及暴露时间为0.01分钟到12小时时应用步骤(d)。
14.权利要求1中所述的形成气隙的方法,还包括填充多孔层中的孔。
15.权利要求14中所述的形成气隙的方法,通过用能够被活化聚合的可聚合的有机物填充所述的孔来进行所述的填充过程。
16.权利要求1中所述的形成气隙的方法,还包括重复步骤(a)-(e)至少一次以制备多层结构。
17.权利要求16中所述的形成气隙的方法,还包括填充多孔层中的孔。
18.权利要求17中所述的形成气隙的方法,通过用能够被活化聚合的可聚合的有机物填充所述的孔来进行所述的填充过程。
19.形成气隙的方法,方法包括:
(a)提供基底;
(b)沉积具有金属前体或硼基前体的极性溶剂可溶的金属氧化物或B2O3牺牲层;
(c)沉积具有成孔剂和至少一种含有氧化硅的前体或有机硅酸盐玻璃前体的复合层,所述成孔剂是步骤(b)中极性溶剂可溶的金属氧化物或B2O3
(d)使具有牺牲层和复合层的基底与极性溶剂接触,以除去成孔剂而形成多孔层和除去牺牲层而形成气隙。
20.权利要求19中所述的形成气隙的方法,其中通过选自气相化学沉积、旋涂、浸渍涂覆和喷雾涂覆的方法在(b)中进行极性溶剂可溶的牺牲层的沉积和在(d)中进行复合层的沉积。
21.权利要求19中所述的形成气隙的方法,其中极性溶剂可溶的牺牲层是GeO2层或B2O3层。
22.权利要求19中所述的形成气隙的方法,其中用锗基前体沉积GeO2层,所述锗基前体选自四甲基锗烷、锗烷、四甲氧基锗和四乙氧基锗;而用硼基前体沉积B2O3层,所述硼基前体选自三甲基硼、三甲氧基硼烷、三乙氧基硼烷和乙硼烷。
23.权利要求19中所述的形成气隙的方法,其中步骤(d)中的极性溶剂选自醇、醚、酯、酮、醛和这些溶剂的混合物。
24.权利要求19中所述的形成气隙的方法,其中步骤(d)中的极性溶剂是水。
25.权利要求19中所述的形成气隙的方法,在极性溶剂中另外添加表面活性剂以促进极性溶剂通过多孔介电层的扩散。
26.权利要求19中所述的形成气隙的方法,还包括将选自牺牲层、复合层、多孔层、以及牺牲层与复合层或多孔层的组合的层图案化。
27.权利要求19中所述的形成气隙的方法,还包括填充多孔层中的孔。
28.权利要求27中所述的形成气隙的方法,通过用能够被活化聚合的可聚合的有机物填充所述的孔来进行所述的填充过程。
29.权利要求19中所述的形成气隙的方法,还包括重复步骤(a)-(d)至少一次以制备多层结构。
30.权利要求29中所述的形成气隙的方法,还包括填充多孔层中的孔。
31.权利要求30中所述的形成气隙的方法,通过用能够被活化聚合的可聚合的有机物填充所述的孔来进行所述的填充过程。
CN201210022734.0A 2006-04-18 2007-04-18 形成受控的空隙的材料和方法 Active CN102569179B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US79279306P 2006-04-18 2006-04-18
US60/792793 2006-04-18
US60/792,793 2006-04-18
US11/693,707 2007-03-29
US11/693,707 US8399349B2 (en) 2006-04-18 2007-03-29 Materials and methods of forming controlled void
US11/693707 2007-03-29

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN2007101035756A Division CN101060095B (zh) 2006-04-18 2007-04-18 形成受控的空隙的材料和方法

Publications (2)

Publication Number Publication Date
CN102569179A CN102569179A (zh) 2012-07-11
CN102569179B true CN102569179B (zh) 2016-08-03

Family

ID=38322643

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201210022734.0A Active CN102569179B (zh) 2006-04-18 2007-04-18 形成受控的空隙的材料和方法
CN2007101035756A Active CN101060095B (zh) 2006-04-18 2007-04-18 形成受控的空隙的材料和方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN2007101035756A Active CN101060095B (zh) 2006-04-18 2007-04-18 形成受控的空隙的材料和方法

Country Status (6)

Country Link
US (3) US8399349B2 (zh)
EP (1) EP1848032B1 (zh)
JP (2) JP4838190B2 (zh)
KR (1) KR100859178B1 (zh)
CN (2) CN102569179B (zh)
TW (1) TWI395268B (zh)

Families Citing this family (325)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7943412B2 (en) * 2001-12-10 2011-05-17 International Business Machines Corporation Low temperature Bi-CMOS compatible process for MEMS RF resonators and filters
US6949456B2 (en) * 2002-10-31 2005-09-27 Asm Japan K.K. Method for manufacturing semiconductor device having porous structure with air-gaps
US8399349B2 (en) * 2006-04-18 2013-03-19 Air Products And Chemicals, Inc. Materials and methods of forming controlled void
JP2008258488A (ja) * 2007-04-06 2008-10-23 Oki Electric Ind Co Ltd 半導体装置の製造方法
KR100891146B1 (ko) * 2007-07-30 2009-04-06 한국과학기술원 계층적 기공구조물 및 계층적 기공구조물을 이용한초소수성 및 초친수성 표면 제조방법
FR2926397B1 (fr) * 2008-01-16 2010-02-12 Commissariat Energie Atomique Procede de fabrication de films dielectriques permeables
FR2926396B1 (fr) * 2008-01-16 2010-03-19 Commissariat Energie Atomique Procede de fabrication de films de carbure de silicium hydrogene amorphe munis de pores traversants et films ainsi obtenus
US8030218B2 (en) * 2008-03-21 2011-10-04 Micron Technology, Inc. Method for selectively modifying spacing between pitch multiplied structures
US8310053B2 (en) * 2008-04-23 2012-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a device with a cavity
FR2931015B1 (fr) * 2008-05-06 2010-08-20 Commissariat Energie Atomique Procede de realisation de cavites d'air dans des microstructures, notamment du type structures d'interconnexions a cavites d'air pour circuit integre
US9790343B2 (en) 2008-06-12 2017-10-17 Avery Dennison Corporation Porous material and method for producing the same
US20090324928A1 (en) * 2008-06-26 2009-12-31 Vijayakumar Ramachandrarao Forming ultra low dielectric constant porous dielectric films and structures formed thereby
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
FR2942465B1 (fr) * 2009-02-25 2011-03-18 Univ Lille Sciences Tech Procede de fabrication de microcanaux sur un support, et support comprenant de tels microcanaux
US7855123B2 (en) * 2009-03-31 2010-12-21 Tokyo Electron Limited Method of integrating an air gap structure with a substrate
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20120119641A1 (en) * 2009-05-14 2012-05-17 Yijian Shi Output efficiency of organic light emitting devices
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2011093883A1 (en) * 2010-01-29 2011-08-04 Hewlett-Packard Development Company, L.P. Multimode vertical-cavity surface-emitting laser arrays
US8642252B2 (en) * 2010-03-10 2014-02-04 International Business Machines Corporation Methods for fabrication of an air gap-containing interconnect structure
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
CN102427053A (zh) * 2011-06-17 2012-04-25 上海华力微电子有限公司 预防超低介电常数薄膜损伤的方法
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
WO2014031565A2 (en) 2012-08-21 2014-02-27 Avery Dennison Corporation Systems and methods for making porous films, fibers, spheres, and other articles
US8833171B2 (en) * 2012-08-23 2014-09-16 Nxp, B.V. Pressure sensor
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
WO2014158351A1 (en) * 2013-03-13 2014-10-02 Applied Materials, Inc. Post treatment for constant reduction with pore generation on low-k dielectric films
CN103641509B (zh) * 2013-12-09 2016-02-10 中国建筑材料科学研究总院 一种反应烧结用多孔碳预制体及其制备方法与应用
US20150206798A1 (en) * 2014-01-17 2015-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect Structure And Method of Forming
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
CN104902678B (zh) * 2014-03-07 2018-02-02 鹏鼎控股(深圳)股份有限公司 柔性印刷电路板及其制作方法
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR20150136387A (ko) * 2014-05-27 2015-12-07 삼성전자주식회사 반도체 소자의 제조 방법
US9607881B2 (en) 2014-06-20 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Insulator void aspect ratio tuning by selective deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
WO2016043481A1 (ko) * 2014-09-15 2016-03-24 한국생산기술연구원 기공 사이즈 조절을 통한 3차원 개방형 네트워크 구조의 금속 또는 금속산화물 다공성 박막의 건식 제조방법 및 이 제조방법으로 제조되는 3차원 개방형 네트워크 구조의 금속 또는 금속산화물 다공성 박막
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9847249B2 (en) * 2014-11-05 2017-12-19 Sandisk Technologies Llc Buried etch stop layer for damascene bit line formation
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10096485B2 (en) 2015-02-19 2018-10-09 Toshiba Memory Corporation Semiconductor device and method of manufacturing the same
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9576894B2 (en) * 2015-06-03 2017-02-21 GlobalFoundries, Inc. Integrated circuits including organic interlayer dielectric layers and methods for fabricating the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9941157B2 (en) * 2015-06-26 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Porogen bonded gap filling material in semiconductor manufacturing
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9842734B2 (en) * 2015-12-21 2017-12-12 Imec Vzw Method of forming a feature of a target material on a substrate
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
ES2808932T3 (es) 2016-01-26 2021-03-02 Dow Global Technologies Llc Composición que comprende harina sin gluten e hidroxipropil metil celulosa
US10224235B2 (en) * 2016-02-05 2019-03-05 Lam Research Corporation Systems and methods for creating airgap seals using atomic layer deposition and high density plasma chemical vapor deposition
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
EP3420588A4 (en) * 2016-02-26 2019-12-04 INTEL Corporation INTERCONNECTIONS BY INTERCONNECTION HOLE IN SUBSTRATE PACKINGS
TWI717346B (zh) * 2016-04-13 2021-02-01 大陸商盛美半導體設備(上海)股份有限公司 阻擋層的去除方法和半導體結構的形成方法
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10581003B2 (en) 2016-09-02 2020-03-03 The Board of Trustee of the Leland Stanford Junior Universtiy Method for lithograghic patterning of sensitive materials
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
WO2018212007A1 (ja) * 2017-05-19 2018-11-22 昭和電工株式会社 電気化学的にゲルマンを製造する方法
CN110612366B (zh) * 2017-05-19 2022-04-05 昭和电工株式会社 电化学制造锗烷的方法
CN110612365B (zh) * 2017-05-19 2022-04-05 昭和电工株式会社 电化学制造锗烷的方法
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
TWI762194B (zh) * 2017-07-18 2022-04-21 美商應用材料股份有限公司 在金屬材料表面上沉積阻擋層的方法
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10361137B2 (en) * 2017-07-31 2019-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
CN107703029B (zh) * 2017-11-07 2019-05-10 大连理工大学 一种结合ct与pvt计算co2盐水扩散系数的方法
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10157773B1 (en) * 2017-11-28 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure having layer with re-entrant profile and method of forming the same
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) * 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
JP7323317B2 (ja) * 2018-03-28 2023-08-08 日東電工株式会社 下塗層形成用組成物、下塗層、及び塗膜
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
CN108550527B (zh) * 2018-05-16 2021-01-22 京东方科技集团股份有限公司 一种图形化方法
JP2019200369A (ja) * 2018-05-18 2019-11-21 ジオマテック株式会社 表面微細構造の形成方法及び表面微細構造を備える物品
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10950426B2 (en) * 2018-08-14 2021-03-16 Taiwan Semiconductor Manufacturing Co., Ltd. Dielectric layer, interconnection structure using the same, and manufacturing method thereof
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US20220075118A1 (en) * 2018-12-21 2022-03-10 Magic Leap, Inc. Air pocket structures for promoting total internal reflection in a waveguide
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
CN109935549B (zh) * 2019-03-21 2021-05-18 长江存储科技有限责任公司 金属互连线的形成方法
CN109971226A (zh) * 2019-03-27 2019-07-05 德淮半导体有限公司 用于在半导体装置的制造过程中形成牺牲材料层的混合物以及半导体装置的制造方法
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210049604A (ko) 2019-10-25 2021-05-06 삼성전자주식회사 집적회로 소자 및 이의 제조 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11466358B2 (en) * 2019-12-13 2022-10-11 Arizona Board Of Regents On Behalf Of Arizona State University Method of forming a porous multilayer material
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6265321B1 (en) * 2000-04-17 2001-07-24 Chartered Semiconductor Manufacturing Ltd. Air bridge process for forming air gaps
CN1388989A (zh) * 2000-09-01 2003-01-01 皇家菲利浦电子有限公司 一种具有多孔绝缘层和空气隙的半导体设备的制造方法
CN1576390A (zh) * 2003-05-29 2005-02-09 气体产品与化学公司 用于低介电薄膜的机械强化添加剂
CN101060095A (zh) * 2006-04-18 2007-10-24 气体产品与化学公司 形成受控的空隙的材料和方法

Family Cites Families (102)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1016888A (en) 1911-01-14 1912-02-06 Walter V Knight Window-screen.
US4564997A (en) * 1981-04-21 1986-01-21 Nippon-Telegraph And Telephone Public Corporation Semiconductor device and manufacturing process thereof
US4889609A (en) * 1988-09-06 1989-12-26 Ovonic Imaging Systems, Inc. Continuous dry etching system
US4885186A (en) * 1988-12-29 1989-12-05 Bell Communications Research, Inc. Method for preparation of silicate glasses of controlled index of refraction
JP2969680B2 (ja) * 1989-09-28 1999-11-02 ソニー株式会社 半導体装置の製造方法
US5324683A (en) * 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
US5470801A (en) * 1993-06-28 1995-11-28 Lsi Logic Corporation Low dielectric constant insulation layer for integrated circuit structure and method of making same
MY113904A (en) * 1995-05-08 2002-06-29 Electron Vision Corp Method for curing spin-on-glass film utilizing electron beam radiation
US5814555A (en) * 1996-06-05 1998-09-29 Advanced Micro Devices, Inc. Interlevel dielectric with air gaps to lessen capacitive coupling
US6376330B1 (en) * 1996-06-05 2002-04-23 Advanced Micro Devices, Inc. Dielectric having an air gap formed between closely spaced interconnect lines
US5981367A (en) * 1996-10-17 1999-11-09 Micron Technology, Inc. Method for making an access transistor
JPH10290036A (ja) * 1997-04-11 1998-10-27 Nissan Motor Co Ltd 表面マイクロマシンの製造方法
JP2962272B2 (ja) * 1997-04-18 1999-10-12 日本電気株式会社 半導体装置の製造方法
US6277728B1 (en) * 1997-06-13 2001-08-21 Micron Technology, Inc. Multilevel interconnect structure with low-k dielectric and method of fabricating the structure
US6281585B1 (en) * 1997-06-30 2001-08-28 Philips Electronics North America Corporation Air gap dielectric in self-aligned via structures
EP0895276A1 (en) 1997-07-31 1999-02-03 STMicroelectronics S.r.l. Process for manufacturing integrated microstructures of single-crystal semiconductor material
US6057226A (en) * 1997-11-25 2000-05-02 Intel Corporation Air gap based low dielectric constant interconnect structure and method of making same
US5949143A (en) * 1998-01-22 1999-09-07 Advanced Micro Devices, Inc. Semiconductor interconnect structure with air gap for reducing intralayer capacitance in metal layers in damascene metalization process
US6297125B1 (en) * 1998-01-23 2001-10-02 Texas Instruments Incorporated Air-bridge integration scheme for reducing interconnect delay
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6159871A (en) * 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6054206A (en) * 1998-06-22 2000-04-25 Novellus Systems, Inc. Chemical vapor deposition of low density silicon dioxide films
US6171945B1 (en) * 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
US6231989B1 (en) 1998-11-20 2001-05-15 Dow Corning Corporation Method of forming coatings
US6380206B1 (en) * 1998-11-23 2002-04-30 Cell Pathways, Inc. Method of inhibiting neoplastic cells with 4,5-diaminopyrimidine derivatives
KR100319743B1 (ko) * 1998-11-24 2002-05-09 오길록 기생 캐패시턴스 및 자장의 간섭을 감소시킬 수 있는 집적소자및 그 제조 방법
US6207555B1 (en) * 1999-03-17 2001-03-27 Electron Vision Corporation Electron beam process during dual damascene processing
US6245655B1 (en) * 1999-04-01 2001-06-12 Cvc Products, Inc. Method for planarized deposition of a material
US6204202B1 (en) * 1999-04-14 2001-03-20 Alliedsignal, Inc. Low dielectric constant porous films
FR2792650B1 (fr) * 1999-04-20 2003-02-28 Oreal Equivalent de peau agee, son procede de preparation et son utilisation
US6130151A (en) * 1999-05-07 2000-10-10 Taiwan Semiconductor Manufacturing Company Method of manufacturing air gap in multilevel interconnection
US6204201B1 (en) * 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
TW471104B (en) * 1999-07-26 2002-01-01 Ibm Low dielectric constant, porous film formed from regularly arrayed nanoparticles
US20020002563A1 (en) * 1999-08-23 2002-01-03 Mary M. Bendik Document management systems and methods
US6211057B1 (en) * 1999-09-03 2001-04-03 Taiwan Semiconductor Manufacturing Company Method for manufacturing arch air gap in multilevel interconnection
US6238987B1 (en) * 1999-09-13 2001-05-29 United Microelectronics Corp. Method to reduce parasitic capacitance
US6214719B1 (en) * 1999-09-30 2001-04-10 Novellus Systems, Inc. Method of implementing air-gap technology for low capacitance ILD in the damascene scheme
US6200900B1 (en) * 1999-10-08 2001-03-13 National Semiconductor Corporation Method for formation of an air gap in an integrated circuit architecture
US7041224B2 (en) * 1999-10-26 2006-05-09 Reflectivity, Inc. Method for vapor phase etching of silicon
US6365266B1 (en) * 1999-12-07 2002-04-02 Air Products And Chemicals, Inc. Mesoporous films having reduced dielectric constants
US6592980B1 (en) * 1999-12-07 2003-07-15 Air Products And Chemicals, Inc. Mesoporous films having reduced dielectric constants
TW439147B (en) * 1999-12-20 2001-06-07 United Microelectronics Corp Manufacturing method to form air gap using hardmask to improve isolation effect
US6815329B2 (en) * 2000-02-08 2004-11-09 International Business Machines Corporation Multilayer interconnect structure containing air gaps and method for making
TW444342B (en) * 2000-02-17 2001-07-01 United Microelectronics Corp Manufacturing method of metal interconnect having inner gap spacer
US6329279B1 (en) * 2000-03-20 2001-12-11 United Microelectronics Corp. Method of fabricating metal interconnect structure having outer air spacer
US6287979B1 (en) * 2000-04-17 2001-09-11 Chartered Semiconductor Manufacturing Ltd. Method for forming an air gap as low dielectric constant material using buckminsterfullerene as a porogen in an air bridge or a sacrificial layer
JP2002009148A (ja) * 2000-06-26 2002-01-11 Asm Japan Kk 半導体装置の製造方法
US6645873B2 (en) 2000-06-21 2003-11-11 Asm Japan K.K. Method for manufacturing a semiconductor device
US20020149085A1 (en) * 2000-07-24 2002-10-17 Taiwan Semiconductor Manufacturing Company Method of manufacturing air gap in multilevel interconnection
JP3706008B2 (ja) * 2000-08-01 2005-10-12 富士通株式会社 プロセッサ間データ通信装置、プロセッサ間データ通信方法およびデータ処理装置
US6413852B1 (en) * 2000-08-31 2002-07-02 International Business Machines Corporation Method of forming multilevel interconnect structure containing air gaps including utilizing both sacrificial and placeholder material
US6743731B1 (en) * 2000-11-17 2004-06-01 Agere Systems Inc. Method for making a radio frequency component and component produced thereby
US6380106B1 (en) * 2000-11-27 2002-04-30 Chartered Semiconductor Manufacturing Inc. Method for fabricating an air gap metallization scheme that reduces inter-metal capacitance of interconnect structures
ITTO20001134A1 (it) * 2000-12-05 2002-06-05 St Microelectronics Srl Processo di fabbricazione di un dispositivo elettronico a semiconduttore con migliorato isolamento tramite air gap.
TW462122B (en) * 2000-12-18 2001-11-01 United Microelectronics Corp Air gap semiconductor structure and the manufacturing method thereof
TW476135B (en) * 2001-01-09 2002-02-11 United Microelectronics Corp Manufacture of semiconductor with air gap
US6583048B2 (en) * 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6406992B1 (en) * 2001-05-29 2002-06-18 United Microelectronics Corp. Fabrication method for a dual damascene structure
US6403461B1 (en) * 2001-07-25 2002-06-11 Chartered Semiconductor Manufacturing Ltd. Method to reduce capacitance between metal lines
US6766817B2 (en) * 2001-07-25 2004-07-27 Tubarc Technologies, Llc Fluid conduction utilizing a reversible unsaturated siphon with tubarc porosity action
WO2003016075A1 (en) * 2001-08-15 2003-02-27 Florida State University Method of manufacturing and design of microreactors, including microanalytical and separation devices
US20030073302A1 (en) * 2001-10-12 2003-04-17 Reflectivity, Inc., A California Corporation Methods for formation of air gap interconnects
US6649531B2 (en) * 2001-11-26 2003-11-18 International Business Machines Corporation Process for forming a damascene structure
US6783862B2 (en) * 2001-12-13 2004-08-31 International Business Machines Corporation Toughness, adhesion and smooth metal lines of porous low k dielectric interconnect structures
US6835616B1 (en) * 2002-01-29 2004-12-28 Cypress Semiconductor Corporation Method of forming a floating metal structure in an integrated circuit
US20070105247A1 (en) * 2002-01-30 2007-05-10 Advanced Micro Devices Method And Apparatus For Detecting The Endpoint Of A Chemical-Mechanical Polishing Operation
CN100480226C (zh) * 2002-02-15 2009-04-22 陶氏环球技术公司 多官能单体和它们在制备交联聚合物和多孔膜中的用途
EP1493183B1 (en) 2002-04-02 2012-12-05 Dow Global Technologies LLC Process for making air gap containing semiconducting devices and resulting semiconducting device
US6846515B2 (en) * 2002-04-17 2005-01-25 Air Products And Chemicals, Inc. Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
US7384471B2 (en) 2002-04-17 2008-06-10 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US6809032B1 (en) * 2002-05-01 2004-10-26 Advanced Micro Devices, Inc. Method and apparatus for detecting the endpoint of a chemical-mechanical polishing operation using optical techniques
JP2003340799A (ja) 2002-05-27 2003-12-02 Sumitomo Electric Ind Ltd 微小駆動部品の製造方法
US7122880B2 (en) * 2002-05-30 2006-10-17 Air Products And Chemicals, Inc. Compositions for preparing low dielectric materials
US7307343B2 (en) * 2002-05-30 2007-12-11 Air Products And Chemicals, Inc. Low dielectric materials and methods for making same
US6780753B2 (en) * 2002-05-31 2004-08-24 Applied Materials Inc. Airgap for semiconductor devices
JP4574145B2 (ja) 2002-09-13 2010-11-04 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. エアギャップ形成
JP2004274020A (ja) * 2002-09-24 2004-09-30 Rohm & Haas Electronic Materials Llc 電子デバイス製造
US6991959B2 (en) * 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
US20040075159A1 (en) * 2002-10-17 2004-04-22 Nantero, Inc. Nanoscopic tunnel
US6809028B2 (en) * 2002-10-29 2004-10-26 Taiwan Semiconductor Manufacturing Company, Ltd. Chemistry for liner removal in a dual damascene process
US6949456B2 (en) * 2002-10-31 2005-09-27 Asm Japan K.K. Method for manufacturing semiconductor device having porous structure with air-gaps
US7449407B2 (en) * 2002-11-15 2008-11-11 United Microelectronics Corporation Air gap for dual damascene applications
US6861332B2 (en) * 2002-11-21 2005-03-01 Intel Corporation Air gap interconnect method
US7294934B2 (en) * 2002-11-21 2007-11-13 Intel Corporation Low-K dielectric structure and method
US6924222B2 (en) * 2002-11-21 2005-08-02 Intel Corporation Formation of interconnect structures by removing sacrificial material with supercritical carbon dioxide
US7238604B2 (en) * 2003-04-24 2007-07-03 Intel Corporation Forming thin hard mask over air gap or porous dielectric
US6713835B1 (en) * 2003-05-22 2004-03-30 International Business Machines Corporation Method for manufacturing a multi-level interconnect structure
US7701060B2 (en) 2003-05-29 2010-04-20 Nec Corporation Wiring structure and method for manufacturing the same
US7645704B2 (en) * 2003-09-17 2010-01-12 Texas Instruments Incorporated Methods and apparatus of etch process control in fabrications of microstructures
US7060638B2 (en) 2004-03-23 2006-06-13 Applied Materials Method of forming low dielectric constant porous films
US7193325B2 (en) * 2004-04-30 2007-03-20 Taiwan Semiconductor Manufacturing Company, Ltd. Reliability improvement of SiOC etch with trimethylsilane gas passivation in Cu damascene interconnects
KR20070009729A (ko) * 2004-05-11 2007-01-18 어플라이드 머티어리얼스, 인코포레이티드 불화탄소 에칭 화학반응에서 H2 첨가를 이용한탄소-도핑-Si 산화물 에칭
US7629272B2 (en) * 2004-06-07 2009-12-08 Axcelis Technologies, Inc. Ultraviolet assisted porogen removal and/or curing processes for forming porous low k dielectrics
JP4679193B2 (ja) * 2005-03-22 2011-04-27 株式会社東芝 半導体装置の製造方法及び半導体装置
US7629225B2 (en) * 2005-06-13 2009-12-08 Infineon Technologies Ag Methods of manufacturing semiconductor devices and structures thereof
US7323410B2 (en) * 2005-08-08 2008-01-29 International Business Machines Corporation Dry etchback of interconnect contacts
KR101015444B1 (ko) * 2005-08-17 2011-02-18 후지쯔 가부시끼가이샤 반도체 장치 및 그 제조 방법
US7253100B2 (en) * 2005-11-17 2007-08-07 International Business Machines Corporation Reducing damage to ulk dielectric during cross-linked polymer removal
US7521377B2 (en) * 2006-01-11 2009-04-21 International Business Machines Corporation SiCOH film preparation using precursors with built-in porogen functionality
US7534696B2 (en) * 2006-05-08 2009-05-19 International Business Machines Corporation Multilayer interconnect structure containing air gaps and method for making
KR100796509B1 (ko) * 2006-07-24 2008-01-21 동부일렉트로닉스 주식회사 반도체 소자의 제조방법

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6265321B1 (en) * 2000-04-17 2001-07-24 Chartered Semiconductor Manufacturing Ltd. Air bridge process for forming air gaps
CN1388989A (zh) * 2000-09-01 2003-01-01 皇家菲利浦电子有限公司 一种具有多孔绝缘层和空气隙的半导体设备的制造方法
CN1576390A (zh) * 2003-05-29 2005-02-09 气体产品与化学公司 用于低介电薄膜的机械强化添加剂
CN101060095A (zh) * 2006-04-18 2007-10-24 气体产品与化学公司 形成受控的空隙的材料和方法

Also Published As

Publication number Publication date
KR20070103318A (ko) 2007-10-23
US9293361B2 (en) 2016-03-22
US8399349B2 (en) 2013-03-19
CN101060095A (zh) 2007-10-24
EP1848032B1 (en) 2017-03-01
US20130157435A1 (en) 2013-06-20
US8846522B2 (en) 2014-09-30
CN102569179A (zh) 2012-07-11
EP1848032A3 (en) 2012-02-29
TW200741865A (en) 2007-11-01
EP1848032A2 (en) 2007-10-24
US20080038934A1 (en) 2008-02-14
JP2007311777A (ja) 2007-11-29
JP4838190B2 (ja) 2011-12-14
JP2011233926A (ja) 2011-11-17
KR100859178B1 (ko) 2008-09-19
CN101060095B (zh) 2012-12-05
TWI395268B (zh) 2013-05-01
JP5485953B2 (ja) 2014-05-07
US20140363950A1 (en) 2014-12-11

Similar Documents

Publication Publication Date Title
CN102569179B (zh) 形成受控的空隙的材料和方法
JP4874614B2 (ja) 多孔質の低誘電率組成物並びにそれを作製及び使用するための方法
CN1839468B (zh) 使用甲硅烷基化剂修复低k介电材料的损伤
CN103319931B (zh) 热退火工艺
US8889332B2 (en) Low-K dielectric functional imprinting materials
JP3137644B2 (ja) 半導体装置を製造するためのスピンオン・ガラス加工技術
CN101138085B (zh) 电介质叠层及其形成方法
JP2008520100A (ja) 多孔性低k誘電体フィルムの紫外線に補助された細孔シーリング
CN1983461A (zh) 低介材料及其制备方法
TW201142945A (en) Ultra low dielectric materials using hybrid precursors containing silicon with organic functional groups by plasma-enhanced chemical vapor deposition
TW201243947A (en) Cyclic carbosilane dielectric films
TW201218315A (en) Air gap formation
CN101021680B (zh) 形成曝光光线阻挡膜的材料、多层互连结构及其制造方法以及半导体器件
US6399210B1 (en) Alkoxyhydridosiloxane resins
TW200404742A (en) Method for modifying porous film, modified porous film and use of same
US20070232046A1 (en) Damascene interconnection having porous low K layer with improved mechanical properties
US20090170342A1 (en) Dielectric nanostructure and method for its manufacture
CN102162091B (zh) 具有优良集成性能的低k前体
CN100552542C (zh) 由旋涂上的陶瓷薄膜组成的构图层
CN102915954A (zh) 低k介电层和成孔剂
Vrtis et al. Routes to the Formation of Air Gap Structures Using PECVD

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20170623

Address after: Arizona, USA

Patentee after: Versum Materials US, LLC

Address before: American Pennsylvania

Patentee before: Air Products and Chemicals, Inc.