JP5485953B2 - 制御された気孔を形成するための材料及び方法 - Google Patents

制御された気孔を形成するための材料及び方法 Download PDF

Info

Publication number
JP5485953B2
JP5485953B2 JP2011168789A JP2011168789A JP5485953B2 JP 5485953 B2 JP5485953 B2 JP 5485953B2 JP 2011168789 A JP2011168789 A JP 2011168789A JP 2011168789 A JP2011168789 A JP 2011168789A JP 5485953 B2 JP5485953 B2 JP 5485953B2
Authority
JP
Japan
Prior art keywords
layer
forming
void
porous
sacrificial
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2011168789A
Other languages
English (en)
Other versions
JP2011233926A (ja
Inventor
ニコラス バーティス レイモンド
ウー ディンジュン
レオナルド オニール マーク
ダニエル ビトナー マーク
ルイーズ ビンセント ジーン
ジョセフ カーワッキ,ジュニア ユージン
スコット ルーカス アーロン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Air Products and Chemicals Inc
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of JP2011233926A publication Critical patent/JP2011233926A/ja
Application granted granted Critical
Publication of JP5485953B2 publication Critical patent/JP5485953B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/42Bombardment with radiation
    • H01L21/423Bombardment with radiation with high-energy radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/764Air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1042Formation and after-treatment of dielectrics the dielectric comprising air gaps
    • H01L2221/1047Formation and after-treatment of dielectrics the dielectric comprising air gaps the air gaps being formed by pores in the dielectric

Description

マイクロエレクトロニクス産業でもナノテクノロジー産業でも、製造の補助剤として作用することができるが、製造が完了したときに容易に除去することができる材料を堆積できることが望ましい。ナノテクノロジーの分野におけるその一例は、シリコンカンチレバー構造体の製造を助けるのに用いられる製造補助剤としてのSiO2の利用である。製造が完了すると、SiO2はHF水溶液中でのエッチングによってシリコンに影響を及ぼすことなく除去することができる。しかしながら、水性溶媒を用いてSiO2を除去すると、例えば、カンチレバーの製造において水を乾燥する際に起こる毛管作用のために小構造体の崩壊が生じる場合がある。また、XeF2によるSiO2に対するシリコンの選択的エッチングを、XeF2が拡散できる薄い有機膜でシリコンを覆うことによりこの製造に関して利用できることが報告されている。
マイクロエレクトロニクス産業における犠牲材料の利用の一例は、有機ケイ酸塩ガラス(OSG)に気孔を導入して多孔質OSGを作製するための犠牲有機材料の使用である。空気の誘電率は1.0であり、一方でOSG材料の誘電率は一般に2.7よりも大きいため、このような気孔を生成することで材料の誘電率が効果的に減少する。マイクロエレクトロニクスの製造における誘電率の下限は、1.0の実効誘電率を示す空隙を取り込むことによって達成される。犠牲有機層の使用は、これを実現するための1つの有望な方法である。
空隙は、半導体基材の内部に種々の異なる方法において形成することができる。デバイスの内部に空隙を形成するための1つの方法は、共形性の低い材料を堆積することによるものであり、隆起した表面の間に空間がある基材の上部にこのような材料が堆積されると、それらの表面の間に空隙又は気孔が形成される。これに関連して、図1に示されるように、一対の相互接続ラインの間の空間が共形性の低い誘電体材料で部分的に満たされると、空隙がその空間内に形成される。共形性の低い誘電体材料は、例えば、化学気相成長又は他の手段によって堆積することができる。しかしながら、このプロセスは、銅の集積において用いられる現在のデュアルダマシンプロセスには適用できない場合がある。例えば、特許文献1を参照されたい。
特許文献2〜8は、犠牲材料がスピンオンガラス又は高いHFエッチ速度を有する化学気相成長酸化物含有材料から構成され、当該犠牲材料が開口を形成した架橋層によって覆われる方法を開示している。次いで、スピンオン材料は、緩衝HFによってこの開口を通して除去される。この技術については図2を参照されたい。
特許文献9〜17は、犠牲材料が1つ又は複数の開口を有する架橋層で覆われた有機ポリマーであり、当該犠牲材料が不活性環境下での熱アニール又は分子酸素(O2)などの酸化剤によるポリマーの「燃焼」によって除去される方法を開示している。
米国特許第6,057,226号明細書 米国特許出願公開第2002/0149085号明細書 米国特許第6,472,719号明細書 米国特許第6,211,057号明細書 米国特許第6,297,125号明細書 米国特許第6,268,277号明細書 米国特許第6,238,987号明細書 米国特許第6,228,763号明細書 米国特許出願公開第2004/0099951号明細書 米国特許出願公開第2004/0094821号明細書 米国特許出願公開第2002/1016888号明細書 米国特許出願公開第2002/002563号明細書 米国特許第6,316,347号明細書 米国特許第6,329,279号明細書 米国特許第6,498,070号明細書 米国特許第6,713,835号明細書 米国特許第6,720,655号明細書
本発明は、基材の内部に空隙を形成するための方法である。本発明の1つの実施態様では、本方法は、基材を用意する工程;該基材上に少なくとも1つの有機前駆体を用いて犠牲層を堆積する工程;該犠牲層におけるのと同じ少なくとも1つの有機前駆体であるポロゲンと少なくとも1つのシリカ含有前駆体又はOSG前駆体を用いて前記基材上に複合材料層を堆積する工程;及び前記犠牲層と前記複合材料層を有する基材にエネルギーを適用し、該犠牲層を除去して空隙を与えかつ前記ポロゲンを除去して多孔質層を形成する工程を含む。
本発明の別の実施態様では、本方法は、基材を用意する工程;シリコンを含む犠牲層を堆積する工程;ポロゲンと少なくとも1つのシリカ含有前駆体又は有機ケイ酸塩ガラス(OSG)前駆体を用いて複合層を堆積する工程;前記犠牲層と前記複合層を有する基材にエネルギーを適用して前記ポロゲンを除去し多孔質層を形成する工程;及び前記犠牲層と前記多孔質層を有する基材を、該多孔質層を介して拡散し前記犠牲層を選択的に除去して空隙を形成することができる減圧下でフッ素含有試薬と接触させる工程を含む。
本発明のさらに別の実施態様では、本方法は、基材を用意する工程;金属前駆体を用いて極性溶媒に可溶な金属酸化物の犠牲層を堆積する工程;ポロゲンと少なくとも1つのシリカ含有前駆体又は有機ケイ酸塩ガラス(OSG)前駆体を用いて複合層を堆積する工程;前記犠牲層と前記複合層を有する基材にエネルギーを適用して前記ポロゲンを除去し多孔質層を形成する工程;及び前記犠牲層と前記多孔質層を有する基材を、該多孔質層を介して拡散することができる極性溶媒と接触させ、前記犠牲層を除去して空隙を形成する工程を含む。
本発明のさらに別の実施態様では、本方法は、基材を用意する工程;金属前駆体を用いて極性溶媒に可溶な金属酸化物の犠牲層を堆積する工程;前記犠牲層におけるのと同じ極性溶媒に可溶な金属酸化物であるポロゲンと少なくとも1つのシリカ含有前駆体又は有機ケイ酸塩ガラス(OSG)前駆体を用いて複合層を堆積する工程;前記犠牲層と前記複合層を有する基材を極性溶媒と接触させ、前記ポロゲンを除去して多孔質層を形成し、前記犠牲層を除去して空隙を形成する工程を含む。
従来技術の不十分な段差被覆によって形成される空隙の断面図を示す。 従来技術の架橋層における開口を通して材料を除去することにより形成される空隙構造の断面図を示す。 適用されるエネルギーを用いて気孔空間の犠牲材料を除去する本発明の構造を形成するための方法の進行工程を示す断面図である。 選択的なエッチング試薬、BrF3を用いて気孔空間のシリコン犠牲材料を除去する本発明の別の方法及び実施態様の進行工程を示す断面図である。 水などの極性溶媒を用いて気孔空間のGeO2犠牲材料を除去する本発明のさらに別の方法及び実施態様の進行工程を示す断面図である。 デュアルダマシン銅金属相互接続スキームを形成する1つの可能なルートを示す。 シングルダマシン銅金属相互接続スキームを形成する1つの可能なルートを示す。 デュアルダマシン銅金属相互接続スキームを形成する別の可能なルートを示す。 本発明によって製造された気孔空間を有する実際の構造の走査電子顕微鏡画像である。 本発明によって製造された気孔空間を有する実際の構造の走査電子顕微鏡画像である。 本発明によって製造された気孔空間を有する実際の構造の走査電子顕微鏡画像である。
電子デバイスの寸法が減少し続けるにつれ、ますます低い誘電率を有する層間絶縁膜(ILD)材料が必要とされている。過去12年間に、誘電体材料は、SiO2からFSG、OSG、そして今や2.0という低い誘電率を有する多孔質OSGへと推移してきた。2.0という低い誘電率を達成するためには、OSG膜に25%以上の多孔度を組み入れることが必要である。OSG膜に組み入れられる多孔度の量が大きくなるにつれ、誘電率が低下するだけでなく、機械的性質も大きく低下してしまう。
例えば、誘電率が2.9の高密度OSG膜は機械硬度が約3.0GPaであるのに対し、我々のPDEMS(商標)技術(例えば、その参照により本明細書に含まれる米国特許第6,583,048号明細書及び同第6,846,515号明細書を参照)では、約25%の多孔度を有する多孔質OSG材料は、誘電率が2.2であるが、機械硬度はわずか0.8GPaである。この傾向をさらに低い誘電率へ外挿すると、誘電率が1.9よりも低い材料は機械硬度が0.3GPaよりも小さくなるであろう。我々が観測した別の傾向は、多孔度のパーセントが増加すると、それに対応して相互接続の経路長さが増加するということである。陽電子消滅寿命分光法(PALS)によって測定される相互接続の経路長さは、ポジトロニウム元素が壁に接触することなく移動できる最大距離の尺度であるか、又は接続した気孔の最長の連なりの尺度である。この連結性は、原子層堆積(ALD)の事項、並びにレジスト現像液、レジスト剥離液及びCMPスラリーなどの湿式プロセスとの相互作用に関して重要であると考えられる。それゆえ、kが約1.9よりも低いある点から、ILDが機械抵抗又はバリア抵抗としての役目をほとんど果たすことがなくなり、高度に多孔質のOSG膜と空隙の差が減少し始めると考えられる。
これまで、空隙の製造は、3つの主な手段、即ち、(i)空隙として大きなキーホール構造となる極めて非共形性のSiO2堆積の使用、(ii)スピンオンプロセス又はCVDによって堆積される熱的に不安定なポリマー材料の使用、(iii)O2プラズマを用いた下地膜の反応性イオンエッチング又はHFを用いたウェットエッチングによる空隙の等方性エッチングに集中してきた。
本発明は、空隙又は気孔空間構造を製造するための3つの代わりとなるアプローチを記載するものである。3つのルートはすべて、多孔質のOSG又はSiO2層を介して拡散する液体又は気体の能力を利用している。この層の多孔度は、0.1〜99体積%、より一般的には10〜50体積%の多孔度であることができる。第1のアプローチは、有機犠牲材料を利用して空隙を形成する。これは、例として、多孔質OSG膜を作製するために開発された特許されたPDEMS(商標)を用いる多孔質誘電体層の延長である。このアプローチでは、犠牲層と複合層プロセスにおいてOSGと共堆積されるポロゲンとが同じ有機前駆体から形成される。このように、1つの有機材料が犠牲層のためと多孔質OSGを生成するための両方で利用できる。第2のアプローチでは、第1のアプローチと同様、シリコンから構成される犠牲材料上に多孔質のキャッピング層を用いる。このアプローチでは、犠牲層がパターニングの前又は後に複合層で覆われ、ポロゲンを除去して多孔質のSiO2又はOSG層を得た後、二次加工品をSiO2又はOSGに対してシリコンを選択的にエッチングする気体、例えば、XeF2又はBrF3にさらし、その気体が多孔質のSiO2又はOSG層を通って拡散し、下のシリコン構造を除去して気孔を残すことができる。第3の方法は、極性溶媒(即ち、水)に可溶な犠牲無機材料、例えば、GeO2又はB23を用いる。このアプローチでは、犠牲層はパターニングの前又は後に複合層で覆われ、ポロゲンを除去して多孔質のSiO2又はOSG層を得た後、二次加工品を水又は他のこのような極性溶媒にさらし、溶媒が多孔質のSiO2又はOSG層を通って拡散し、可溶な無機材料(即ち、GeO2又はB23)を除去して気孔を残すことができる。水又は極性溶媒に界面活性剤を加えて多孔質層を介した拡散を促進することは、特に多孔質層が疎水性のOSG物質である場合に有利であろう。
以下は、本発明で使用するのに適したシリカ系前駆体の限定的でない例である。以下に続く化学式及び本明細書を通してすべての化学式において、「独立して」という語は、対象のR基が、異なる上付き文字を有する他のR基に関して独立して選択されるだけでなく、同じR基の任意の付加的な種に関しても独立して選択されるということを意味すると解されるべきである。例えば、式R1 n(OR24-nSiにおいて、nが2又は3であるとき、2つ又は3つのR1基が互いに又はR2と同一である必要はない。
1 n(OR23-nSi(式中、R1は独立してH、C1〜C4の直鎖又は分枝の、飽和の、単又は複不飽和の、環状の、部分的に又は完全にフッ素化されたものでもよく、R2は独立してC1〜C6の直鎖又は分枝の、飽和の、単又は複不飽和の、環状の、芳香族の、部分的に又は完全にフッ素化されたものでもよく、nは1〜3である)
例:ジエトキシメチルシラン、ジメチルジメトキシシラン
1 n(OR23-nSi−O−SiR3 m(OR43-m(式中、R1及びR3は独立してH、C1〜C4の直鎖又は分枝の、飽和の、単又は複不飽和の、環状の、部分的に又は完全にフッ素化されたものでもよく、R2及びR4は独立してC1〜C6の直鎖又は分枝の、飽和の、単又は複不飽和の、環状の、芳香族の、部分的に又は完全にフッ素化されたものでもよく、nは1〜3、mは1〜3である)
例:1,3−ジメチル−1,3−ジエトキシジシロキサン
1 n(OR23-nSi−SiR3 m(OR43-m(式中、R1及びR3は独立してH、C1〜C4の直鎖又は分枝の、飽和の、単又は複不飽和の、環状の、部分的に又は完全にフッ素化されたものでもよく、R2及びR4は独立してC1〜C6の直鎖又は分枝の、飽和の、単又は複不飽和の、環状の、芳香族の、部分的に又は完全にフッ素化されたものでもよく、nは1〜3、mは1〜3である)
例:1,2−ジメチル−1,1,2,2−テトラエトキシジシラン
1 n(O(O)CR24-nSi(式中、R1は独立してH、C1〜C4の直鎖又は分枝の、飽和の、単又は複不飽和の、環状の、部分的に又は完全にフッ素化されたものでもよく、R2は独立してH、C1〜C6の直鎖又は分枝の、飽和の、単又は複不飽和の、環状の、芳香族の、部分的に又は完全にフッ素化されたものでもよく、nは1〜3である)
例:ジメチルジアセトキシシラン
1 n(O(O)CR23-nSi−O−SiR3 m(O(O)CR43-m(式中、R1及びR3は独立してH、C1〜C4の直鎖又は分枝の、飽和の、単又は複不飽和の、環状の、部分的に又は完全にフッ素化されたものでもよく、R2及びR4は独立してH、C1〜C6の直鎖又は分枝の、飽和の、単又は複不飽和の、環状の、芳香族の、部分的に又は完全にフッ素化されたものでもよく、nは1〜3、mは1〜3である)
例:1,3−ジメチル−1,3−ジアセトキシジシロキサン
1 n(O(O)CR23-nSi−SiR3 m(O(O)CR43-m(式中、R1及びR3は独立してH、C1〜C4の直鎖又は分枝の、飽和の、単又は複不飽和の、環状の、部分的に又は完全にフッ素化されたものでもよく、R2及びR4は独立してH、C1〜C6の直鎖又は分枝の、飽和の、単又は複不飽和の、環状の、芳香族の、部分的に又は完全にフッ素化されたものでもよく、nは1〜3、mは1〜3である)
例:1,2−ジメチル−1,1,2,2−テトラアセトキシジシラン
1 n(O(O)CR23-nSi−O−SiR3 m(OR43-m(式中、R1及びR3は独立してH、C1〜C4の直鎖又は分枝の、飽和の、単又は複不飽和の、環状の、部分的に又は完全にフッ素化されたものでもよく、R2は独立してH、C1〜C6の直鎖又は分枝の、飽和の、単又は複不飽和の、環状の、芳香族の、部分的に又は完全にフッ素化されたものでもよく、R4は独立してC1〜C6の直鎖又は分枝の、飽和の、単又は複不飽和の、環状の、芳香族の、部分的に又は完全にフッ素化されたものでもよく、nは1〜3、mは1〜3である)
例:1,3−ジメチル−1−アセトキシ−3−エトキシジシロキサン
1 n(O(O)CR23-nSi−SiR3 m(OR43-m(式中、R1及びR3は独立してH、C1〜C4の直鎖又は分枝の、飽和の、単又は複不飽和の、環状の、部分的に又は完全にフッ素化されたものでもよく、R2は独立してH、C1〜C6の直鎖又は分枝の、飽和の、単又は複不飽和の、環状の、芳香族の、部分的に又は完全にフッ素化されたものでもよく、R4は独立してC1〜C6の直鎖又は分枝の、飽和の、単又は複不飽和の、環状の、芳香族の、部分的に又は完全にフッ素化されたものでもよく、nは1〜3、mは1〜3である)
例:1,2−ジメチル−1−アセトキシ−2−エトキシジシラン
1 n(OR2p(O(O)CR44-(n+p)(式中、R1は独立してH、C1〜C4の直鎖又は分枝の、飽和の、単又は複不飽和の、環状の、部分的に又は完全にフッ素化されたものでもよく、R2は独立してC1〜C6の直鎖又は分枝の、飽和の、単又は複不飽和の、環状の、芳香族の、部分的に又は完全にフッ素化されたものでもよく、R4は独立してH、C1〜C6の直鎖又は分枝の、飽和の、単又は複不飽和の、環状の、芳香族の、部分的に又は完全にフッ素化されたものでもよく、nは1〜3、pは1〜3である)
例:メチルアセトキシ−t−ブトキシシラン
1 n(OR2p(O(O)CR43-n-pSi−O−SiR3 m(O(O)CR5q(OR63-m-q(式中、R1及びR3は独立してH、C1〜C4の直鎖又は分枝の、飽和の、単又は複不飽和の、環状の、部分的に又は完全にフッ素化されたものでもよく、R2及びR6は独立してC1〜C6の直鎖又は分枝の、飽和の、単又は複不飽和の、環状の、芳香族の、部分的に又は完全にフッ素化されたものでもよく、R4及びR5は独立してH、C1〜C6の直鎖又は分枝の、飽和の、単又は複不飽和の、環状の、芳香族の、部分的に又は完全にフッ素化されたものでもよく、nは1〜3、mは1〜3、pは1〜3、qは1〜3である)
例:1,3−ジメチル−1,3−ジアセトキシ−1,3−ジエトキシジシロキサン
1 n(OR2p(O(O)CR43-n-pSi−SiR3 m(O(O)CR5q(OR63-m-q(式中、R1及びR3は独立してH、C1〜C4の直鎖又は分枝の、飽和の、単又は複不飽和の、環状の、部分的に又は完全にフッ素化されたものでもよく、R2及びR6は独立してC1〜C6の直鎖又は分枝の、飽和の、単又は複不飽和の、環状の、芳香族の、部分的に又は完全にフッ素化されたものでもよく、R4及びR5は独立してH、C1〜C6の直鎖又は分枝の、飽和の、単又は複不飽和の、環状の、芳香族の、部分的に又は完全にフッ素化されたものでもよく、nは1〜3、mは1〜3、pは1〜3、qは1〜3である)
例:1,2−ジメチル−1,2−ジアセトキシ−1,2−ジエトキシジシラン
化学式(OSiR13xの環状シロキサン(式中、R1及びR3は独立してH、C1〜C4の直鎖又は分枝の、飽和の、単又は複不飽和の、環状の、部分的に又は完全にフッ素化されたものでもよく、xは2〜8の任意の整数であることができる)
例:1,3,5,7−テトラメチルシクロテトラシロキサン、オクタメチルシクロテトラシロキサン
SiO2又はOSGに関して使用できる他の前駆体は、例えば、TEOS、トリエトキシシラン、ジ−tert−ブトキシシラン、シラン、ジシラン、ジ−tert−ブトキシジアセトキシシランなどである。
本発明は、気孔空間製造の犠牲層として使用するための、エレクトロニクス製造産業において公知の複数の従来法のいずれかによって堆積される犠牲材料の利用に向けられる。この層は、マイクロエレクトロニクス産業では空隙構造の製造において特に有用であり、またナノテクノロジー製造では構造体を「剥離する」又は気孔空間を製造するのに特に有用である。
1つ又は複数の空隙構造を含む半導体基材及びそれを形成するための方法が本明細書に記載される。1つの実施態様では、1つ又は複数の空隙は、層状基材内の導線間のスペースの少なくとも一部の中に形成される。本明細書で用いられる「導線」という語は、一般に、金属線、トレース、ワイヤ、相互接続線、信号経路、又は基材内で電気回路を結合若しくは相互接続するための信号経路を与える信号媒体を指す。構造はマルチレベルに容易に拡張可能であり、シングル又はデュアルダマシン処理と適合する。幾つかの実施態様では、誘電体層は、固体材料であることができるか、あるいはまた、多孔質であることができるか及び/又は気孔、空洞若しくは空隙を含むことができる。
[第1の実施態様:有機物の不安定な犠牲材料]
この実施態様では、犠牲有機層を用いて気孔空間を生成する。犠牲層の堆積と、複合層、例えば、PDEMS(商標)層におけるポロゲンとしての使用の両方に少なくとも1つの同じ有機前駆体を用いることで、デバイスに勾配を設けることができる。同じ前駆体が犠牲層の有機前駆体としても有機ポロゲン前駆体としても使用され、PECVDプロセスは、最初、少なくとも1つの有機前駆体のみを用い、続いて、追加の少なくとも1つのOSG前駆体を流して実施することができるので、犠牲層と多孔質層の間で継ぎ目のない勾配を形成することができる。米国特許第6,583,048号明細書、同第6,846,515号明細書、同第6,054,206号明細書、同第6,054,379号明細書、同第6,171,945号明細書、及び国際公開第99/41423号パンフレットは、本発明の有機ケイ酸塩膜を形成するのに使用できる幾つかの典型的なCVD法を提供している。
理論に縛られるものではないが、複合層におけるポロゲンと犠牲層の両方を堆積するのに用いられる有機前駆体の性質には幾つかの望ましい属性がある。有機前駆体は反応チャンバーに気体の形態で供給できなければならないので、有機前駆体は50℃以上の温度で測定可能な蒸気圧を有することが望ましい。有機前駆体は100℃以上の温度で顕著な蒸気圧をもたない材料を形成するようチャンバーにおいて反応することが望ましく、より好ましくは、ポロゲンが反応して形成される材料が200℃よりも高い温度で認められるほどの蒸気圧をもたないことが望ましい。
有機分子における不飽和度数は、分子内の多重結合の数又は環式構造の数として規定される。したがって、分子における1つの二重結合又は単純環式構造は不飽和度が1であり、三重結合又は環式構造における二重結合は不飽和度が2である。有機前駆体の不飽和度数は堆積反応に影響し、不飽和度数が高いほど一般に堆積速度が高くなる。しかしながら、理論によって限定されるものではないが、不飽和度のより高い有機前駆体から堆積した材料は完全に除去することがより困難であることが一般に観測され、それゆえ、堆積と除去の間でバランスを見出さなければならない。
場合によっては、分子内にヘテロ原子(例えば、酸素、窒素又は硫黄)を有することが有利な場合があり、ポロゲン又は犠牲材料の堆積を促進することができる。プラズマ環境では、ヘテロ原子が電子捕獲断面積を増大させ、したがって気相中の中性ラジカルの数を増加させると考えられる。堆積は中性ラジカルの反応に基づくと考えられるので、中性ラジカルの数のこの増加は堆積効率を向上させる。
以下は、本発明の有機前駆体として使用するのに適した材料の限定的でない例である。
1)一般式Cn2nの環式炭化水素(式中、n=4〜14であり、環式構造中の炭素数は4〜10であり、環式構造上に複数の単純又は分枝炭化水素が置換されていることがある)
例としては、シクロヘキサン、1,2,4−トリメチルシクロヘキサン、1−メチル−4−(1−メチルエチル)シクロヘキサン、シクロオクタン、メチルシクロオクタンなどが挙げられる。
2)一般式Cn(2n+2)-2yの直鎖又は分枝の、飽和の、単又は複不飽和の炭化水素(式中、n=2〜20であり、y=0〜nである)
例としては、エチレン、プロピレン、アセチレン、ネオヘキサン、1,3−ブタジエン、2−メチル−1,3−ブタジエン、2,3−ジメチル−2,3−ブタジエン、置換ジエンなどが挙げられる。
3)一般式Cn2n-2xの単又は複不飽和の環式炭化水素(式中、xは分子中の不飽和部位の数であり、n=4〜14であり、環式構造中の炭素数は4〜10であり、環式構造上に複数の単純又は分枝炭化水素が置換されていることがある)。不飽和は、環内に位置するか又は環式構造への炭化水素置換基の1つに位置することができる。
例としては、シクロオクテン、1,5−シクロオクタジエン、シクロヘキセン、ビニル−シクロヘキサン、ジメチルシクロヘキセン、α−テルピネン、ピネン、リモネン、ビニル−シクロヘキセンなどが挙げられる。
4)一般式Cn2n-2の二環式炭化水素(式中、n=4〜14であり、二環式構造中の炭素数は4〜12であり、環式構造上に複数の単純又は分枝炭化水素が置換されていることがある)
例としては、ノルボルナン、スピロ−ノナン、デカヒドロナフタレンなどが挙げられる。
5)一般式Cn2n-(2+2x)の複不飽和の二環式炭化水素(式中、xは分子中の不飽和部位の数であり、n=4〜14であり、二環式構造中の炭素数は4〜12であり、環式構造上に複数の単純又は分枝炭化水素が置換されていることがある)。不飽和は、環内に位置するか又は環式構造への炭化水素置換基の1つに位置することができる。
例としては、カンフェン、ノルボルネン、ノルボルナジエン、5−エチリデン−2−ノルボルネンなどが挙げられる。
6)一般式Cn2n-4の三環式炭化水素(式中、n=4〜14であり、三環式構造中の炭素数は4〜12であり、環式構造上に複数の単純又は分枝炭化水素が置換されていることがある)
例としては、アダマンタンが挙げられる。
7)1つ又は複数のアルコール基を含有しかつ一般式Cn2n+2-2x-2y-z(OH)zを有する炭化水素構造体(式中、n=1〜12であり、xは該構造体中の環状環の数であって0〜4であり、yは該構造体中の不飽和結合の数であって0〜nであり、zは化合物中のアルコール基の数であって1〜4であり、アルコール官能性は環外及び/又は環内にあることができる)。例:プロパノール(n=3、x=0、y=0、z=1)、エチレングリコール(n=2、x=0、y=0、z=2)、ヘキサノール(n=6、x=0、y=0、z=1)、シクロペンタノール(n=5、x=1、y=0、z=1)、1,5−ヘキサジエン−3,4−ジオール(n=6、x=0、y=2、z=2)、クレゾール(n=7、x=1、y=3、z=1)及びレゾルシノール(n=6、x=1、y=3、z=2)など。
8)1つ又は複数のエーテル基を含有しかつ一般式Cn2n+2-2x-2yzを有する炭化水素構造体(式中、n=1〜12であり、xは該構造体中の環状環の数であって0〜4であり、yは該構造体中の不飽和結合の数であって0〜nであり、zは該構造体中のエーテル結合の数であって1〜4であり、1つ又は複数のエーテル結合は環外及び/又は環内にあることができる)。例:ジエチルエーテル(n=4、x=0、y=0、z=1)、2−メチル−テトラヒドロフラン(n=5、x=1、y=0、z=1)、2,3−ベンゾフラン(n=8、x=2、y=4、z=1)、エチレングリコールジビニルエーテル(n=6、x=0、y=2、z=2)、シネオール(オイカリプトール)(n=10、x=2、y=0、z=1)など。
9)1つ又は複数のエポキシド基を含有しかつ一般式Cn2n+2-2x-2y-2zzを有する炭化水素構造体(式中、n=1〜12であり、xは該構造体中の環状環の数であって0〜4であり、yは該構造体中の不飽和結合の数であって0〜nであり、zは該構造体中のエポキシド基の数であって1〜4であり、エポキシド基は環状環又は直鎖に結合することができる)。例:1,2−エポキシ−3−メチルブタン(n=5、x=0、y=0、z=1)、1,2−エポキシ−5−ヘキセン(n=5、x=0、y=1、z=1)、シクロヘキセンオキシド(n=6、x=1、y=0、z=1)、9−オキサビシクロ[6.1.0]ノン−4−エン(n=8、x=1、y=1、z=1)など。
10)1つ又は複数のアルデヒド基を含有しかつ一般式Cn2n+2-2x-2y-2zzを有する炭化水素構造体(式中、n=1〜12であり、xは該構造体中の環状環の数であって0〜4であり、yは該構造体中の不飽和結合の数であって0〜nであり、zは該構造体中のアルデヒド基の数であって1〜4である)。例:シクロペンタンカルボキシアルデヒド(n=5、x=1、y=0、z=1)など。
11)1つ又は複数のケトン基を含有しかつ一般式Cn2n+2-2x-2y-2zzを有する炭化水素構造体(式中、n=1〜12であり、xは該構造体中の環状環の数であって0〜4であり、yは該構造体中の不飽和結合の数であって0〜nであり、zは該構造体中のアルデヒド基の数であって1〜4であり、1つ又は複数のケトン基は環外及び/又は環内にあることができる)。例:3,4−ヘキサンジオン(n=6、x=0、y=0、z=2)、シクロペンタノン(n=5、x=1、y=0、z=1)、メシチルオキシド(n=6、x=0、y=1、z=1)など。
12)1つ又は複数のカルボン酸基を含有しかつ一般式Cn2n+2-2x-2y-3z(OOH)zを有する炭化水素構造体(式中、n=1〜12であり、xは該構造体中の環状環の数であって0〜4であり、yは該構造体中の不飽和結合の数であって0〜nであり、zは該構造体中のカルボン酸基の数であって1〜4である)。例:シクロペンタンカルボン酸(n=6、y=1、x=0、z=1)など。
13)偶数のカルボン酸基を含有し、酸性官能性が脱水されて環状無水物基を形成し、一般式Cn2n+2-2x-2y-6z(O3zを有する炭化水素構造体(式中、n=1〜12であり、xは該構造体中の環状環の数であって0〜4であり、yは該構造体中の不飽和結合の数であって0〜nであり、zは該構造体中の無水物基の数であって1又は2である)。例:無水マレイン酸(n=2、x=0、y=1、z=1)など。
14)エステル基を含有しかつ一般式Cn2n+2-2x-2y-2z(O2zを有する炭化水素構造体(式中、n=1〜12であり、xは該構造体中の環状環の数であって0〜4であり、yは該構造体中の不飽和結合の数であり、不飽和結合はエステルのカルボニル基と共役ではなく、zは該構造体中の無水物基の数であって1又は2である)。
15)エステル基と該エステル基のカルボニルと共役である少なくとも1つの不飽和結合とからなるアクリレート官能性を含有し、かつ一般式Cn2n+2-2x-2y-2z(O2zを有する炭化水素構造体(式中、n=1〜12であり、xは該構造体中の環状環の数であって0〜4であり、yは該構造体中の不飽和結合の数であって1以上であり、不飽和結合の少なくとも1つはエステルのカルボニル基と共役であり、zは該構造体中のエステル基の数であって1又は2である)。例:エチルメタクリレート(n=6、x=0、y=1、z=1)など。
16)エーテルとカルボニル官能基の両方を含有しかつ一般式Cn2n+2-2w-2x-2y(O)y(O)zを有する炭化水素構造体(式中、n=1〜12であり、wは該構造体中の環状環の数であって0〜4であり、xは該構造体中の不飽和結合の数であって0〜nであり、yはケトン及び/又はアルデヒドであることができる該構造体中のカルボニル基の数であり、zは該構造体中のエーテル基の数であって1又は2であり、1つ又は複数のエーテル基は環内又は環外にあることができる)。例:エトキシメタクロレイン(n=6、w=0、x=1、y=1、z=1)など。
17)エーテルとアルコール官能基の両方を含有しかつ一般式Cn2n+2-2w-2x-y(OH)y(O)zを有する炭化水素構造体(式中、n=1〜12であり、wは該構造体中の環状環の数であって0〜4であり、xは該構造体中の不飽和結合の数であって0〜nであり、yは該構造体中のアルコール基の数であり、zは該構造体中のエーテル基の数であって1又は2であり、1つ又は複数のエーテル基は環内又は環外にあることができる)。例:3−ヒドロキシテトラヒドロフランなど。
18)アルコール、エーテル、カルボニル、及びカルボン酸から選択された官能基の任意の組み合わせを含有しかつ一般式Cn2n+2-2u-2v-w-2y-3z(OH)w(O)x(O)y(OOH)zを有する炭化水素構造体(式中、n=1〜12であり、uは該構造体中の環状環の数であって0〜4であり、vは該構造体中の不飽和結合の数であって0〜nであり、wは該構造体中のアルコール基の数であって0〜4であり、xは該構造体中のエーテル基の数であって0〜4であり、1つ又は複数のエーテル基は環内又は環外にあることができ、yはケトン及び/又はアルデヒドであることができる該構造体中のカルボニル基の数であって0〜3であり、zは該構造体中のカルボン酸基の数であって0〜2である)。
19)1つ又は複数の第一アミン基を含有しかつ一般式Cn2n+2-2x-2y-z(NH2zを有する炭化水素構造体(式中、n=1〜12であり、xは該構造体中の環状環の数であって0〜4であり、yは該構造体中の不飽和結合の数であって0〜nであり、zは化合物中のアミン基の数であって1〜4であり、アミン官能性は環外及び/又は環内にあることができる)。例:シクロペンチルアミン(n=5、x=1、y=0、z=1)など。
20)1つ又は複数の第二アミン基を含有しかつ一般式Cn2n+2-2x-2y-2z(NH)zを有する炭化水素構造体(式中、n=1〜12であり、xは該構造体中の環状環の数であって0〜4であり、yは該構造体中の不飽和結合の数であって0〜nであり、zは化合物中の第二アミン基の数であって1〜4であり、アミン官能性は環外及び/又は環内にあることができる)。例:ジイソプロピルアミン(n=6、x=0、y=0、z=1)、ピペリジン(n=5、x=1、y=0、z=1)、ピリド(pyride)(n=5、x=1、y=3、z=1)など。
21)1つ又は複数の第三アミン基を含有しかつ一般式Cn2n+2-2x-2y-3z(N)zを有する炭化水素構造体(式中、n=1〜12であり、xは該構造体中の環状環の数であって0〜4であり、yは該構造体中の不飽和結合の数であって0〜nであり、zは化合物中の第三アミン基の数であって1〜4であり、アミン官能性は環外及び/又は環内にあることができる)。例:トリエチルアミン(n=6、x=0、y=0、z=1)、N−メチルピロリジン(n=5、x=1、y=0、z=1)、N−メチルピロール(n=5、x=1、y=2、z=1)など。
22)1つ又は複数のニトロ基を含有しかつ一般式Cn2n+2-2x-2y-z(NO2zを有する炭化水素構造体(式中、n=1〜12であり、xは該構造体中の環状環の数であって0〜4であり、yは該構造体中の不飽和結合の数であって0〜nであり、zは化合物中のニトロ基の数であって1〜4であり、ニトロ官能性は環外及び/又は環内にあることができる)。例:ニトロシクロペンタン(n=5、x=1、y=0、z=1)、ニトロベンゼン(n=6、x=1、y=3、z=1)など。
23)アミンとエーテル官能基の両方を含有しかつ一般式Cn2n+2-2u-2v-w-2x-3y-z(NH2w(NH)x(N)y(OH)zを有する炭化水素構造体(式中、n=1〜12であり、uは該構造体中の環状環の数であって0〜4であり、vは該構造体中の不飽和結合の数であって0〜nであり、wは第一アミン基の数であり、xは第二アミン基の数であり、yは第三アミン基の数であり、1<w+x+y<4であり、zは化合物中のアルコール基の数であって1〜4であり、アルコール及び/又はアミン基は環外及び/又は環内にあることができる)。例:2−(2−アミノエチルアミノ)エタノール(n=4、u=0、v=0、w=1、x=1、y=0、z=1)、N−メチルモルホリン(n=5、u=1、v=0、w=0、x=0、y=1、z=1)など。
24)アミンとアルコール官能基の両方を含有しかつ一般式Cn2n+2-2u-2v-w-2x-3y-z(NH2w(NH)x(N)y(OH)zを有する炭化水素構造体(式中、n=1〜12であり、uは該構造体中の環状環の数であって0〜4であり、vは該構造体中の不飽和結合の数であって0〜nであり、wは第一アミン基の数であり、xは第二アミン基の数であり、yは第三アミン基の数であり、1<w+x+y<4であり、zは化合物中のエーテル基の数であって1〜4であり、エーテル及び/又はアミン基は環外及び/又は環内にあることができる)。例:テトラヒドロフルフリルアミン(n=5、u=1、v=0、w=1、x=0、y=0、z=1)など。
25)アミンとカルボニル官能基の両方を含有しかつ一般式Cn2n+2-2u-2v-w-2x-3y-2z(NH2w(NH)x(N)y(O)zを有する炭化水素構造体(式中、n=1〜12であり、uは該構造体中の環状環の数であって0〜4であり、vは該構造体中の不飽和結合の数であって0〜nであり、wは第一アミン基の数であり、xは第二アミン基の数であり、yは第三アミン基の数であり、1<w+x+y<4であり、zは化合物中のカルボニル基の数であって1〜4であり、カルボニル基は1つ又は複数のアルデヒド及び/又はケトンであることができ、カルボニル及び/又はアミン基は環外及び/又は環内にあることができる)。例:N,N−ジエチルホルムアミド(n=5、u=0、v=0、w=0、x=0、y=1、z=1)、(ジメチルアミン)アセトン(n=5、u=0、v=0、w=0、x=0、y=1、z=1)、N−メチルピロリジノン(n=5、u=1、v=1、w=0、x=0、y=1、z=1)など。
この技術は、少なくとも1つの同じ有機前駆体を用いて犠牲層と複合層におけるポロゲンの両方を堆積することに関し、図3A〜H及び例4において説明される。図3Aには、裸のシリコンウェハが示されるが、これはマイクロエレクトロニクス又はナノテクノロジーデバイスの製造における任意の工程であってよい。最初の工程は、図3Bに示されるように、少なくとも1つの有機前駆体を利用したPECVDによる犠牲層の堆積である。この犠牲層の上部にハードマスクが堆積されるが、これはフォトレジストと犠牲層に対して優れたエッチ選択性を有する任意の材料であることができ、図3Cでは、DEMSとリモネンから堆積された複合膜がハードマスクとして使用されそして描かれている。図3Dに示される次の工程はパターン転写層の適用であり、これは、幾つかの種々の技術、例えば、フォトレジストの適用、露光及び現像、又はナノインプリント転写、又は場合により用途に応じたインクジェット技術によって達成することができる。図3Eは、ハードマスクがエッチングによって除去された後の構造を示している。これは、例えば、RIE又はウェットエッチによって行うことができる。次に、図3Fに示されるように、例えば、O2 RIEによってパターンが犠牲層上に転写される。この工程では、ハードマスク上のパターン転写材料を完全に除去し、犠牲層がエッチングされたときに犠牲層に負の影響を及ぼすことがある灰化工程の必要性を制限するように、エッチ速度及びパターン転写材料(即ち、フォトレジスト)の厚さを設計することが有利な場合がある。犠牲層がパターニングされた後、次いで、図3Gに示されるように、この特徴の上にPDEMS(商標)の複合層が犠牲層を堆積するのに使用したのと同じ少なくとも1つの有機前駆体を利用してPECVDにより堆積され、犠牲層の能力が多孔質OSG層によって確保される。最後に、幾つかある方法のいずれかによってポロゲンと犠牲層が除去される。図3Hには、熱アニーリング技術が記載されている。
膜の断面をSEMで測定し、犠牲有機材料が多孔質OSG層を通してどの程度除去されるかを決定した。図9Aは、犠牲材料を除去して多孔質のOSG網目構造に「トンネル」を残すための熱アニーリング後のSEM写真を示す。
[第2の実施態様:選択的にエッチングされるシリコンの犠牲材料]
フッ素を含有する選択的なエッチングガス(例えば、XeF2又はBrF3)は、気相において、二酸化ケイ素に対しシリコンを選択的にエッチングすることが知られている。例えば、LopezらのMicro Total Analysis Systems 2002,Proceedings of the μTAS 2002 Symposium,6th,Nara Japan,Nov.3−7,2002(2002),2 934−936を参照されたい。このエッチ選択性は、XeF2、BrF3などを使用することで単結晶シリコン、ポリシリコン又はアモルファスシリコンの化学反応性が向上することに起因している。この種の製造の一例は、シリコン上のSiO2にポリシリコンの層を堆積し、次いでポリシリコン上にSiO2の第2層をコーティングすることによりカンチレバーを形成するものであり、上部SiO2層とポリシリコン層の両方をパターニング及びエッチングした後、XeF2を用いてポリシリコン層を選択的にエッチングすることによりカンチレバーを剥離することができる。この例では、XeF2がSiO2カンチレバーの下で拡散するにつれ、ポリシリコンが縁から内側へエッチングされる。
本発明のこの第2の実施態様では、フッ素を含有する選択的なエッチングガスは、構造を開口して上部層を回って下地層をエッチングする必要なく(即ち、側方への拡散の必要なく)、ポリシリコン又はアモルファスシリコンの層上に形成された多孔質ケイ酸塩又は多孔質OSGキャッピング層を通って拡散し、下地のポリシリコン又はアモルファスシリコンをエッチングする。このように、シリコン層は、エッチガスを拡散させるための経路を開口する必要なしに除去することができる。
この技術の可能性のある利用例としては、半導体製造における空隙の形成、気体又は液体の供給のためのトンネルの形成、例えば、マイクロキャピラリーの製造による薬剤供給での利用、又は先進的な光ファイバーケーブルの製造における中空コアの形成がある。シリコンを除去する前に多孔質SiO2又は多孔質OSG及びシリコンの多層を構成できることで、マイクロキャピラリーの相互接続層を製造することが可能となり、マイクロチップの回路と同様、特にチップ上の化学に関して相互作用するであろう。
図4A〜Iは、気孔の形成のための経路の例を示す。このプロセスでは、シリコンウェハ(図4A)が酸素環境下で熱的に酸化されてSiO2層が形成される。この層は選択的なフッ素エッチングガスがシリコンウェハ自体と反応するのを防ぐのに必要であり(図4B)、シリコン以外の層が下層に用いられる場合、この工程は必要ない。このSiO2上にポリシリコンの層を、例えば、高温の熱CVDによってシランから堆積させることができる(図4C)。図4Dに示される次の工程はパターン転写層の適用であり、これは、幾つかの種々の技術、例えば、フォトレジストの適用、露光及び現像、又はナノインプリント転写、又は場合により用途に応じたインクジェット技術によって達成することができる。図4Eは、シリコン層がエッチングされた後の構造を示している。これは、例えばRIEによって又は例えばCl2若しくはHBrを用いて行うことができる。次に、パターン転写材料(即ち、フォトレジスト)が灰化工程で除去される。灰化工程では、幾つかの異なるプロセス、即ち、O2反応性イオンエッチング工程、O2下流灰化、還元灰化、例えば、H2又はNH3下流灰化、又はUV補助灰化などを用いることができる。次いで、図4Gに示されるように、これらのラインに複合シリカ又はOSGがコーティングされる。この複合層を形成するのに幾つかのプロセスを用いることができる。例えば、参照により本明細書に含まれる米国特許第6,365,266号明細書;同第6,592,980号明細書;同第6,818,289号明細書;及び同第6,942,918号明細書に記載されるスピンコーターとMeso−ELK製品(ペンシルバニア州、アレンタウンのエア・プロダクツ・アンド・ケミカルズ社)を用いるか;又は米国特許第6,583,048号明細書及び同第6,846,515号明細書に記載されるPDEM(商標)プロセス(ペンシルバニア州、アレンタウンのエア・プロダクツ・アンド・ケミカルズ社)においてPECVDによって堆積される。次いで、図4Hに示されるように、多孔質シリカ又は多孔質OSGを形成するのに使用したポロゲンがアニール工程で除去される。このアニール工程は、幾つかのプロセスが可能であり、例えば、不活性雰囲気下での熱アニーリング、酸化雰囲気下での熱アニーリング、真空下での熱アニーリング、O2プラズマなどの反応性イオン、大気圧又は減圧下でのUVアニーリングなどである。次いで、図4Iに示されるように、これらの試料は、10Torr室温である期間にわたってBrF3にさらされる。
本発明によって意図される空隙を形成するためのシリコンの他の選択的エッチング剤としては、HF、希ガスのハロゲン化物、ハロゲン間化合物、例えば、IF5、BrCl3、IF7及びClF3が挙げられる。
SiO2又はOSGに比べたシリコンに対するBrF3とXeF2のエッチング選択性は温度依存的であり、低い温度ほど高い選択性が得られる。
図9Bは、構造体をBrF3にさらして犠牲シリコン材料を除去し、多孔質OSG網目構造の「トンネル」を残した後のSEM写真を示す。
理論に縛られるものではないが、シリコンを所定の位置に備えた半導体デバイス全体を製造し、次いでウェハをダイシングした後、チップを選択的なフッ素含有エッチングガスにさらしてシリコンを除去し、空隙を選択的に生成することが可能である。これは、機械的な完全性の低い材料に関して主要な課題であるパッケージングの際に、機械的な完全性という点で有利であろう。
液体又は気体のチャンネルを有するデバイスの製造で使用する場合、ポリシリコン又はアモルファスシリコン層をあるパターンにエッチングしてウェハに沿った所与の地点で化学物質を混合することが可能になると考えられる。多層チャンネルを可能にすることで、単一チップ上で分子の多段合成を行うことが可能となる。所望の構造の多孔質シリカ又はOSG及びポリシリコン又はアモルファスシリコン層が製造されると、シリコンをエッチングにより除去して開放チャンネルを残すために、デバイスが選択的なフッ素含有エッチングガスにさらされる。必要に応じて、多孔質シリカ又はOSG層の孔を充填し、一方のチャンネルから別のチャンネルへ多孔質層を介して気体又は液体が拡散するのを防止することが有利な場合がある。これを行う1つの方法は、重合可能な有機種、例えば、活性化させると重合して孔を効果的に埋めることができる液体で孔を充填することである。このプロセスは、孔内部の液体をチャンネルの材料よりも揮発しにくくする毛管効果によって促進される。
中空コアの光ファイバーケーブルの製造は、屈折率(RI)が空気(RI=1)と多孔質シリカ又はOSG(RI=1.2〜1.46)との間で大きな差があるために有利である。この差のために、通常の固体コアの光ファイバーケーブルと比較してより大きな信号密度が可能となり、信号損失が減少する。中空コアの光ファイバーケーブルを製造するために、まずポリシリコン又はアモルファスシリコンの細いストランドを形成し、次いでこれを複合シリカ又はOSG層でコーティングし、続いてアニーリング工程においてポロゲンを除去することが考えられる。次いで、選択的なフッ素含有エッチングガスを用いてシリコンのコアをエッチングにより除去することができる。次いで、機械強度を与えるために、この中空コアのファイバーを別の任意の層でさらにコーティングすることが有利な場合がある。
[第3の実施態様:極性溶媒中で溶媒和された犠牲材料]
多孔質ケイ酸塩層と水溶性金属酸化物、例えば、酸化ゲルマニウム(GeO2)を使用して構造体を製造するもまた本発明の実施態様として意図される。GeO2は水溶性酸化物材料であり、GeO2は任意の幾つかの技術、例えば、容易に入手可能な前駆体材料から化学気相成長又はPECVDにより又は予備酸化物を用いたスピンオン技術により堆積し、続いてアニール工程を行うことができることが知られている。水溶性金属酸化物の形成のための前駆体の例としては、テトラメチルゲルマン、ゲルマン、テトラメトキシゲルマニウム及びテトラエトキシゲルマニウムからなる群より選択されるゲルマニウム(Ge)系前駆体、及びトリメチルボロン、トリメトキシボラン、トリエトキシボラン及びジボランからなる群より選択されるボロン(B)系前駆体などの材料があるがそれらに限定されない。同時に、SiO2及びOSG膜は水溶性ではないが、それらを介した水の拡散は可能であり、したがって、例えば、多孔質ケイ酸塩又は多孔質OSG層で覆われたGeO2の溶解が可能になる。
本発明は、水溶性金属酸化物上に多孔質ケイ酸塩のコーティングを使用し、水がこの多孔質層を通って拡散し下地の水溶性金属酸化物層をエッチングすることを、構造体をエッチングして下地層の縁を露出させる必要なしに可能にすることをさらに提案する。
この技術の可能性のある利用例としては、半導体製造における空隙の形成、気体又は液体の供給のためのトンネルの形成、例えば、薬剤供給での利用、又は先進的な光ファイバーケーブルの製造における中空コアの形成がある。
図5A〜Iは、気孔空間の形成のための経路の例を示す。このプロセスでは、シリコンウェハは、水溶性金属酸化物、例えば、GeO2の層でコーティングされる(図5A)。この水溶性金属酸化物層の上にバリア層、例えば、SiO2層を、例えば、PECVDプロセスを用いて堆積することができる(図5B)。この場合、このバリア層は、水溶性金属酸化物がレジストパターンを現像するためのフォトリソグラフィーの際に水酸化テトラメチルアンモニウム水溶液によって溶解するのを防止するのに用いられる(図5C)。インクジェット又はインプリントリソグラフィーなどの他のパターン転写技術が用いられる場合には、このバリア層は必要でない場合がある。図5Dは、水溶性金属酸化物がエッチングされた後の構造を示している。これは、例えば、RIEによって又はCF4、C46、C48などを含む幾つかのフッ化炭素のいずれかを用いて行うことができる。次に、図5Fに示されるように、パターン転写材料(即ち、フォトレジスト)が灰化工程で除去される。灰化工程では、幾つかの異なるプロセス、即ち、O2反応性イオンエッチング工程、O2下流灰化、還元灰化、例えば、H2又はNH3下流灰化、又はUV補助灰化などを用いることができる。次いで、図5Gに示されるように、これらのラインに複合シリカ又はOSG層がコーティングされる。この複合層を形成するのに幾つかのプロセスを用いることができる。例えば、米国特許第6,365,266号明細書;同第6,592,980号明細書;同第6,818,289号明細書;及び同第6,942,918号明細書に記載されるスピンコーターとMeso−ELK製品(ペンシルバニア州、アレンタウンのエア・プロダクツ・アンド・ケミカルズ社)を用いるか;又は米国特許第6,583,048号明細書及び同第6,846,515号明細書に記載されるPDEMプロセス(ペンシルバニア州、アレンタウンのエア・プロダクツ・アンド・ケミカルズ社)においてPECVDによって堆積される。次いで、図5Hに示されるように、複合シリカ又は多孔質OSGを形成するのに使用したポロゲンがアニール工程で除去される。このアニール工程は、幾つかのプロセスが可能であり、例えば、不活性雰囲気下での熱アニーリング、酸化雰囲気下での熱アニーリング、真空下での熱アニーリング、O2プラズマなどの反応性イオンエッチング、大気圧又は減圧下でのUVアニーリングなどである。次いで、図5Iに示されるように、これらの試料は、10Torr室温である期間にわたってBrF3にさらされる。
図9Cは、水でGeO2の一部を溶解して犠牲水溶性金属酸化物を除去し、多孔質OSG網目構造の「トンネル」を残した後のSEM写真を示す。
空隙製造で使用する場合、その形態は、GeO2を犠牲層として使用した以外は、純粋なポロゲンを犠牲層として使用した場合と同様である。実際、半導体デバイス全体を製造した後に空隙を製造することが可能であり、これは、機械的な完全性の低い材料に関して主要な課題であるパッケージングの際に、機械的な完全性という点で幾らか有利であろう。
液体又は気体のチャンネルで使用する場合、GeO2層をあるパターンにエッチングしてウェハに沿った所与の地点で化学物質を混合することが可能になると考えられる。多層チャンネルを可能にすることで、単一チップ上で分子の多段合成を行うことが可能となる。所望の構造の多孔質シリカ又はOSG及びGeO2層が製造されると、GeO2をエッチングにより除去して開放チャンネルを残すためにデバイスが水にさらされる。必要に応じて、多孔質シリカ又はOSG層の孔を充填し、一方のチャンネルから別のチャンネルへ多孔質層を介して気体又は液体が拡散するのを防止することが有利な場合がある。これを行う1つの方法は、重合可能な有機種、例えば、活性化させると重合して孔を効果的に埋めることができる液体で孔を充填することである。このプロセスは、孔内部の液体をチャンネルの材料よりも揮発しにくくする毛管効果によって促進される。
中空コアの光ファイバーケーブルの製造は、屈折率(RI)が空気(RI=1)と多孔質シリカ又はOSG(RI=1.2〜1.4)との間で大きな差があるために有利である。この差のために、通常の固体コアの光ファイバーケーブルと比較してより大きな信号密度が可能となり、信号損失が減少する。中空コアの光ファイバーケーブルを製造するために、まずGeO2の細いストランドを形成し、次いでこれを多孔質シリカ又はOSG層でコーティングすることが考えられる。次いで、水を用いてシリコンのコアを溶解することができる。次いで、機械強度を与えるために、この中空コアのファイバーを別の層でさらにコーティングすることが有利な場合がある。
水溶性金属酸化物としてGe2Oの代わりにB23を使用することができる。水の代わりに種々の極性溶媒、例えば、アルコール、エーテル、ヘテロ原子含有分子、エステル、ケトン、アルデヒド及びこのような溶媒の混合物を使用することができる。
無機ポロゲンの溶解によって多孔質層を形成するためにSiO2及び/又はOSGとともにGe2O及び/又はB23を共堆積することも可能である。このように、デバイス製造は、Ge2O及び/又はB23を水中で溶解することにより完了することができる。
[堆積方法]
先に記載したとおり、犠牲材料と複合層は、種々の異なる方法を用いて前駆体の組成物又は混合物から基材の少なくとも一部の上に堆積される。これらの方法は、単独で又は組み合わせて使用することができる。有機ケイ酸塩膜を形成するのに使用できるプロセスの例としては、熱化学気相成長、プラズマ化学気相成長(「PECVD」)、高密度PECVD、光アシストCVD、プラズマ光アシストCVD(「PPECVD」)、低温化学気相成長、化学アシスト気相成長、熱フィラメント化学気相成長(aka iCVD又はcat−CVD)、光開始化学気相成長、液体ポリマー前駆体のCVD、超臨界流体からの堆積、又は輸送重合(「TP」)が挙げられる。幾つかの好ましい実施態様においては、堆積は、100〜425℃、好ましくは200〜425℃、より好ましくは200〜350℃の温度で行われる。本発明において用いられる化学試薬は「気体状」と記載されることがあるが、化学試薬は気体として直接反応器に送ることができるか、気化された液体、昇華された固体として送ることができるか及び/又は不活性のキャリヤーガスによって反応器に運ぶことができると解される。
本発明の幾つかの実施態様では、犠牲材料及び複合材料はプラズマ化学気相成長プロセスによって形成される。簡単に言うと、PECVDプロセスでは、化学試薬は、減圧チャンバーなどの反応チャンバーに流され、プラズマエネルギーにより化学試薬にエネルギーが与えられ、基材の少なくとも一部の上に膜が形成される。これらの実施態様においては、基材の層は、犠牲層を形成するための少なくとも1つのプラズマ重合可能な有機材料と、複合層を形成するための少なくとも1つのシリカ含有前駆体、例えば、有機シラン又は有機シロキサンとを含む混合物ガスの共堆積あるいは逐次堆積により形成することができる。幾つかの実施態様においては、試薬に適用されるプラズマエネルギーは、0.02〜7W/cm2、より好ましくは0.3〜3W/cm2であることができる。各試薬の流量は10〜5000sccmであることができる。本発明のPECVDプロセスに関する堆積の際の減圧チャンバーの圧力値は、0.01〜600torr、より好ましくは1〜10torrであることができる。しかしながら、プラズマエネルギー、流量及び圧力などのプロセスパラメータは、基材の表面積、PECVDプロセスにおいて用いられる設備などの多数の因子に応じて変更することができると解される。
1つ又は複数の化学物質に加えて、追加の材料を、堆積反応の前、その間及び/又はその後に減圧チャンバーに装填することができる。このような材料としては、例えば、不活性ガス(例えば、より低揮発性の前駆体のためのキャリヤーガスとして使用できるか及び/又は堆積されたままの材料の硬化を促進してより安定な最終膜を提供できるHe、Ar、N2、Kr、Xeなど)及び反応性物質、例えば、気体状又は液体の有機物質、NH3、H2、CO2、CO、O2又はN2Oが挙げられる。CO2が好ましいキャリヤーガスである。
エネルギーを気体状試薬に適用し、気体の反応を誘発して基材上に膜を形成させる。このようなエネルギーは、例えば、熱、熱フィラメント、プラズマ、パルスプラズマ、ヘリコンプラズマ、高密度プラズマ、誘導結合プラズマ、及びリモートプラズマ法によって与えることができる。二次RF周波数源を用いて基材表面におけるプラズマ特性を改質することができる。好ましくは、膜はプラズマ化学気相成長によって形成される。容量結合プラズマを13.56MHzの周波数で発生させることが特に好ましい。プラズマ電力は、基材の表面積に基づいて好ましくは0.02〜7W/cm2、より好ましくは0.3〜3W/cm2である。イオン化エネルギーが低くプラズマの電子温度を低下させ、さらに1つ又は複数のOSG前駆体の分解が起こりにくいキャリヤーガスを用いることが有利な場合がある。このタイプの低イオン化ガスの例としては、CO2、NH3、CO、CH4、Ar、Xe及びKrが挙げられる。
各気体状試薬の流量は、単一の200mmウェハ当たり、好ましくは10〜5000sccm、より好ましくは30〜1000sccmである。個々の流量は、膜において所望量の構造形成物質と孔形成物質を提供するよう選択される。必要とされる実際の流量は、ウェハのサイズやチャンバーの形状に左右される場合があり、200mmのウェハ又は単一のウェハチャンバーに決して限定はされない。幾つかの実施態様では、膜は、少なくとも50nm/分の堆積速度で堆積することができる。
堆積時の減圧チャンバーの圧力は、好ましくは0.01〜600torr、より好ましくは1〜15torrである。
膜は0.002〜10μmの厚さに堆積させることが好ましいが、厚さは必要に応じて変えることができる。パターニングされていない表面に堆積されたブランケット膜は、優れた均一性を有し、妥当なエッジ除外で以って基材全体で1標準偏差に関し厚さの変動が2%未満である。ここでは、例えば、基材の最も外側の縁5mmが均一性の統計計算に含まれない。
上述した気相成長法と同様に、幾つかの実施態様では、スピンオン技術を用いた複合膜、例えば、エア・プロダクツ・アンド・ケミカルズのMeso−ELK(商標)膜、例えば、米国特許第7,122,880号明細書に従って堆積される膜を適用することが可能である。
これらの膜は、混合物を用いたスピンオン技術によって一般に形成される。混合物は、少なくとも1つのシリカ源と少なくとも1つのポロゲンとを一般に含む。混合物は、水、1つ又は複数の溶媒、触媒、及び/又はイオン添加剤など、しかしそれらに限定されない他の成分をさらに含むことができる。
先に記載したように、混合物は少なくとも1つのシリカ源を含む。「シリカ源」とは、本明細書で用いられる場合には、ケイ素(Si)と酸素(O)を有し、場合によりH、B、C、P若しくはハライド原子のような他の元素、及びアルキル基若しくはアリール基のような有機基など、しかしそれらに限定されない付加的な置換基を有する化合物である。「アルキル」という語は、本明細書で用いられる場合には、1〜24個の炭素原子、好ましくは1〜12個の炭素原子、より好ましくは1〜5個の炭素原子を含有する直鎖、分枝又は環状のアルキル基を含む。この語はまた、ハロアルキル、アルカリール又はアラルキルなどの他の基に含有されるアルキル部分にも適用する。さらに「アルキル」という語は、例えば、カルボニル官能基で置換されたアルキル部分にも適用する。「アリール」という語は、本明細書で用いられる場合には、芳香族の特徴を有する6〜12員の炭素環に適用する。「アリール」という語はまた置換されたアリール部分にも適用する。シリカ源は、多数のSi−O結合を有するが、さらにSi−O−Si架橋、Si−R−Si架橋、Si−C結合、Si−H結合、Si−F結合、又はC−H結合を含むことができる材料を含むことができる。少なくとも1つのシリカ源は、誘電体材料に最低限のSi−OH結合を与えることが好ましい。
本発明の膜を形成するのに用いられる混合物はポロゲンをさらに含む。「ポロゲン」とは、本明細書で用いられる場合には、得られた膜中に気孔体積を生成するのに用いられる試薬である。本発明の複合材料において使用するのに好適なポロゲンとしては、不安定な有機基、溶媒、分解性ポリマー、界面活性剤、デンドリマー、高分枝ポリマー、ポリオキシアルキレン化合物、有機高分子、又はそれらの組み合わせが挙げられる。好適なポロゲンのなおさらなる例としては、係属中の特許出願の代理人管理番号06274P2号に記載されているポロゲンが挙げられ、この特許出願は本発明の譲受人に譲渡されている。
本発明の幾つかの実施態様においては、ポロゲンは不安定な有機基を含むことができる。幾つかの不安定な有機基が反応混合物中に存在する場合、この不安定な有機基は、硬化工程の際、気体状生成物に転化するのに十分な酸素を含有することができる。不安定な有機基を含有する化合物の幾つかの例は、米国特許第6,171,945号明細書で開示されている化合物を含み、この特許はその参照により全体として本明細書に含まれる。
本発明の幾つかの実施態様においては、ポロゲンは溶媒であることができる。この点について、溶媒は、マトリックス材料の架橋の少なくとも一部の間に一般に存在する。孔の形成を助けるのに典型的に用いられる溶媒は、比較的より高い沸点、即ち、175℃を超える沸点、好ましくは200℃を超える沸点を有する。本発明の混合物中でポロゲンとして使用するのに好適な溶媒としては、例えば、米国特許第6,231,989号明細書で与えられている溶媒が挙げられる。
幾つかの実施態様においては、ポロゲンは、Zhengらの「Synthesis of Mesoporous Silica Materials with Hydroxyacetic Acid Derivatives as Templates via a Sol−Gel Process」,J.Inorg.Organomet.Polymers,10,103−113(2000)の参考文献に記載されているような小分子であることができる。
ポロゲンはまた分解性ポリマーであることもできる。分解性ポリマーは、放射線分解性又はより好ましくは熱分解性であることができる。「ポリマー」という語は、本明細書で用いられる場合には、別段の記載がない限りオリゴマー及び/又はコポリマーという語も包含する。放射線分解性ポリマーは、放射線、例えば、紫外線、X線、電子ビーム等にさらすことによって分解するポリマーである。熱分解性ポリマーは、シリカ源材料の縮合温度に近い温度で熱分解し、架橋の少なくとも一部の間存在している。このようなポリマーは、ガラス化反応の型取りを促進でき、細孔サイズを制御かつ画定でき、及び処理の適切な時点でマトリックスから分解及び拡散できるものである。これらのポリマーの例として、ブロックコポリマー、即ち、ジブロック、トリブロック及びマルチブロックコポリマー;スターブロックコポリマー;ラジアルジブロックコポリマー;グラフトジブロックコポリマー;共グラフトコポリマー;デンドリグラフトコポリマー;テーパーブロックコポリマー;及びそれらアーキテクチャーの組み合わせが挙げられるがそれらに限定されない。分解性ポリマーのさらなる例は、米国特許第6,204,202号明細書に見出され、この特許はその参照により全体として本明細書に含まれる。
ポロゲンは、高分枝ポリマー又はデンドリマーポリマーであることができる。高分枝ポリマー及びデンドリマーポリマーは一般に、低い溶液及び溶融体粘度、表面の官能性による高い化学反応性、並びにより高い分子量のときでさえ改善された溶解性を有する。好適な分解性高分枝ポリマー及びデンドリマーのうち幾つかの限定的でない例は、その参照により全体として本明細書に含まれる「Comprehensive Polymer Science」,2nd Supplement,Aggarwal,71−132頁(1996)で与えられている。
膜形成混合物中のポロゲンはまた、ポリオキシアルキレンの非イオン性界面活性剤、ポリオキシアルキレンポリマー、ポリオキシアルキレンコポリマー、ポリオキシアルキレンオリゴマー、又はそれらの組み合わせなどのポリアルキレン化合物であることもできる。このようなものの例は、ポリエチレンオキシド、ポリプロピレンオキシド、及びそれらのコポリマーなど、C2〜C6のアルキル部分を含むポリアルキレンオキシドである。
本発明のポロゲンはまた界面活性剤を含むこともできる。その後除去される界面活性剤の添加により多孔性が導入されるシリカのゾル−ゲル系膜については、界面活性剤の量を変えることで多孔性を変化させることができる。典型的な界面活性剤は、同時に親水性と疎水性の両方であることができることを意味する両親媒性の性質を示す。両親媒性の界面活性剤は、水に強い親和性を有する1つ又は複数の親水性の頭部基と、親油性でかつ水をはじく長い疎水性の末端を有する。界面活性剤は、アニオン性、カチオン性、非イオン性又は両性であることができる。界面活性剤のさらなる分類には、シリコーン界面活性剤、ポリ(アルキレンオキシド)界面活性剤、及びフルオロケミカル界面活性剤がある。
膜がスピンオンアプローチによって形成される実施態様においては、混合物は、特に少なくとも1つのシリカ源と、ポロゲンと、触媒と、イオン添加剤と、水とを含む。幾つかの好ましい実施態様では、混合物は、溶媒と界面活性剤とをさらに含む。要約すると、基材上に混合物を分配し、溶媒と水を蒸発させることで膜を形成することができる。界面活性剤及び残留溶媒は、一般に被覆された基材を1つ又は複数の温度に複合膜を生成するのに十分な時間硬化することによって除去される。
次いで、被覆基材は、多孔質SiO2又はOSG膜を形成するためにさらに加熱又は硬化される。具体的な温度及び時間は、混合物中の成分、基材及び所望の孔容積に応じて変化する。幾つかの実施態様においては、硬化工程は、制御された勾配又は浸漬よりはむしろ2つ以上の温度で行われる。典型的に300℃未満の第1の温度は、混合物から水及び/又は溶媒を除去し、さらに架橋反応するためのものであることができる。第2の温度は、ポロゲンを除去するためのものであり、実質的にしかし必ずしも完全にではないが、材料を架橋するためのものである。
[有機ポロゲンと犠牲材料の除去]
有機ポロゲン及び犠牲材料は、熱アニーリング、化学処理、現場又はリモートプラズマ処理、電子ビーム処理、光硬化及び/又はマイクロ波処理を含むことができる硬化工程によって除去される。他の現場又は堆積後処理は、残っている多孔質SiO2又は多孔質OSGの材料特性、例えば、硬さ、(収縮、大気暴露、エッチング、ウェットエッチングなどに対する)安定性、完全性、均一性及び付着力を向上させるのに使用することができる。このような処理は、ポロゲンの除去に用いられるのと同じか又は異なる手段によってポロゲンの除去前、その間及び/又はその後に適用することができる。したがって、本明細書で用いられる「後処理」という語は、エネルギー(例えば、熱、プラズマ、光子、電子、マイクロ波など)又は化学物質によって膜を処理してポロゲンを除去し、膜を安定化し及び/又は材料特性を向上させることを表す。
後処理が実施される条件は大きく変えることができる。例えば、後処理は、高圧下又は真空環境下で実施することができる。
アニーリングは次の条件下で実施される。
環境は、不活性(例えば、窒素、CO2、希ガス(He、Ar、Ne、Kr、Xe)など)、酸化性(例えば、酸素、空気、希釈酸素環境、酸素富化環境、オゾン、一酸化二窒素など)又は還元性(希釈又は濃縮水素、炭化水素(飽和、不飽和、直鎖又は分枝、芳香族)など)であることができる。圧力は、好ましくは約1Torr〜約1000Torrである。しかしながら、真空環境もまた、熱アニーリング及び他の任意の後処理手段に関して可能である。温度は200〜500℃が好ましく、温度ランプ速度は0.1〜100℃/分である。合計のアニーリング時間は、0.01分〜12時間が好ましい。
不安定な基の選択的除去及びOSG膜の可能性のある化学改質のためのプラズマ処理は、次の条件下で実施される。
環境は、不活性(窒素、CO2、希ガス(He、Ar、Ne、Kr、Xe)など)、酸化性(例えば、酸素、空気、希釈酸素環境、酸素富化環境、オゾン、一酸化二窒素など)又は還元性(例えば、希釈又は濃縮水素、炭化水素(飽和、不飽和、直鎖又は分枝、芳香族)など)であることができる。プラズマ電力は0〜5000Wが好ましい。温度は周囲温度から500℃が好ましい。圧力は10mtorrから大気圧が好ましい。合計の硬化時間は0.01分〜12時間が好ましい。
UV曝露によるポロゲン及び犠牲有機材料の除去は、次の条件下で実施される。
環境は、不活性(例えば、窒素、CO2、希ガス(He、Ar、Ne、Kr、Xe)など)、酸化性(例えば、酸素、空気、希釈酸素環境、酸素富化環境、オゾン、一酸化二窒素など)又は還元性(例えば、希釈又は濃縮炭化水素、水素など)であることができる。温度は周囲温度から500℃が好ましい。電力は0〜5000Wが好ましい。波長はIR、可視、UV又は深UV(波長<200nm)が好ましい。合計の硬化時間は0.01分〜12時間が好ましい。
マイクロ波曝露によるポロゲン及び犠牲有機材料の除去は、次の条件下で実施される。
環境は、不活性(例えば、窒素、CO2、希ガス(He、Ar、Ne、Kr、Xe)など)、酸化性(例えば、酸素、空気、希釈酸素環境、酸素富化環境、オゾン、一酸化二窒素など)又は還元性(例えば、希釈又は濃縮炭化水素、水素など)であることができる。温度は周囲温度から500℃が好ましい。電力及び波長は、具体的な結合に対して変化し調整できる。合計の硬化時間は0.01分〜12時間が好ましい。
電子ビーム曝露によるポロゲン及び犠牲有機材料の除去は、次の条件下で実施される。
環境は、真空、不活性(例えば、窒素、CO2、希ガス(He、Ar、Ne、Kr、Xe)など)、酸化性(例えば、酸素、空気、希釈酸素環境、酸素富化環境、オゾン、一酸化二窒素など)又は還元性(例えば、希釈又は濃縮炭化水素、水素など)であることができる。温度は周囲温度から500℃が好ましい。電子密度及びエネルギーは、具体的な結合に対して変化し調整できる。合計の硬化時間は0.001分〜12時間が好ましく、連続であってもよいし又はパルスであってもよい。電子ビームの一般的な使用に関する更なる指導は、S.Chattopadhyayら,Journal of Materials Science,36(2001)4323−4330;G.Klosterら,Proceedings of IITC,June 3−5,2002,SF,CA;並びに米国特許第6,207,555号明細書、同第6,204,201号明細書及び同第6,132,814号明細書などの刊行物において利用できる。電子ビーム処理の使用により、ポロゲンの除去及びマトリックス中の結合形性プロセスによる膜の機械的性質の向上を可能とすることができる。
本発明の膜はまた、無機フッ素(例えば、Si−F)の形態で含有することができる。フッ素は、存在する場合、好ましくは0.5〜7原子%の量で含まれる。
膜は、化学機械平坦化(CMP)及び異方性エッチングに適合しており、種々の材料、例えば、シリコン、SiO2、Si34、OSG、FSG、炭化ケイ素、水素化炭化ケイ素、窒化ケイ素、水素化窒化ケイ素、炭窒化ケイ素、水素化炭窒化ケイ素、ホウ窒化物、反射防止コーティング、フォトレジスト、有機ポリマー、多孔質有機材料、多孔質無機材料、金属、例えば、銅及びアルミニウム、並びにTiN、Ti(C)N、TaN、Ta(C)N、Ta、W、WN又はW(C)Nなど、しかしそれらに限定されない拡散バリア層に付着させることができる。膜は、通常の引張試験、例えば、ASTM D3359−95aのテープ引張試験に十分に合格するように、上記材料の少なくとも1つに付着できることが好ましい。試料は、膜の除去が認められない場合に試験に合格したとみなされる。
本発明の製品は、CVDによって堆積することができる任意の形態、例えば、コーティング、多層アセンブリ、及び必ずしも平面又は薄いとは限らない他のタイプの物体、必ずしも集積回路で用いられるとは限らない多くの物体において提供することができる。好ましくは、基材は半導体である。
空隙構造において犠牲材料として使用するための本発明の材料に加えて、本発明は、それらの製品が製造される方法、当該製品を使用する方法、並びに当該製品を調製するのに有用な化合物及び組成物を含む。
図6A〜Gは、本発明の半導体基材を形成するための方法の工程を示す断面図である。図6Aは、犠牲材料層(30)の上のポロゲン及びSiO2又はOSGの層(40)を示す。これらの層の下には、既に製造された空隙の相互接続レベルがあり、これは少なくとも気孔空間(10)と、多孔質シリカ又はOSG層(20)と、金属被覆層(60)と、銅金属(70)とを基材(50)の上部に含む。図6Bでは、少なくともポロゲンとシリカ又はOSGからなる上部層(40)が好ましくはフォトリソグラフィー技術によってエッチングされている。上部層(40)をパターニングした後、図6Cに示されるように、標準的なフォトリソグラフィー技術を用いてエッチングし、ビアレベルを犠牲層(30)にまですることができる。次いで、図6Dに示されるように、Ta、TaN、Ru、Ti、TiN、TiSiNの少なくとも1つを含む銅バリア層(60)が堆積される。次いで、銅(70)が、例えば、電気メッキ又は無電解メッキによって堆積され(図6E)、続いて、銅とバリアのCMPによって平面構造が形成される(図6F)。最後に、図6Gに示されるように、ポロゲンと犠牲層が除去される。
図7A〜7Kに示されているシングルダマシン法は、金属線の間に犠牲層を形成することができる。この方法では、各層は別々に堆積及びエッチングされる。まず、図7A及び7Bにおいて、犠牲層(30)が堆積及びエッチングされる。次いで、図7Cに示されるように、Ta、TaN、Ru、Ti、TiN、TiSiNの少なくとも1つを含む銅バリア層(60)が堆積される。次いで、銅(70)が、例えば、電気メッキ又は無電解メッキによって堆積され(図7D)、続いて、銅とバリアのCMPによって平面構造が形成される(図7E)。次いで、ポロゲンを有する複合シリカ又はSOG層(40)が堆積され(図7F)そしてエッチングされる(図7G)。次いで、図7Hに示されるように、Ta、TaN、Ru、Ti、TiN、TiSiNの少なくとも1つを含む銅バリア層(60)が堆積される。次いで、銅(70)が、例えば、電気メッキ又は無電解メッキによって堆積され(図7I)、続いて、銅とバリアのCMPによって平面構造が形成される(図7J)。最後に、図7Kに示されるように、ポロゲンと犠牲材料を除去することができる。
図8A〜Iは、デュアルダマシンアプローチを用いて金属線の間に空隙を形成する方法を図式的に示す。最初に、図8Aに示されるように、ポロゲンを有する複合シリカ又はOSG層(40)が基材(50)上に堆積される。次いで、図8Bに示されるように、ポロゲンが除去されて多孔質シリカ又はOSG層(20)が形成される。次いで、図8Cに示されるように、犠牲層(30)が多孔質層(20)の上に堆積される。次いで、犠牲層と多孔質層がフォトリソグラフィー技術を用いてエッチングされる(図8D及びE)。この工程では、特に犠牲層が有機材料である場合、犠牲層とフォトレジストの間でエッチ選択性と灰化選択性を向上させるためにハードマスクを使用することが必要な場合がある。次いで、図8Fに示されるように、Ta、TaN、Ru、Ti、TiN、TiSiNの少なくとも1つを含む銅バリア層(60)が堆積される。次いで、銅(70)が、例えば、電気メッキ又は無電解メッキによって堆積され(図8G)、続いて、銅とバリアのCMPによって平面構造が形成される(図8H)。次いで、ポロゲンを有するシリカ又はOSGの複合層(40)が上部に堆積される(図8I)。
先の説明は、例示を意図するものであり、追加の工程及び/又は層が、説明した集積スキームを完全なものにするために必要とされる場合がある。また、幾つかの実施態様では、エッチストップ層、反射防止コーティング、SiO2ハードマスク層、TiN又は他の金属ハードマスク、ライナー、バリア層、Cu拡散層、メタルシード層、金属接着層、炭素ハードマスクなど、構造の画定及び製造を助けるための層を含むことが有利な場合がある。さらなる例としては、ハードマスク層、エッチストップバリア層、接着層、接着促進剤、応力緩衝剤、エッチ後処理、修復化学物質、ビア−ファーストのデュアルダマシンエッチのトレンチ−ファーストのための犠牲層などが挙げられるが、それらに限定されない。
本発明は、以下の例を参照してより詳細に説明されるが、本発明はそれらに限定されないと解されるべきである。
例示的な膜は、Advance Energy 2000高周波発生器を備えた200mm DxZ真空チャンバーにおいて、Applied Materials Precision−5000システムを用い、種々の異なる化学前駆体及びプロセス条件からプラズマCVDプロセスによって形成した。CVDプロセスは、一般に以下の基本的な工程、即ち、初期の設定及びガス流の安定化、堆積、並びにウェハを取り出す前のチャンバーのパージ/排気を伴った。各膜の厚さ及び屈折率は、SCI Filmtek 2000 Reflectometerによって測定した。RIEエッチングは、AMATプラットホーム上で標準的なRIEエッチング手法を用いて実施した。フォトリソグラフィーは、KarlSuss MA6コンタクトステッパーを用いて365nmで実施され、標準的なTMAH現像液を用いて現像した。特徴をRIEエッチングした後、残ったフォトレジストをO2下流灰化ツールで除去した。
適用可能な場合には、熱的な堆積後処理又はアニーリングを、4インチ直径のパージされた石英チューブを備えたApplied Test Systems社のSeries 3210環状炉において2〜4slpmの窒素流量で実施した。ランプ速度は、25から425℃まで毎分13℃であった。425℃で膜を4時間静置した。膜を100℃より低い温度まで冷却した後、それを炉から取り出した。
[例1〜3]
[有機前駆体を用いた犠牲層の堆積]
3つの例示的な犠牲層を本明細書で説明したプラズマCVDプロセスによって基材表面に堆積した。この3つの例示的な犠牲層の堆積条件を表1に与える。
[例4]
[Limo犠牲層とDEMS/Limo複合層の堆積]
犠牲層をLimo液体流量800mg/分、CO2キャリヤーガス流量200sccm、シャワーヘッド/ウェハ間隔350ミリインチ、ウェハチャック温度250℃、チャンバー圧力8Torrで360秒間により堆積し、膜厚289nm及び屈折率1.568の膜を得た。
複合層をLimo犠牲層の上部に液体流量(20/80のDEMS/Limoモル混合)800mg/分、CO2キャリヤーガス流量220sccm、シャワーヘッド/ウェハ間隔350ミリインチ、ウェハチャック温度250℃、チャンバー圧力8Torrで60秒間により堆積し、膜厚114nm及び屈折率1.439の膜を得た。
次いで、このウェハを500nmのShipley 1805レジストでコーティングし、KarlSuss MA6コンタクトステッパーを用いて365nm、12mW/cm2,1秒間の露光で現像した。次いで、パターンをTMAHによって現像した。
次いで、このパターニングされたウェハを以下の順序でエッチングした。まず6秒間の高密度O2プラズマでパターンを洗浄し、続いて140nm/分のエッチ速度を有するC48/O2/Arのエッチ処方により60秒間エッチングしてハードマスクを洗浄し、続いて2回目のO2プラズマで24秒間、ハードマスク上のフォトレジストをエッチングするとともに、犠牲リモネン層をエッチングした。次いで、キャッピング複合層を液体流量(20/80のDEMS/Limoモル混合)800mg/分、CO2キャリヤーガス流量220sccm、シャワーヘッド/ウェハ間隔350ミリインチ、ウェハチャック温度250℃、チャンバー圧力8Torrで180秒間により堆積し、膜厚362nm及び屈折率1.439の膜を得た。
次いで、この構造体を熱アニールし、ポロゲンと犠牲層を除去して空隙を形成した。この積層及び熱アニーリング後の走査電子顕微鏡(SEM)写真を図9Aに示す。
[例5]
シリコンの特徴の選択的エッチングを用いた空隙の製造を、裸のシリコンウェハを熱的に酸化してXeF2/BrF3の選択的エッチングに対するエッチストップを提供することにより始めた。熱的な酸化物の上に0.5μm厚さのポリシリコン層を、シランを用いた高温(900℃)CVDプロセスによって成長させた。アモルファスシリコンなどの他のタイプのシリコンを使用することもできる。ポリシリコンを標準的なフォトリソグラフィー技術とBCl3に基づくRIEプロセスを用いてパターニングした。ポリシリコンをエッチングした後、フォトレジストをO2プラズマで灰化し、次いでパターニングしたポリシリコンの特徴をエア・プロダクツ独自のMeso−ELK(商標)スピンオン多孔質OSGプロセスにより複合OSG層でコーティングした。次いで、積層を空気中400℃で焼成してポロゲンを除去した。試料を10TorrのBrF3に室温で1時間さらした。BrF3はMeso−ELK構造を通って拡散し、シリコンの特徴を選択的にエッチングした。BrF3を用いたシリコン層の選択的エッチングの後に形成された空隙のSEM写真を図9Bに示す。この製造プロセスではXeF2を使用することも可能である。
[例6]
水溶性の犠牲層を用いた空隙の製造を、シリコンウェハ上に1.2μmのGeO2層を堆積することで開始した。PECVDを用いてMe4GeとO2からGeO2を堆積したが、他のゲルマニウム系前駆体、例えば、Ge(OEt)4を使用することも可能である。フォトレジストのTMAH現像の際に水分バリアとして働くように、Me4SiとO2を用いてGeO2上にSiO2層を堆積した。同様に、多くの異なるSiO2又はOSG前駆体を水分バリアに使用することが可能である。フォトレジストをパターニングして現像した後、SiO2/GeO2スタックをCF4/Ar/O2のRIEプロセスにおいてエッチングした。O2プラズマ灰化プロセスにおいてフォトレジストを除去した後、SiO2キャップは残してもよいし又はCF4/Ar/O2のRIEプロセスの時に除去してもよい。本例では、キャップはエッチングの際に除去した。次いで、パターニングしたGeO2をエア・プロダクツ独自のMeso−ELK(商標)スピンオン多孔質OSGプロセスにより複合OSG層でコーティングした。複合層を空気中400℃で焼成してポロゲンを除去した。多孔質OSGでコーティングした後、膜を水中に10分間浸漬し、その間にGeO2を溶解した。多孔質OSG層の下の部分的に溶解したGeO2犠牲層のSEM断面を図9Cに示す。このプロセスは、B23又は他の水溶性金属酸化物材料を犠牲材料として使用して同様のスキームで実施することも可能である。
本発明の技術は、3つの異なる犠牲材料を用いて空隙構造を製造することに成功した。第1の材料は不安定な有機犠牲材料であり、これは多孔質OSG層に孔を生成するのに使用したのと同じ不安定な材料であり、この単一性によって構造の生成が可能となる。犠牲材料とポロゲンの両方に同じ材料を用いることで、両方の層で同じPECVDチャンバーを使用でき、1つのアニーリング工程を用いて空隙を生成しかつ多孔質OSGを生成して空隙生成からの副産物がそれを通って拡散する。このプロセスの別の利点は、PECVD堆積プロセスを250℃を超える温度で実施でき、スピンオンポリマーと比べて寸法的に安定な犠牲材料を可能にすることである。
第2の犠牲材料は水溶性GeO2構造体であった。犠牲材料として無機材料を用いることで構造により高い機械強度を与え、この構造の製造においてより標準的なエッチプロセスを使用することが可能になった。空隙の製造におけるGeO2又はB23に関する1つの難点は、現像液、ストリッパー、Cu堆積及びCMPなどの湿式プロセスに対してセンシティブなことであった。GeO2/B23構造をハードマスク又はCuバリアによってこれらのプロセスから保護することができれば、GeO2/B23はその熱的な安定性と機械強度によって特有の利点を提供する。
最後の成功した犠牲材料はシリコンであった。シリコンを使用できたのは、SiO2又はOSGに対するシリコンのXeF2又はBrF3熱エッチング選択性と、幾つかの多孔質OSG層のいずれも、例えば、PDEMS(商標)又はMeso−ELK多孔質誘電体を用いて堆積できることによる。繰り返しになるが、無機犠牲層を用いることで、製造の際に構造により高い機械強度を与え、より標準的な異方性エッチプロセスが可能になった。
本発明は詳細にかつ本発明の具体例を参照して説明されたが、本発明の趣旨及び範囲から逸脱することなく、種々の変更及び改良を本発明において行うことができることは当業者にとって明らかである。
本発明の実施形態としては、以下の実施形態を挙げることができる。
(付記1)(a)基材を用意する工程;
(b)該基材上に少なくとも1つの有機前駆体を用いて犠牲層を堆積する工程;
(c)工程(b)における少なくとも1つの有機前駆体であるポロゲンと少なくとも1つのシリカ含有前駆体又は有機ケイ酸塩ガラス(OSG)前駆体を用いて前記基材上に複合層を堆積する工程;及び
(d)前記犠牲層と前記複合層を有する基材にエネルギーを適用し、該犠牲層を除去して空隙を与えかつ前記ポロゲンを除去して多孔質層を形成する適用工程
を含む、空隙を形成するための方法。
(付記2)前記少なくとも1つの有機前駆体が、
(1)環式構造及び式C n 2n を有する少なくとも1つの環式炭化水素(式中、nは4〜14であり、該環式構造中の炭素数は4〜10であり、該少なくとも1つの環式炭化水素は、任意選択で、該環式構造上に置換された複数の単純又は分枝炭化水素を含む);
(2)一般式C n (2n+2)-2y の少なくとも1つの直鎖又は分枝の、飽和の、単又は複不飽和の炭化水素(式中、nは2〜20であり、yは0〜nである);
(3)環式構造及び式C n 2n-2x を有する少なくとも1つの単又は複不飽和の環式炭化水素(式中、xは不飽和部位の数であり、nは4〜14であり、該環式構造中の炭素数は4〜10であり、該少なくとも1つの単又は複不飽和の環式炭化水素は、任意選択で、該環式構造上に置換された複数の単純又は分枝炭化水素を含み、環内不飽和を含むか又は炭化水素置換基の1つに不飽和を含む);
(4)二環式構造及び式C n 2n-2 を有する少なくとも1つの二環式炭化水素(式中、nは4〜14であり、該二環式構造中の炭素数は4〜12であり、該少なくとも1つの二環式炭化水素は、任意選択で、該二環式構造上に置換された複数の単純又は分枝炭化水素を含む);
(5)二環式構造及び式C n 2n-(2+2x) を有する少なくとも1つの複不飽和の二環式炭化水素(式中、xは不飽和部位の数であり、nは4〜14であり、該二環式構造中の炭素数は4〜12であり、該少なくとも1つの複不飽和の二環式炭化水素は、任意選択で、該二環式構造上に置換された複数の単純又は分枝炭化水素を含み、環内不飽和を含むか又は炭化水素置換基の1つに不飽和を含む);
(6)三環式構造及び式C n 2n-4 を有する少なくとも1つの三環式炭化水素(式中、nは4〜14であり、該三環式構造中の炭素数は4〜12であり、該少なくとも1つの三環式炭化水素は、任意選択で、該環式構造上に置換された複数の単純又は分枝炭化水素を含む);
(7)1つ又は複数のアルコール基を含有しかつ一般式C n 2n+2-2x-2y-z (OH) z を有する少なくとも1つの炭化水素構造体(式中、nは1〜12であり、xは該構造体中の環状環の数であって0〜4であり、yは該構造体中の不飽和結合の数であって0〜nであり、zは化合物中のアルコール基の数であって1〜4であり、アルコール官能性は環外及び/又は環内にあることができる);
(8)1つ又は複数のエーテル基を含有しかつ一般式C n 2n+2-2x-2y z を有する少なくとも1つの炭化水素構造体(式中、nは1〜12であり、xは該構造体中の環状環の数であって0〜4であり、yは該構造体中の不飽和結合の数であって0〜nであり、zは該構造体中のエーテル結合の数であって1〜4であり、1つ又は複数のエーテル結合は環外及び/又は環内にあることができる);
(9)1つ又は複数のエポキシド基を含有しかつ一般式C n 2n+2-2x-2y-2z z を有する少なくとも1つの炭化水素構造体(式中、nは1〜12であり、xは該構造体中の環状環の数であって0〜4であり、yは該構造体中の不飽和結合の数であって0〜nであり、zは該構造体中のエポキシド基の数であって1〜4であり、該エポキシド基は環状環又は直鎖に結合することができる);
(10)1つ又は複数のアルデヒド基を含有しかつ一般式C n 2n+2-2x-2y-2z z を有する少なくとも1つの炭化水素構造体(式中、nは1〜12であり、xは該構造体中の環状環の数であって0〜4であり、yは該構造体中の不飽和結合の数であって0〜nであり、zは該構造体中のアルデヒド基の数であって1〜4である);
(11)1つ又は複数のケトン基を含有しかつ一般式C n 2n+2-2x-2y-2z z を有する少なくとも1つの炭化水素構造体(式中、nは1〜12であり、xは該構造体中の環状環の数であって0〜4であり、yは該構造体中の不飽和結合の数であって0〜nであり、zは該構造体中のアルデヒド基の数であって1〜4であり、1つ又は複数のケトン基は環外及び/又は環内にあることができる);
(12)1つ又は複数のカルボン酸基を含有しかつ一般式C n 2n+2-2x-2y-3z (OOH) z を有する少なくとも1つの炭化水素構造体(式中、nは1〜12であり、xは該構造体中の環状環の数であって0〜4であり、yは該構造体中の不飽和結合の数であって0〜nであり、zは該構造体中のカルボン酸基の数であって1〜4である);
(13)偶数のカルボン酸基を含有し、酸性官能性が脱水されて環状無水物基を形成し、一般式C n 2n+2-2x-2y-6z (O 3 z を有する少なくとも1つの炭化水素構造体(式中、nは1〜12であり、xは該構造体中の環状環の数であって0〜4であり、yは該構造体中の不飽和結合の数であって0〜nであり、zは該構造体中の無水物基の数であって1又は2である);
(14)エステル基を含有しかつ一般式C n 2n+2-2x-2y-2z (O 2 z を有する少なくとも1つの炭化水素構造体(式中、nは1〜12であり、xは該構造体中の環状環の数であって0〜4であり、yは該構造体中の不飽和結合の数であり、不飽和結合はエステルのカルボニル基と共役ではなく、zは該構造体中の無水物基の数であって1又は2である);
(15)エステル基と該エステル基のカルボニルと共役である少なくとも1つの不飽和結合とからなるアクリレート官能性を含有し、かつ一般式C n 2n+2-2x-2y-2z (O 2 z を有する少なくとも1つの炭化水素構造体(式中、nは1〜12であり、xは該構造体中の環状環の数であって0〜4であり、yは該構造体中の不飽和結合の数であって1以上であり、不飽和結合の少なくとも1つはエステルのカルボニル基と共役であり、zは該構造体中のエステル基の数であって1又は2である);
(16)エーテルとカルボニル官能基の両方を含有しかつ一般式C n 2n+2-2w-2x-2y (O) y (O) z を有する少なくとも1つの炭化水素構造体(式中、nは1〜12であり、wは該構造体中の環状環の数であって0〜4であり、xは該構造体中の不飽和結合の数であって0〜nであり、yはケトン及び/又はアルデヒドであることができる該構造体中のカルボニル基の数であり、zは該構造体中のエーテル基の数であって1又は2であり、1つ又は複数のエーテル基は環内又は環外にあることができる);
(17)エーテルとアルコール官能基の両方を含有しかつ一般式C n 2n+2-2w-2x-y (OH) y (O) z を有する少なくとも1つの炭化水素構造体(式中、nは1〜12であり、wは該構造体中の環状環の数であって0〜4であり、xは該構造体中の不飽和結合の数であって0〜nであり、yは該構造体中のアルコール基の数であり、zは該構造体中のエーテル基の数であって1又は2であり、1つ又は複数のエーテル基は環内又は環外にあることができる);
(18)アルコール、エーテル、カルボニル、及びカルボン酸から選択された官能基の任意の組み合わせを含有しかつ一般式C n 2n+2-2u-2v-w-2y-3z (OH) w (O) x (O) y (OOH) z を有する少なくとも1つの炭化水素構造体(式中、nは1〜12であり、uは該構造体中の環状環の数であって0〜4であり、vは該構造体中の不飽和結合の数であって0〜nであり、wは該構造体中のアルコール基の数であって0〜4であり、xは該構造体中のエーテル基の数であって0〜4であり、1つ又は複数のエーテル基は環内又は環外にあることができ、yはケトン及び/又はアルデヒドであることができる該構造体中のカルボニル基の数であって0〜3であり、zは該構造体中のカルボン酸基の数であって0〜2である);
(19)1つ又は複数の第一アミン基を含有しかつ一般式C n 2n+2-2x-2y-z (NH 2 z を有する少なくとも1つの炭化水素構造体(式中、nは1〜12であり、xは該構造体中の環状環の数であって0〜4であり、yは該構造体中の不飽和結合の数であって0〜nであり、zは化合物中のアミン基の数であって1〜4であり、アミン官能性は環外及び/又は環内にあることができる);
(20)1つ又は複数の第二アミン基を含有しかつ一般式C n 2n+2-2x-2y-2z (NH) z を有する少なくとも1つの炭化水素構造体(式中、nは1〜12であり、xは該構造体中の環状環の数であって0〜4であり、yは該構造体中の不飽和結合の数であって0〜nであり、zは化合物中の第二アミン基の数であって1〜4であり、アミン官能性は環外及び/又は環内にあることができる);
(21)1つ又は複数の第三アミン基を含有しかつ一般式C n 2n+2-2x-2y-3z (N) z を有する少なくとも1つの炭化水素構造体(式中、nは1〜12であり、xは該構造体中の環状環の数であって0〜4であり、yは該構造体中の不飽和結合の数であって0〜nであり、zは化合物中の第三アミン基の数であって1〜4であり、アミン官能性は環外及び/又は環内にあることができる);
(22)1つ又は複数のニトロ基を含有しかつ一般式C n 2n+2-2x-2y-z (NO 2 z を有する少なくとも1つの炭化水素構造体(式中、nは1〜12であり、xは該構造体中の環状環の数であって0〜4であり、yは該構造体中の不飽和結合の数であって0〜nであり、zは化合物中のニトロ基の数であって1〜4であり、ニトロ官能性は環外及び/又は環内にあることができる);
(23)アミンとエーテル官能基の両方を含有しかつ一般式C n 2n+2-2u-2v-w-2x-3y-z (NH 2 w (NH) x (N) y (OH) z を有する少なくとも1つの炭化水素構造体(式中、nは1〜12であり、uは該構造体中の環状環の数であって0〜4であり、vは該構造体中の不飽和結合の数であって0〜nであり、wは第一アミン基の数であり、xは第二アミン基の数であり、yは第三アミン基の数であり、1<w+x+y<4であり、zは化合物中のアルコール基の数であって1〜4であり、アルコール及び/又はアミン基は環外及び/又は環内にあることができる);
(24)アミンとアルコール官能基の両方を含有しかつ一般式C n 2n+2-2u-2v-w-2x-3y-z (NH 2 w (NH) x (N) y (OH) z を有する少なくとも1つの炭化水素構造体(式中、nは1〜12であり、uは該構造体中の環状環の数であって0〜4であり、vは該構造体中の不飽和結合の数であって0〜nであり、wは第一アミン基の数であり、xは第二アミン基の数であり、yは第三アミン基の数であり、1<w+x+y<4であり、zは化合物中のエーテル基の数であって1〜4であり、エーテル及び/又はアミン基は環外及び/又は環内にあることができる);及び
(25)アミンとカルボニル官能基の両方を含有しかつ一般式C n 2n+2-2u-2v-w-2x-3y-2z (NH 2 w (NH) x (N) y (O) z を有する少なくとも1つの炭化水素構造体(式中、nは1〜12であり、uは該構造体中の環状環の数であって0〜4であり、vは該構造体中の不飽和結合の数であって0〜nであり、wは第一アミン基の数であり、xは第二アミン基の数であり、yは第三アミン基の数であり、1<w+x+y<4であり、zは化合物中のカルボニル基の数であって1〜4であり、カルボニル基は1つ又は複数のアルデヒド及び/又はケトンであることができ、カルボニル及び/又はアミン基は環外及び/又は環内にあることができる)
からなる群より選択される少なくとも1つの要素である、付記1に記載の空隙を形成するための方法。
(付記3)前記少なくとも1つの有機前駆体が、α−テルピネン、リモネン、シクロヘキサン、1,2,4−トリメチルシクロヘキサン、1,5−ジメチル−1,5−シクロオクタジエン、カンフェン、アダマンタン、1,3−ブタジエン、置換ジエン、デカヒドロナフタレン、1,5−シクロオクタジエン、シクロオクタン、シクロオクテン、ノルボルナジエン、5−エチリデン−2−ノルボルネン、シクロペンテンオキシド、及びシクロペンタノンからなる群より選択される少なくとも1つの要素である、付記1に記載の空隙を形成するための方法。
(付記4)犠牲層、複合層、多孔質層、及びそれらの組み合わせからなる群より選択される層をパターニングする工程をさらに含む、付記1に記載の空隙を形成するための方法。
(付記5)前記犠牲層及び複合層の堆積の両方が、化学気相成長(CVD)によるものである、付記1に記載の空隙を形成するための方法。
(付記6)前記犠牲層及び複合層の堆積の両方が、プラズマ化学気相成長(PECVD)によるものである、付記1に記載の空隙を形成するための方法。
(付記7)前記犠牲層及び複合層が、1つの化学気相成長(CVD)工程において堆積される、付記1に記載の空隙を形成するための方法。
(付記8)前記犠牲層及び複合層が、1つのプラズマ化学気相成長(PECVD)工程において堆積される、付記1に記載の空隙を形成するための方法。
(付記9)前記適用工程(d)のエネルギーが、α粒子、β粒子、γ線、X線、高エネルギー電子、電子ビーム、可視光、赤外光、マイクロ波周波数、高周波、プラズマ、及びそれらの組み合わせからなる群より選択される少なくとも1つを含む、付記1に記載の空隙を形成するための方法。
(付記10)前記適用工程(d)のエネルギーが紫外線である、付記1に記載の空隙を形成するための方法。
(付記11)前記適用工程(d)が、紫外線出力が0〜5000Wであり;雰囲気条件が不活性、酸化性及び還元性からなる群より選択され;温度が周囲温度から500℃であり;曝露時間が0.01分〜12時間であるときに実施される、付記10に記載の空隙を形成するための方法。
(付記12)前記適用工程(d)のエネルギーが熱エネルギーである、付記1に記載の空隙を形成するための方法。
(付記13)前記適用工程(d)が、圧力が10mtorrから大気圧であり;雰囲気条件が不活性、酸化性及び還元性からなる群より選択され;温度が周囲温度から500℃であり;曝露時間が0.01分〜12時間であるときに実施される、付記12に記載の空隙を形成するための方法。
(付記14)前記多孔質層の孔を充填する充填工程をさらに含む、付記1に記載の空隙を形成するための方法。
(付記15)前記充填工程が、活性化によって重合することができる重合可能な有機種で孔を充填することにより実施される、付記14に記載の空隙を形成するための方法。
(付記16)多層構造を作製するために前記工程(a)〜(d)を少なくとも1回繰り返す工程をさらに含む、付記1に記載の空隙を形成するための方法。
(付記17)前記多孔質層の孔を充填する充填工程をさらに含む、付記16に記載の空隙を形成するための方法。
(付記18)前記充填工程が、活性化によって重合することができる重合可能な有機種で孔を充填することにより実施される、付記17に記載の空隙を形成するための方法。
(付記19)(a)基材を用意する工程;
(b)シリコンを含む犠牲層を堆積する工程;
(c)ポロゲンと少なくとも1つのシリカ含有前駆体又は有機ケイ酸塩ガラス(OSG)前駆体を用いて複合層を堆積する工程;
(d)前記犠牲層と前記複合層を有する基材にエネルギーを適用して前記ポロゲンを除去し多孔質層を形成する適用工程;及び
(e)前記犠牲層と前記多孔質層を有する基材を、該多孔質層を介して拡散し前記犠牲層を選択的に除去して空隙を形成することができる減圧下でフッ素含有試薬と接触させる工程
を含む、空隙を形成するための方法。
(付記20)前記フッ素含有試薬がXeF 2 又はBrF 3 である、付記19に記載の空隙を形成するための方法。
(付記21)前記フッ素含有試薬が、HF、希ガスのハロゲン化物、ハロゲン間化合物、ClF 3 、及びそれらの混合物からなる群より選択されたガスである、付記19に記載の空隙を形成するための方法。
(付記22)前記工程(e)が、温度が150℃よりも低いときに実施される、付記19に記載の空隙を形成するための方法。
(付記23)前記犠牲層がポリシリコン又はアモルファスシリコンを含む、付記19に記載の空隙を形成するための方法。
(付記24)前記基材の基層を保護するためのエッチストップ層を形成する工程をさらに含む、付記19に記載の空隙を形成するための方法。
(付記25)前記エッチストップ層が、シリコン基材を熱酸化することにより形成されるSiO 2 層である、付記24に記載の空隙を形成するための方法。
(付記26)犠牲層、複合層、多孔質層、及びそれらの組み合わせからなる群より選択される層をパターニングする工程をさらに含む、付記19に記載の空隙を形成するための方法。
(付記27)前記工程(b)の犠牲層の堆積が、化学気相成長によるものである、付記19に記載の空隙を形成するための方法。
(付記28)前記工程(b)の犠牲層の堆積が、プラズマ化学気相成長(PECVD)によるものである、付記19に記載の空隙を形成するための方法。
(付記29)前記工程(c)の複合層の堆積が、化学気相成長、スピンオンコーティング、ディップコーティング、及びミスト堆積からなる群より選択されるプロセスによるものである、付記19に記載の空隙を形成するための方法。
(付記30)前記適用工程(d)のエネルギーが、α粒子、β粒子、γ線、X線、高エネルギー電子、電子ビーム、可視光、赤外光、マイクロ波周波数、高周波、プラズマ、及びそれらの組み合わせからなる群より選択される少なくとも1つを含む、付記19に記載の空隙を形成するための方法。
(付記31)前記適用工程(d)のエネルギーが紫外線である、付記19に記載の空隙を形成するための方法。
(付記32)前記適用工程(d)が、紫外線出力が0〜5000Wであり;雰囲気条件が不活性、酸化性及び還元性からなる群より選択され;温度が周囲温度から500℃であり;曝露時間が0.01分〜12時間であるときに実施される、付記31に記載の空隙を形成するための方法。
(付記33)前記適用工程(d)のエネルギーが熱エネルギーである、付記19に記載の空隙を形成するための方法。
(付記34)前記適用工程(d)が、圧力が10mtorrから大気圧であり;雰囲気条件が不活性、酸化性及び還元性からなる群より選択され;温度が周囲温度から500℃であり;曝露時間が0.01分〜12時間であるときに実施される、付記33に記載の空隙を形成するための方法。
(付記35)前記多孔質層の孔を充填する充填工程をさらに含む、付記19に記載の空隙を形成するための方法。
(付記36)前記充填工程が、活性化によって重合することができる重合可能な有機種で孔を充填することにより実施される、付記35に記載の空隙を形成するための方法。
(付記37)多層構造を作製するために前記工程(a)〜(e)を少なくとも1回繰り返す工程をさらに含む、付記19に記載の空隙を形成するための方法。
(付記38)前記多孔質層の孔を充填する充填工程をさらに含む、付記37に記載の空隙を形成するための方法。
(付記39)前記充填工程が、活性化によって重合することができる重合可能な有機種で孔を充填することにより実施される、付記38に記載の空隙を形成するための方法。
(付記40)(a)基材を用意する工程;
(b)金属前駆体を用いて極性溶媒に可溶な金属酸化物の犠牲層を堆積する工程;
(c)ポロゲンと少なくとも1つのシリカ含有前駆体又は有機ケイ酸塩ガラス(OSG)前駆体を用いて複合層を堆積する工程;
(d)前記犠牲層と前記複合層を有する基材にエネルギーを適用して前記ポロゲンを除去し多孔質層を形成する適用工程;及び
(e)前記犠牲層と前記多孔質層を有する基材を、該多孔質層を介して拡散することができる極性溶媒と接触させ、前記犠牲層を除去して空隙を形成する工程
を含む、空隙を形成するための方法。
(付記41)前記工程(b)の極性溶媒に可溶な金属酸化物の犠牲層の堆積と、前記工程(d)の複合層の堆積が、化学気相成長、スピンオンコーティング、ディップコーティング、及びミスト堆積からなる群より選択されるプロセスによるものである、付記40に記載の空隙を形成するための方法。
(付記42)前記極性溶媒に可溶な金属酸化物の前駆体がゲルマニウム(Ge)系前駆体又はボロン(B)系前駆体であり、かつ前記極性溶媒に可溶な金属酸化物の犠牲層がGeO 2 層又はB 2 3 層である、付記40に記載の空隙を形成するための方法。
(付記43)前記ゲルマニウム(Ge)系前駆体が、テトラメチルゲルマン、ゲルマン、テトラメトキシゲルマニウム及びテトラエトキシゲルマニウムからなる群より選択され、かつ前記ボロン(B)系前駆体が、トリメチルボロン、トリメトキシボラン、トリエトキシボラン及びジボランからなる群より選択される、付記42に記載の空隙を形成するための方法。
(付記44)前記工程(e)の極性溶媒が、アルコール、エーテル、ヘテロ原子含有分子、エステル、ケトン、アルデヒド及びそれらの混合物からなる群より選択される、付記40に記載の空隙を形成するための方法。
(付記45)前記工程(e)の極性溶媒が水である、付記40に記載の空隙を形成するための方法。
(付記46)多孔質誘電体層を介した前記極性溶媒の拡散を促進するために該極性溶媒に界面活性剤がさらに加えられる、付記40に記載の空隙を形成するための方法。
(付記47)犠牲層、複合層、多孔質層、及びそれらの組み合わせからなる群より選択される層をパターニングする工程をさらに含む、付記40に記載の空隙を形成するための方法。
(付記48)前記適用工程(d)のエネルギーが、α粒子、β粒子、γ線、X線、高エネルギー電子、電子ビーム、紫外線、可視光、赤外光、マイクロ波周波数、高周波、熱、プラズマ、及びそれらの組み合わせからなる群より選択される少なくとも1つを含む、付記40に記載の空隙を形成するための方法。
(付記49)前記適用工程(d)のエネルギーが紫外線である、付記40に記載の空隙を形成するための方法。
(付記50)前記適用工程(d)が、紫外線出力が0〜5000Wであり;雰囲気条件が不活性、酸化性及び還元性からなる群より選択され;温度が周囲温度から500℃であり;曝露時間が0.01分〜12時間であるときに実施される、付記49に記載の空隙を形成するための方法。
(付記51)前記適用工程(d)のエネルギーが熱エネルギーである、付記40に記載の空隙を形成するための方法。
(付記52)前記適用工程(d)が、圧力が10mtorrから大気圧であり;雰囲気条件が不活性、酸化性及び還元性からなる群より選択され;温度が周囲温度から500℃であり;曝露時間が0.01分〜12時間であるときに実施される、付記51に記載の空隙を形成するための方法。
(付記53)前記多孔質層の孔を充填する充填工程をさらに含む、付記40に記載の空隙を形成するための方法。
(付記54)前記充填工程が、活性化によって重合することができる重合可能な有機種で孔を充填することにより実施される、付記53に記載の空隙を形成するための方法。
(付記55)多層構造を作製するために前記工程(a)〜(e)を少なくとも1回繰り返す工程をさらに含む、付記40に記載の空隙を形成するための方法。
(付記56)前記多孔質層の孔を充填する充填工程をさらに含む、付記55に記載の空隙を形成するための方法。
(付記57)前記充填工程が、活性化によって重合することができる重合可能な有機種で孔を充填することにより実施される、付記56に記載の空隙を形成するための方法。
(付記58)(a)基材を用意する工程;
(b)金属前駆体を用いて極性溶媒に可溶な金属酸化物の犠牲層を堆積する工程;
(c)工程(b)における極性溶媒に可溶な金属酸化物であるポロゲンと少なくとも1つのシリカ含有前駆体又は有機ケイ酸塩ガラス(OSG)前駆体を用いて複合層を堆積する工程;
(d)前記犠牲層と前記複合層を有する基材を極性溶媒と接触させ、前記ポロゲンを除去して多孔質層を形成し、前記犠牲層を除去して空隙を形成する工程
を含む、空隙を形成するための方法。
(付記59)前記工程(b)の極性溶媒に可溶な金属酸化物の犠牲層の堆積と、前記工程(d)の複合層の堆積が、化学気相成長、スピンオンコーティング、ディップコーティング、及びミスト堆積からなる群より選択されるプロセスによるものである、付記58に記載の空隙を形成するための方法。
(付記60)前記極性溶媒に可溶な金属酸化物の前駆体がゲルマニウム(Ge)系前駆体又はボロン(B)系前駆体であり、かつ前記極性溶媒に可溶な金属酸化物の犠牲層がGeO 2 層又はB 2 3 層である、付記58に記載の空隙を形成するための方法。
(付記61)前記ゲルマニウム(Ge)系前駆体が、テトラメチルゲルマン、ゲルマン、テトラメトキシゲルマニウム及びテトラエトキシゲルマニウムからなる群より選択され、かつ前記ボロン(B)系前駆体が、トリメチルボロン、トリメトキシボラン、トリエトキシボラン及びジボランからなる群より選択される、付記58に記載の空隙を形成するための方法。
(付記62)前記工程(e)の極性溶媒が、アルコール、エーテル、ヘテロ原子含有分子、エステル、ケトン、アルデヒド及びそれらの混合物からなる群より選択される、付記58に記載の空隙を形成するための方法。
(付記63)前記工程(e)の極性溶媒が水である、付記58に記載の空隙を形成するための方法。
(付記64)多孔質誘電体層を介した前記極性溶媒の拡散を促進するために該極性溶媒に界面活性剤がさらに加えられる、付記58に記載の空隙を形成するための方法。
(付記65)犠牲層、複合層、多孔質層、及びそれらの組み合わせからなる群より選択される層をパターニングする工程をさらに含む、付記58に記載の空隙を形成するための方法。
(付記66)前記多孔質層の孔を充填する充填工程をさらに含む、付記58に記載の空隙を形成するための方法。
(付記67)前記充填工程が、活性化によって重合することができる重合可能な有機種で孔を充填することにより実施される、付記66に記載の空隙を形成するための方法。
(付記68)多層構造を作製するために前記工程(a)〜(e)を少なくとも1回繰り返す工程をさらに含む、付記58に記載の空隙を形成するための方法。
(付記69)前記多孔質層の孔を充填する充填工程をさらに含む、付記68に記載の空隙を形成するための方法。
(付記70)前記充填工程が、活性化によって重合することができる重合可能な有機種で孔を充填することにより実施される、付記69に記載の空隙を形成するための方法。
10 気孔空間
20 多孔質層
30 犠牲層
40 上部層
50 基材
60 銅バリア層
70 銅

Claims (18)

  1. (a)基材を用意する工程;
    (b)ポリシリコン又はアモルファスシリコンを含む犠牲層を堆積する工程;
    (c)ポロゲンと少なくとも1つのシリカ含有前駆体又は有機ケイ酸塩ガラス(OSG)前駆体を用いて複合層を堆積する工程;
    (d)前記犠牲層と前記複合層を有する基材にエネルギーを適用して前記ポロゲンを除去し多孔質層を形成する適用工程;及び
    (e)前記犠牲層と前記多孔質層を有する基材を、該多孔質層を介して拡散し前記犠牲層を選択的に除去して空隙を形成することができる減圧下でフッ素含有試薬と接触させる工程
    を含む、空隙を形成するための方法。
  2. 前記フッ素含有試薬がXeF2又はBrF3である、請求項1に記載の空隙を形成するための方法。
  3. 前記フッ素含有試薬が、HF、希ガスのハロゲン化物、ハロゲン間化合物、ClF3、及びそれらの混合物からなる群より選択されたガスである、請求項1に記載の空隙を形成するための方法。
  4. 前記工程(e)が、温度が150℃よりも低いときに実施される、請求項1に記載の空隙を形成するための方法。
  5. 前記基材の基層を保護するためのエッチストップ層を形成する工程をさらに含む、請求項1に記載の空隙を形成するための方法。
  6. 前記エッチストップ層が、シリコン基材を熱酸化することにより形成されるSiO2層である、請求項に記載の空隙を形成するための方法。
  7. 前記工程(b)の犠牲層の堆積が、化学気相成長によるものである、請求項1に記載の空隙を形成するための方法。
  8. 前記工程(b)の犠牲層の堆積が、プラズマ化学気相成長(PECVD)によるものである、請求項1に記載の空隙を形成するための方法。
  9. 前記工程(c)の複合層の堆積が、化学気相成長、スピンオンコーティング、ディップコーティング、及びミスト堆積からなる群より選択されるプロセスによるものである、請求項1に記載の空隙を形成するための方法。
  10. 前記適用工程(d)のエネルギーが、α粒子、β粒子、γ線、X線、高エネルギー電子、電子ビーム、可視光、赤外光、マイクロ波周波数、高周波、プラズマ、及びそれらの組み合わせからなる群より選択される少なくとも1つを含む、請求項1に記載の空隙を形成するための方法。
  11. 前記適用工程(d)のエネルギーが紫外線である、請求項に記載の空隙を形成するための方法。
  12. 前記適用工程(d)が、紫外線出力が0〜5000Wであり;雰囲気条件が不活性、酸化性及び還元性からなる群より選択され;温度が周囲温度から500℃であり;曝露時間が0.01分〜12時間であるときに実施される、請求項11に記載の空隙を形成するための方法。
  13. 前記適用工程(d)のエネルギーが熱エネルギーである、請求項に記載の空隙を形成するための方法。
  14. 前記適用工程(d)が、圧力が10mtorrから大気圧であり;雰囲気条件が不活性、酸化性及び還元性からなる群より選択され;温度が周囲温度から500℃であり;曝露時間が0.01分〜12時間であるときに実施される、請求項13に記載の空隙を形成するための方法。
  15. 多層構造を作製するために前記工程(a)〜(e)を少なくとも1回繰り返す工程をさらに含む、請求項に記載の空隙を形成するための方法。
  16. 犠牲層、複合層、多孔質層、及びそれらの組み合わせからなる群より選択される層をパターニングする工程をさらに含む、請求項に記載の空隙を形成するための方法。
  17. 前記多孔質層の孔を充填する充填工程をさらに含む、請求項に記載の空隙を形成するための方法。
  18. 前記充填工程が、活性化によって重合することができる重合可能な有機種で孔を充填することにより実施される、請求項17に記載の空隙を形成するための方法。
JP2011168789A 2006-04-18 2011-08-01 制御された気孔を形成するための材料及び方法 Active JP5485953B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US79279306P 2006-04-18 2006-04-18
US60/792,793 2006-04-18
US11/693,707 2007-03-29
US11/693,707 US8399349B2 (en) 2006-04-18 2007-03-29 Materials and methods of forming controlled void

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2007109320A Division JP4838190B2 (ja) 2006-04-18 2007-04-18 制御された気孔を形成するための材料及び方法

Publications (2)

Publication Number Publication Date
JP2011233926A JP2011233926A (ja) 2011-11-17
JP5485953B2 true JP5485953B2 (ja) 2014-05-07

Family

ID=38322643

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2007109320A Active JP4838190B2 (ja) 2006-04-18 2007-04-18 制御された気孔を形成するための材料及び方法
JP2011168789A Active JP5485953B2 (ja) 2006-04-18 2011-08-01 制御された気孔を形成するための材料及び方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2007109320A Active JP4838190B2 (ja) 2006-04-18 2007-04-18 制御された気孔を形成するための材料及び方法

Country Status (6)

Country Link
US (3) US8399349B2 (ja)
EP (1) EP1848032B1 (ja)
JP (2) JP4838190B2 (ja)
KR (1) KR100859178B1 (ja)
CN (2) CN101060095B (ja)
TW (1) TWI395268B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10096485B2 (en) 2015-02-19 2018-10-09 Toshiba Memory Corporation Semiconductor device and method of manufacturing the same

Families Citing this family (325)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7943412B2 (en) * 2001-12-10 2011-05-17 International Business Machines Corporation Low temperature Bi-CMOS compatible process for MEMS RF resonators and filters
US6949456B2 (en) * 2002-10-31 2005-09-27 Asm Japan K.K. Method for manufacturing semiconductor device having porous structure with air-gaps
US8399349B2 (en) * 2006-04-18 2013-03-19 Air Products And Chemicals, Inc. Materials and methods of forming controlled void
JP2008258488A (ja) * 2007-04-06 2008-10-23 Oki Electric Ind Co Ltd 半導体装置の製造方法
KR100891146B1 (ko) * 2007-07-30 2009-04-06 한국과학기술원 계층적 기공구조물 및 계층적 기공구조물을 이용한초소수성 및 초친수성 표면 제조방법
FR2926396B1 (fr) * 2008-01-16 2010-03-19 Commissariat Energie Atomique Procede de fabrication de films de carbure de silicium hydrogene amorphe munis de pores traversants et films ainsi obtenus
FR2926397B1 (fr) * 2008-01-16 2010-02-12 Commissariat Energie Atomique Procede de fabrication de films dielectriques permeables
US8030218B2 (en) * 2008-03-21 2011-10-04 Micron Technology, Inc. Method for selectively modifying spacing between pitch multiplied structures
US8310053B2 (en) * 2008-04-23 2012-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a device with a cavity
FR2931015B1 (fr) 2008-05-06 2010-08-20 Commissariat Energie Atomique Procede de realisation de cavites d'air dans des microstructures, notamment du type structures d'interconnexions a cavites d'air pour circuit integre
US9790343B2 (en) 2008-06-12 2017-10-17 Avery Dennison Corporation Porous material and method for producing the same
US20090324928A1 (en) * 2008-06-26 2009-12-31 Vijayakumar Ramachandrarao Forming ultra low dielectric constant porous dielectric films and structures formed thereby
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
FR2942465B1 (fr) * 2009-02-25 2011-03-18 Univ Lille Sciences Tech Procede de fabrication de microcanaux sur un support, et support comprenant de tels microcanaux
US7855123B2 (en) * 2009-03-31 2010-12-21 Tokyo Electron Limited Method of integrating an air gap structure with a substrate
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20120119641A1 (en) * 2009-05-14 2012-05-17 Yijian Shi Output efficiency of organic light emitting devices
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
EP2529454A1 (en) * 2010-01-29 2012-12-05 Hewlett Packard Development Company, L.P. Multimode vertical-cavity surface-emitting laser arrays
US8642252B2 (en) * 2010-03-10 2014-02-04 International Business Machines Corporation Methods for fabrication of an air gap-containing interconnect structure
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
CN102427053A (zh) * 2011-06-17 2012-04-25 上海华力微电子有限公司 预防超低介电常数薄膜损伤的方法
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
EP3431271B1 (en) 2012-08-21 2020-08-05 Vertera Inc. Method of forming porous polymeric particulates
US8833171B2 (en) * 2012-08-23 2014-09-16 Nxp, B.V. Pressure sensor
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
WO2014158351A1 (en) * 2013-03-13 2014-10-02 Applied Materials, Inc. Post treatment for constant reduction with pore generation on low-k dielectric films
CN103641509B (zh) * 2013-12-09 2016-02-10 中国建筑材料科学研究总院 一种反应烧结用多孔碳预制体及其制备方法与应用
US20150206798A1 (en) * 2014-01-17 2015-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect Structure And Method of Forming
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
CN104902678B (zh) * 2014-03-07 2018-02-02 鹏鼎控股(深圳)股份有限公司 柔性印刷电路板及其制作方法
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR20150136387A (ko) * 2014-05-27 2015-12-07 삼성전자주식회사 반도체 소자의 제조 방법
US9607881B2 (en) 2014-06-20 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Insulator void aspect ratio tuning by selective deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10538839B2 (en) * 2014-09-15 2020-01-21 Korea Institute Of Industrial Technology Method for manufacturing metal or metal oxide porous thin films having a three-dimensional open network structure through pore size adjustment in a dry process, and films manufactured by said method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9847249B2 (en) * 2014-11-05 2017-12-19 Sandisk Technologies Llc Buried etch stop layer for damascene bit line formation
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9576894B2 (en) * 2015-06-03 2017-02-21 GlobalFoundries, Inc. Integrated circuits including organic interlayer dielectric layers and methods for fabricating the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9941157B2 (en) 2015-06-26 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Porogen bonded gap filling material in semiconductor manufacturing
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9842734B2 (en) * 2015-12-21 2017-12-12 Imec Vzw Method of forming a feature of a target material on a substrate
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
EP3407724B1 (en) 2016-01-26 2020-06-24 Dow Global Technologies LLC Composition comprising gluten-free flour and hydroxypropyl methyl cellulose
US10224235B2 (en) * 2016-02-05 2019-03-05 Lam Research Corporation Systems and methods for creating airgap seals using atomic layer deposition and high density plasma chemical vapor deposition
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10777428B2 (en) * 2016-02-26 2020-09-15 Intel Corporation Via interconnects in substrate packages
TWI717346B (zh) * 2016-04-13 2021-02-01 大陸商盛美半導體設備(上海)股份有限公司 阻擋層的去除方法和半導體結構的形成方法
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10581003B2 (en) 2016-09-02 2020-03-03 The Board of Trustee of the Leland Stanford Junior Universtiy Method for lithograghic patterning of sensitive materials
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
WO2018212007A1 (ja) * 2017-05-19 2018-11-22 昭和電工株式会社 電気化学的にゲルマンを製造する方法
WO2018212005A1 (ja) * 2017-05-19 2018-11-22 昭和電工株式会社 電気化学的にゲルマンを製造する方法
WO2018212006A1 (ja) * 2017-05-19 2018-11-22 昭和電工株式会社 電気化学的にゲルマンを製造する方法
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
TWI722301B (zh) * 2017-07-18 2021-03-21 美商應用材料股份有限公司 在金屬材料表面上沉積阻擋層的方法
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10361137B2 (en) * 2017-07-31 2019-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
CN107703029B (zh) * 2017-11-07 2019-05-10 大连理工大学 一种结合ct与pvt计算co2盐水扩散系数的方法
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10157773B1 (en) 2017-11-28 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure having layer with re-entrant profile and method of forming the same
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
EP3778810A4 (en) * 2018-03-28 2021-12-22 Nitto Denko Corporation PRIMER LAYER COMPOSITION, PRIMER LAYER AND COATING FILM
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
CN108550527B (zh) * 2018-05-16 2021-01-22 京东方科技集团股份有限公司 一种图形化方法
JP2019200369A (ja) * 2018-05-18 2019-11-21 ジオマテック株式会社 表面微細構造の形成方法及び表面微細構造を備える物品
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10950426B2 (en) * 2018-08-14 2021-03-16 Taiwan Semiconductor Manufacturing Co., Ltd. Dielectric layer, interconnection structure using the same, and manufacturing method thereof
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
CN113454507B (zh) * 2018-12-21 2024-05-07 奇跃公司 用于促进波导内的全内反射的气穴结构
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
CN109935549B (zh) * 2019-03-21 2021-05-18 长江存储科技有限责任公司 金属互连线的形成方法
CN109971226A (zh) * 2019-03-27 2019-07-05 德淮半导体有限公司 用于在半导体装置的制造过程中形成牺牲材料层的混合物以及半导体装置的制造方法
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210049604A (ko) 2019-10-25 2021-05-06 삼성전자주식회사 집적회로 소자 및 이의 제조 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11466358B2 (en) * 2019-12-13 2022-10-11 Arizona Board Of Regents On Behalf Of Arizona State University Method of forming a porous multilayer material
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (106)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1016888A (en) 1911-01-14 1912-02-06 Walter V Knight Window-screen.
US4564997A (en) * 1981-04-21 1986-01-21 Nippon-Telegraph And Telephone Public Corporation Semiconductor device and manufacturing process thereof
US4889609A (en) * 1988-09-06 1989-12-26 Ovonic Imaging Systems, Inc. Continuous dry etching system
US4885186A (en) * 1988-12-29 1989-12-05 Bell Communications Research, Inc. Method for preparation of silicate glasses of controlled index of refraction
JP2969680B2 (ja) * 1989-09-28 1999-11-02 ソニー株式会社 半導体装置の製造方法
US5324683A (en) * 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
US5470801A (en) * 1993-06-28 1995-11-28 Lsi Logic Corporation Low dielectric constant insulation layer for integrated circuit structure and method of making same
MY113904A (en) 1995-05-08 2002-06-29 Electron Vision Corp Method for curing spin-on-glass film utilizing electron beam radiation
US5814555A (en) 1996-06-05 1998-09-29 Advanced Micro Devices, Inc. Interlevel dielectric with air gaps to lessen capacitive coupling
US6376330B1 (en) 1996-06-05 2002-04-23 Advanced Micro Devices, Inc. Dielectric having an air gap formed between closely spaced interconnect lines
US5981367A (en) * 1996-10-17 1999-11-09 Micron Technology, Inc. Method for making an access transistor
JPH10290036A (ja) * 1997-04-11 1998-10-27 Nissan Motor Co Ltd 表面マイクロマシンの製造方法
JP2962272B2 (ja) 1997-04-18 1999-10-12 日本電気株式会社 半導体装置の製造方法
US6277728B1 (en) 1997-06-13 2001-08-21 Micron Technology, Inc. Multilevel interconnect structure with low-k dielectric and method of fabricating the structure
US6281585B1 (en) 1997-06-30 2001-08-28 Philips Electronics North America Corporation Air gap dielectric in self-aligned via structures
EP0895276A1 (en) 1997-07-31 1999-02-03 STMicroelectronics S.r.l. Process for manufacturing integrated microstructures of single-crystal semiconductor material
US6057226A (en) 1997-11-25 2000-05-02 Intel Corporation Air gap based low dielectric constant interconnect structure and method of making same
US5949143A (en) 1998-01-22 1999-09-07 Advanced Micro Devices, Inc. Semiconductor interconnect structure with air gap for reducing intralayer capacitance in metal layers in damascene metalization process
US6297125B1 (en) 1998-01-23 2001-10-02 Texas Instruments Incorporated Air-bridge integration scheme for reducing interconnect delay
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6054206A (en) 1998-06-22 2000-04-25 Novellus Systems, Inc. Chemical vapor deposition of low density silicon dioxide films
US6171945B1 (en) 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
US6231989B1 (en) 1998-11-20 2001-05-15 Dow Corning Corporation Method of forming coatings
US6380206B1 (en) 1998-11-23 2002-04-30 Cell Pathways, Inc. Method of inhibiting neoplastic cells with 4,5-diaminopyrimidine derivatives
KR100319743B1 (ko) 1998-11-24 2002-05-09 오길록 기생 캐패시턴스 및 자장의 간섭을 감소시킬 수 있는 집적소자및 그 제조 방법
US6207555B1 (en) 1999-03-17 2001-03-27 Electron Vision Corporation Electron beam process during dual damascene processing
US6245655B1 (en) * 1999-04-01 2001-06-12 Cvc Products, Inc. Method for planarized deposition of a material
US6204202B1 (en) 1999-04-14 2001-03-20 Alliedsignal, Inc. Low dielectric constant porous films
FR2792650B1 (fr) 1999-04-20 2003-02-28 Oreal Equivalent de peau agee, son procede de preparation et son utilisation
US6130151A (en) 1999-05-07 2000-10-10 Taiwan Semiconductor Manufacturing Company Method of manufacturing air gap in multilevel interconnection
US6204201B1 (en) 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
TW471104B (en) * 1999-07-26 2002-01-01 Ibm Low dielectric constant, porous film formed from regularly arrayed nanoparticles
US20020002563A1 (en) 1999-08-23 2002-01-03 Mary M. Bendik Document management systems and methods
US6211057B1 (en) 1999-09-03 2001-04-03 Taiwan Semiconductor Manufacturing Company Method for manufacturing arch air gap in multilevel interconnection
US6238987B1 (en) 1999-09-13 2001-05-29 United Microelectronics Corp. Method to reduce parasitic capacitance
US6214719B1 (en) 1999-09-30 2001-04-10 Novellus Systems, Inc. Method of implementing air-gap technology for low capacitance ILD in the damascene scheme
US6200900B1 (en) 1999-10-08 2001-03-13 National Semiconductor Corporation Method for formation of an air gap in an integrated circuit architecture
US7041224B2 (en) 1999-10-26 2006-05-09 Reflectivity, Inc. Method for vapor phase etching of silicon
US6365266B1 (en) 1999-12-07 2002-04-02 Air Products And Chemicals, Inc. Mesoporous films having reduced dielectric constants
US6592980B1 (en) 1999-12-07 2003-07-15 Air Products And Chemicals, Inc. Mesoporous films having reduced dielectric constants
TW439147B (en) 1999-12-20 2001-06-07 United Microelectronics Corp Manufacturing method to form air gap using hardmask to improve isolation effect
US6815329B2 (en) 2000-02-08 2004-11-09 International Business Machines Corporation Multilayer interconnect structure containing air gaps and method for making
TW444342B (en) 2000-02-17 2001-07-01 United Microelectronics Corp Manufacturing method of metal interconnect having inner gap spacer
US6329279B1 (en) 2000-03-20 2001-12-11 United Microelectronics Corp. Method of fabricating metal interconnect structure having outer air spacer
US6287979B1 (en) 2000-04-17 2001-09-11 Chartered Semiconductor Manufacturing Ltd. Method for forming an air gap as low dielectric constant material using buckminsterfullerene as a porogen in an air bridge or a sacrificial layer
US6265321B1 (en) * 2000-04-17 2001-07-24 Chartered Semiconductor Manufacturing Ltd. Air bridge process for forming air gaps
US6645873B2 (en) 2000-06-21 2003-11-11 Asm Japan K.K. Method for manufacturing a semiconductor device
JP2002009148A (ja) * 2000-06-26 2002-01-11 Asm Japan Kk 半導体装置の製造方法
US20020149085A1 (en) 2000-07-24 2002-10-17 Taiwan Semiconductor Manufacturing Company Method of manufacturing air gap in multilevel interconnection
JP3706008B2 (ja) 2000-08-01 2005-10-12 富士通株式会社 プロセッサ間データ通信装置、プロセッサ間データ通信方法およびデータ処理装置
US6413852B1 (en) * 2000-08-31 2002-07-02 International Business Machines Corporation Method of forming multilevel interconnect structure containing air gaps including utilizing both sacrificial and placeholder material
TWI227043B (en) 2000-09-01 2005-01-21 Koninkl Philips Electronics Nv Method of manufacturing a semiconductor device
US6743731B1 (en) 2000-11-17 2004-06-01 Agere Systems Inc. Method for making a radio frequency component and component produced thereby
US6380106B1 (en) 2000-11-27 2002-04-30 Chartered Semiconductor Manufacturing Inc. Method for fabricating an air gap metallization scheme that reduces inter-metal capacitance of interconnect structures
ITTO20001134A1 (it) 2000-12-05 2002-06-05 St Microelectronics Srl Processo di fabbricazione di un dispositivo elettronico a semiconduttore con migliorato isolamento tramite air gap.
TW462122B (en) 2000-12-18 2001-11-01 United Microelectronics Corp Air gap semiconductor structure and the manufacturing method thereof
TW476135B (en) 2001-01-09 2002-02-11 United Microelectronics Corp Manufacture of semiconductor with air gap
US6583048B2 (en) 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6406992B1 (en) 2001-05-29 2002-06-18 United Microelectronics Corp. Fabrication method for a dual damascene structure
US6766817B2 (en) 2001-07-25 2004-07-27 Tubarc Technologies, Llc Fluid conduction utilizing a reversible unsaturated siphon with tubarc porosity action
US6403461B1 (en) 2001-07-25 2002-06-11 Chartered Semiconductor Manufacturing Ltd. Method to reduce capacitance between metal lines
WO2003016075A1 (en) * 2001-08-15 2003-02-27 Florida State University Method of manufacturing and design of microreactors, including microanalytical and separation devices
US20030073302A1 (en) 2001-10-12 2003-04-17 Reflectivity, Inc., A California Corporation Methods for formation of air gap interconnects
US6649531B2 (en) * 2001-11-26 2003-11-18 International Business Machines Corporation Process for forming a damascene structure
US6933586B2 (en) 2001-12-13 2005-08-23 International Business Machines Corporation Porous low-k dielectric interconnects with improved adhesion produced by partial burnout of surface porogens
US6835616B1 (en) 2002-01-29 2004-12-28 Cypress Semiconductor Corporation Method of forming a floating metal structure in an integrated circuit
US20070105247A1 (en) * 2002-01-30 2007-05-10 Advanced Micro Devices Method And Apparatus For Detecting The Endpoint Of A Chemical-Mechanical Polishing Operation
JP4447923B2 (ja) * 2002-02-15 2010-04-07 ダウ グローバル テクノロジーズ インコーポレイティド 多官能性モノマー並びにそれらの架橋ポリマー及び多孔質フィルムの製造における使用
WO2003085719A2 (en) * 2002-04-02 2003-10-16 Dow Global Technologies Inc. Process for making air gap containing semiconducting devices and resulting semiconducting device
US7384471B2 (en) 2002-04-17 2008-06-10 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US6846515B2 (en) 2002-04-17 2005-01-25 Air Products And Chemicals, Inc. Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
US6809032B1 (en) * 2002-05-01 2004-10-26 Advanced Micro Devices, Inc. Method and apparatus for detecting the endpoint of a chemical-mechanical polishing operation using optical techniques
JP2003340799A (ja) 2002-05-27 2003-12-02 Sumitomo Electric Ind Ltd 微小駆動部品の製造方法
US7307343B2 (en) 2002-05-30 2007-12-11 Air Products And Chemicals, Inc. Low dielectric materials and methods for making same
US7122880B2 (en) 2002-05-30 2006-10-17 Air Products And Chemicals, Inc. Compositions for preparing low dielectric materials
US6780753B2 (en) 2002-05-31 2004-08-24 Applied Materials Inc. Airgap for semiconductor devices
EP1398831A3 (en) 2002-09-13 2008-02-20 Shipley Co. L.L.C. Air gaps formation
JP2004274020A (ja) * 2002-09-24 2004-09-30 Rohm & Haas Electronic Materials Llc 電子デバイス製造
US6991959B2 (en) * 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
US20040075159A1 (en) * 2002-10-17 2004-04-22 Nantero, Inc. Nanoscopic tunnel
US6809028B2 (en) * 2002-10-29 2004-10-26 Taiwan Semiconductor Manufacturing Company, Ltd. Chemistry for liner removal in a dual damascene process
US6949456B2 (en) 2002-10-31 2005-09-27 Asm Japan K.K. Method for manufacturing semiconductor device having porous structure with air-gaps
US7449407B2 (en) 2002-11-15 2008-11-11 United Microelectronics Corporation Air gap for dual damascene applications
US7294934B2 (en) 2002-11-21 2007-11-13 Intel Corporation Low-K dielectric structure and method
US6924222B2 (en) * 2002-11-21 2005-08-02 Intel Corporation Formation of interconnect structures by removing sacrificial material with supercritical carbon dioxide
US6861332B2 (en) * 2002-11-21 2005-03-01 Intel Corporation Air gap interconnect method
US7238604B2 (en) 2003-04-24 2007-07-03 Intel Corporation Forming thin hard mask over air gap or porous dielectric
US6713835B1 (en) 2003-05-22 2004-03-30 International Business Machines Corporation Method for manufacturing a multi-level interconnect structure
WO2004107434A1 (ja) * 2003-05-29 2004-12-09 Nec Corporation 配線構造およびその製造方法
US8137764B2 (en) 2003-05-29 2012-03-20 Air Products And Chemicals, Inc. Mechanical enhancer additives for low dielectric films
US7645704B2 (en) * 2003-09-17 2010-01-12 Texas Instruments Incorporated Methods and apparatus of etch process control in fabrications of microstructures
US7060638B2 (en) 2004-03-23 2006-06-13 Applied Materials Method of forming low dielectric constant porous films
US7193325B2 (en) * 2004-04-30 2007-03-20 Taiwan Semiconductor Manufacturing Company, Ltd. Reliability improvement of SiOC etch with trimethylsilane gas passivation in Cu damascene interconnects
JP2007537602A (ja) * 2004-05-11 2007-12-20 アプライド マテリアルズ インコーポレイテッド フルオロカーボン化学エッチングにおけるh2添加物を使用しての炭素ドープ酸化ケイ素エッチング
US7629272B2 (en) * 2004-06-07 2009-12-08 Axcelis Technologies, Inc. Ultraviolet assisted porogen removal and/or curing processes for forming porous low k dielectrics
JP4679193B2 (ja) * 2005-03-22 2011-04-27 株式会社東芝 半導体装置の製造方法及び半導体装置
US7629225B2 (en) * 2005-06-13 2009-12-08 Infineon Technologies Ag Methods of manufacturing semiconductor devices and structures thereof
US7323410B2 (en) * 2005-08-08 2008-01-29 International Business Machines Corporation Dry etchback of interconnect contacts
WO2007020688A1 (ja) * 2005-08-17 2007-02-22 Fujitsu Limited 半導体装置及びその製造方法
US7253100B2 (en) * 2005-11-17 2007-08-07 International Business Machines Corporation Reducing damage to ulk dielectric during cross-linked polymer removal
US7521377B2 (en) * 2006-01-11 2009-04-21 International Business Machines Corporation SiCOH film preparation using precursors with built-in porogen functionality
US8399349B2 (en) * 2006-04-18 2013-03-19 Air Products And Chemicals, Inc. Materials and methods of forming controlled void
US7534696B2 (en) * 2006-05-08 2009-05-19 International Business Machines Corporation Multilayer interconnect structure containing air gaps and method for making
KR100796509B1 (ko) * 2006-07-24 2008-01-21 동부일렉트로닉스 주식회사 반도체 소자의 제조방법

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10096485B2 (en) 2015-02-19 2018-10-09 Toshiba Memory Corporation Semiconductor device and method of manufacturing the same

Also Published As

Publication number Publication date
CN102569179B (zh) 2016-08-03
CN101060095A (zh) 2007-10-24
KR100859178B1 (ko) 2008-09-19
CN101060095B (zh) 2012-12-05
US20130157435A1 (en) 2013-06-20
US20080038934A1 (en) 2008-02-14
CN102569179A (zh) 2012-07-11
EP1848032A2 (en) 2007-10-24
JP2007311777A (ja) 2007-11-29
US8399349B2 (en) 2013-03-19
TWI395268B (zh) 2013-05-01
TW200741865A (en) 2007-11-01
KR20070103318A (ko) 2007-10-23
US8846522B2 (en) 2014-09-30
EP1848032B1 (en) 2017-03-01
JP2011233926A (ja) 2011-11-17
US9293361B2 (en) 2016-03-22
US20140363950A1 (en) 2014-12-11
JP4838190B2 (ja) 2011-12-14
EP1848032A3 (en) 2012-02-29

Similar Documents

Publication Publication Date Title
JP5485953B2 (ja) 制御された気孔を形成するための材料及び方法
JP4874614B2 (ja) 多孔質の低誘電率組成物並びにそれを作製及び使用するための方法
US8062983B1 (en) Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US7470454B2 (en) Non-thermal process for forming porous low dielectric constant films
TWI425569B (zh) 多孔低k值介電薄膜之紫外光輔助孔洞密封
TWI397606B (zh) 生孔劑、生孔性前驅物及使用其提供具有低介電常數之多孔性有機矽石玻璃膜的方法
JP5592327B2 (ja) 誘電体膜の材料特性を高めるための活性化学的方法
KR20090037841A (ko) 반사방지 코팅
JP2004320005A (ja) 有機シリカ多孔性膜製造のための化学気相成長方法
KR20090104896A (ko) 공기―갭 ild를 위한 pecvd-증착된 희생 폴리머 필름의 uv 경화
JP2008010877A (ja) 還元性雰囲気下における絶縁膜の硬化
JP2006237603A (ja) 基材上に特徴を画定する方法
TW201142945A (en) Ultra low dielectric materials using hybrid precursors containing silicon with organic functional groups by plasma-enhanced chemical vapor deposition
EP1420439A2 (en) Non-thermal process for forming porous low dielectric constant films
CN101021680B (zh) 形成曝光光线阻挡膜的材料、多层互连结构及其制造方法以及半导体器件

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110823

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110823

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130619

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130625

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130924

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130927

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131220

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140121

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140220

R150 Certificate of patent or registration of utility model

Ref document number: 5485953

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250