JP5592327B2 - 誘電体膜の材料特性を高めるための活性化学的方法 - Google Patents

誘電体膜の材料特性を高めるための活性化学的方法 Download PDF

Info

Publication number
JP5592327B2
JP5592327B2 JP2011213741A JP2011213741A JP5592327B2 JP 5592327 B2 JP5592327 B2 JP 5592327B2 JP 2011213741 A JP2011213741 A JP 2011213741A JP 2011213741 A JP2011213741 A JP 2011213741A JP 5592327 B2 JP5592327 B2 JP 5592327B2
Authority
JP
Japan
Prior art keywords
silicon
dielectric constant
layer
dielectric material
bis
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2011213741A
Other languages
English (en)
Other versions
JP2012009899A (ja
Inventor
ジェフリー ワイゲル スコット
レオナード オニール マーク
ニコラス バーティス レイモンド
シナトレ ディノ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Air Products and Chemicals Inc
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of JP2012009899A publication Critical patent/JP2012009899A/ja
Application granted granted Critical
Publication of JP5592327B2 publication Critical patent/JP5592327B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Description

関連出願へのクロスリファレンス
この出願は、35U.S.C.§119(e)の下、先に出願された2007年2月15日付米国特許出願公開第60/890、137号の明細書の優先権を主張し、それらの開示は、参照により本明細書中に全体を取り込む。
本発明は、誘電体材料の材料特性の回復および/または増強方法に関する。さらに特に、本発明は、低下した疎水性および増加した誘電率を有する膜を生じる、あらかじめ存在する炭素含有部分の少なくとも一部分を除去するような方法でエッチングまたはアッシング処理に曝された低誘電体膜の表面に、疎水性を回復させる方法に関する。そうした膜は、集積回路(“IC”)等の半導体デバイスの製造中で絶縁材料として使用される。
半導体デバイスがより低い技術ノードへ縮小するにつれて、より低い誘電率(k)の要求がRC遅延を緩和すると特定された。同様に、集積回路において形状が小さくなるにつれて、消費電力およびシグナル伝達クロストークの問題は、ますます解決が難しくなった。密度の高い無機材料中でより低いk(2.6〜3.0)を達成するために、このようにkを低下させる分極率を低下させるために、炭素が加えられた。超low−k(<2.4)材料を達成するために、炭素に富んだ高密度マトリックスに、気孔が典型的には加えられる。炭素および気孔率の導入がkを低下させる一方で、BEOL工程(back end of the line process)の間の新規な挑戦も、特定される。特に例えば、エッチングおよびアッシング等の工程の間に、反応性ガスが、高密度材料の表面で炭素を損傷することが見いだされた。例えば、湿式化学的ストリッピング、CMP、および後CMPクリーニング等の他の工程は、表面の炭素を損傷することも公知である。多孔性low−kは、膜中により大きい程度の損傷を起こす、膜を通した拡散による反応性エッチおよびアッシングガスの壊滅的な効果に苦しむ。一旦炭素が膜から除かれると、膜は、大気の水分およびヒドロキシレートと反応する。これらのヒドロキシルは、水を吸収しそして水と水素結合する。水は約70の誘電率を有するので、密度の高い材料に吸収される、および多孔性材料に吸着される少量が、誘電率を著しく増加させる。また、デバイスの収率に打撃を与える高引張応力の場により、多孔性材料は、銅アニーリング後に、ボイドができる傾向がある。
層間誘電体(interlevel Dielectric:ILD)および金属間誘電体(intermetal dielectric:IMD)用途ための低誘電率材料の一体化が、これらの問題の解決に助けになると考えられている。低誘電率材料を集積回路に適用する努力がなされた一方で、処理方法における、そして誘電体とそうした材料の機械的特性との両者の最適化における、さらなる改善への長年の技術的な要求がある。将来の集積回路の中でのデバイスのスケーリングは、相互に接続する構造の一部として、明らかに低誘電率材料の使用を必要とする。サブ100nm世代ICでの使用のための低誘電率材料の大部分の候補は、CVDまたはスピンオン法のいずれかによって形成された炭素含有SiO膜である。プラズマエッチングおよびプラズマまたは湿式ストリップ法を使用したフォトレジスト除去等の次の処理ステップの間に、重大な損傷がこれらのlow−k材料に生じ、該損傷はフッ素の添加およびエッチした表面に隣接したlow−k材料からの炭素の枯渇を起こす。より高い効率のkに加えて、得られた構造は、ボイド生成、ガス放出および気泡形成をしやすい。ボイドは、次に高電圧でのリーク電流の増加および絶縁破壊電圧の低下を生じさせることができる。従って、多孔性SiCOH系のlow−k材料に生じた損傷を修繕することへの技術的な要求がある。
この挑戦にアプローチする一つの方法は、高密度表面上の損傷した範囲を、または膜表面上にある多孔性材料の場合内部の孔壁を、修復剤(restoration agent)と呼ばれる再メチル化化合物で修繕することである。修復剤は、損傷した水酸化表面と反応して、それらを再アルキル化または再アリール化し、次に誘電率を回復する。以下の反応は、例示的再メチル化工程を示す:SiOH(損傷した表面)+RSi(Cl)(修復剤)がSiOSiR(修繕された表面)+(HCI)(塩酸)を与える。多孔性の損傷した内部の孔壁表面の場合には、再メチル化は、ボイド生成を防ぐ。多くの場合、修復剤の使用は、従来のエッチ、アッシング、および湿式クリーニング工程を、低および超低誘電率材料で利用することを可能にする。処理は、low−k膜に炭素を補充することができ、それによって疎水性および損傷への耐性をさらに回復させる。さらに、修繕されたlow−k材料が、銅アニーリング工程の間に、未処理の多孔性low−kの中間レベル誘電体領域において一般的に起こるボイド生成に耐性があるとがわかった場合は、望ましいであろう。(修復剤の例である)再メチル化化合物またはシリル化剤は、SiO系材料の表面をメチル化できる。予定される曝露は、(プラズマありまたはなしの)蒸気曝露、エアロゾル曝露、スピンコーティングおよび超臨界COを含む。通常は、有機シリケートガラス(OSG)多孔性low−k材料は、Cuダマシン処理の間にILD中にボイドを形成しやすい。修復剤での処理後に生成した構造は、ボイド生成にさらに著しく耐性がある。特定の理論またはメカニズムに拘束されないが、プラズマ損傷は、Si−CH結合を、例えば、それぞれ酸化および還元で使用されるプラズマのタイプにより、Si−OHまたはSiH結合で置き換えることによって、誘電体中で炭素の枯渇を生じると考えられている。損傷した多孔性誘電体では、孔表面はもはやSi−OH結合で覆われている。(Cuアニーリング後等の)引張応力の存在下で、隣接したSi−OH基は縮合でき、従って局所的な緻密化を生じる。発生した反応生成物および新しく生成された結合による分子の伸張は、ILDスペースの中心の近辺でボイドを生じさせる。修復剤は、大部分のSi−OH結合をSi−O−Si−R結合で置換することによりボイド生成を防止し、縮合反応を避ける。したがってボイド生成は起こらない。
エッチング、アッシング、および湿式化学的工程を使用して誘電体トレンチおよびビアの形成後に行う修復剤の処理は、炭素の枯渇およびlow−k材料の損傷を修繕する。この手段により、ボイドは抑制され、そしてlow−k材料は、トレンチおよびビアを満たす金属へのアニーリング処理によって生じる内部応力に耐えることができる。
修復剤での処理は、典型的には、ウェハー表面を、損傷したlow−k領域との反応が完了するのに充分な期間、液体またはガス形態のシリル化剤に曝すことによって行われる。任意選択的に、高温ベークを行って、残りの溶媒および過剰な修復剤を除去する。また、任意選択的に、湿式クリーニング作業は、low−k誘電体材料と化学的に適合する材料を使用して修復剤を適用する直前に行うことができる。さらに脱水ベークは、修復剤の効果を高めるために、修復剤処理の前に行ってもよい。
修復剤の効果は、エッチングおよびアッシング処理に曝され、続いて修復剤で処理された、パターン化されていないlow−k誘電体膜を使用して検証可能である。修復剤で成功した処理は、FTIR、EDX、SIMS、またはXPS技術によって測定できる炭素濃度の増加を生じる。さらに、処理後表面の疎水性の性質を示す水の接触角の増加が修復剤の適用後に見られる。修復剤で処理された膜は、また修復剤で処理されていないエッチされ/アッシングされた膜と比較して、C−V測定から引き出されるより低い誘電率を示す。パターン化されたウェハーでは、修復剤処理の効果が、銅の電気めっきに続く銅アニール処理後のCuトレンチの間の狭い空間のlow−k誘電体中での、ボイドの減少または除去によって、および反応性溶媒への曝露後のトレンチまたはビアのより小さいプロファイル変化によっても、示される。
Ramosらの米国特許出願公開第2006/0057855号A1(“855公開”)は、該膜に適用された場合に有機シリケートガラス誘電体膜の疎水性を増加させる“強化剤”組成物を開示する。855公開によれば、強化剤は、シリル化を介して、有機シリケートガラス誘電体膜のシラノール部分を、アルキル化またはアリール化できる成分、およびアミン、オニウム化合物およびアルカリ金属水酸化物からなる群から選択される活性化剤を含む。855公開は、強化処理が、損傷したlow−k領域との反応を完了させるのに充分な期間、液体またはガス形態のシリル化剤に、ウェハー表面を曝すことによって行われることを開示する。855公開は、強化処理が、例えば、シラン化合物から誘導されたプラズマの存在下で行われることもできることをさらに開示するが、そうした手順は例示されていない。しかし、修復工程でのそうしたプラズマの使用は、重大な欠点に苦しみがちである。
プラズマ化学は、誘電体材料の層内の種々の機能ために、堆積させそして膜の化学的性質を改質するために、集積回路および他の電子デバイスの製造で用いられる有用な方法論である。プラズマは、例えば、中間層誘電体材料、バリアー材料、およびキャッピング材料を堆積させるために用いられる。他の使用は、例えば、中間層誘電体材料への金属バリアーまたは銅線へのキャッピング材料といった表面粗さを増加させるための酸化または還元雰囲気を使用した表面の改質、または2つの膜間の接着を高めるための表面の化学的性質の変化を含む。RIE、アッシング、および湿式クリーニング後の低誘電体材料の修復には、プラズマは、最良の解決法ではない場合がある。
誘電体材料を修繕するために使用される多くの試薬は、熱またはプラズマCVD工程下で膜を堆積させる。構造の臨界寸法に影響する場合があり、そしてパッケージングの間に接着およびクラッキングによる問題を生じる場合があるので、堆積は必ずしも望ましくない。同様に、多くの誘電体材料の細孔径は10〜30Åの範囲にあり、したがってこの寸法の小さい分子は修復が膜の損傷した部分に浸透することを保証するために必要である。プラズマは強力なエネルギー源であるため、誘電体材料の細孔径より大きい分子サイズとなる修復試薬のガス相重合になる場合がある。これらの重合された種は、膜の上部表面でのみ反応し、そして損傷した層全体の電気的性質および組成を回復しない。プラズマの使用での2つの他の潜在的な問題は、プラズマは、イオン衝撃により膜にさらに損傷を与える場合があること、そしてプラズマは、生成される構造のサイト中のほかのイオンおよび他の中性種に依存し、そして損傷を修繕するために重要であるトレンチおよびビアの側壁では最小限に反応する場合がある、すなわち、生成された種のプラズマの拡散が遅い場合があることである。プラズマは、トレンチおよびビアの側壁には望ましくない場合がある表面を粗化する能力も有する。
さらに、ガス相または液相修復工程のいずれかで、特にBEOL工程の間に、誘電体材料内で逃げ場を失う残留物または化学的種を残す可能性がある。膜の表面が、疎水性にされ、そしてシリル化試薬を適用することによって誘電率が回復するが、シリル化種は、誘電体層中で逃げ場を失うこと、続いて次の処理ステップ、特に、例えば、約50℃と約450℃との温度間で行われるヒートサイクル等の高温で起こるステップの間に好ましからざるガス放出を生じることが知られている。そうしたガス放出は、金属バリアーおよびキャッピング層の堆積の間の、典型的には水の再吸着、誘電体層への銅の移動、および他の大気汚染物質の吸着につながる、誘電体材料から逃げる蒸気で作られる欠陥(例えば、ピンホール、接着、および剥離)を生じる。これらの問題はそれぞれ、信用性の低下を生じ、そして最終のデバイスの性能を変化させるであろう。従って、上記に挙げた欠点に苦しまない誘電体材料の誘電特性を回復する方法への技術的ニーズがある。
ICのBEOL工程の間に、半導体基材上の誘電体材料層は、例えば、デュアルダマシンプロセスにおいて構造をパターン化し規定するために使用されるプラズマ並びにガス相および液相の試薬の両者等の金属化工程に曝される。層の誘電特性の損失および誘電体材料の化学的組成物における変化は、そうした工程に関連した厳しい試薬および条件によって起こされる損傷の結果として、典型的には経験される。そうした損傷は、層の機械的な強度にさえ損傷を与えることができる。この問題に応えて、本発明は、第1の誘電率および少なくとも1つの表面を有するケイ素含有誘電体材料の層の誘電率を回復させる方法(ここで、ケイ素含有誘電体材料の層の第1の誘電率は、第2の誘電率まで増加している)を対象にする。該方法は、ケイ素含有誘電体材料の該層の少なくとも1つの表面と、ケイ素含有流体とを接触させるステップ、そして、ケイ素含有誘電体材料の該層の該少なくとも1つの表面を、紫外線照射、熱、および電子ビームからなる群から選択されるエネルギー源に曝すステップ、(ここで、ケイ素含有誘電体材料の該層を、該エネルギー源に曝した後で、ケイ素含有誘電体材料の該層は、該第2の誘電率より低い第3の誘電率を有する)の各ステップを含む。
別の形態では、本発明は、第1の誘電率および少なくとも1つの表面を有するケイ素含有誘電体材料の層の誘電率を回復させる方法(ここで、ケイ素含有誘電体材料の該層の該第1の誘電率は、第2の誘電率に増加している)を提供する。該方法は、ケイ素含有誘電体材料の該層の該少なくとも1つの表面とケイ素含有流体とを接触させるステップ、該ケイ素含有流体の第2の部分がケイ素含有誘電体材料の該層の該少なくとも1つの表面と接触を続けるように、該ケイ素含有流体の第1の部分を除去するステップ、そして、ケイ素含有誘電体材料の該層の該少なくとも1つの表面を紫外線照射および熱エネルギーに曝すステップ、(ここで、ケイ素含有誘電体材料の該層を該エネルギー源に曝した後で、ケイ素含有誘電体材料の該層は、該第2の誘電率より低い第3の誘電率を有する)の各ステップを含む。
さらに別の形態では、本発明は、第1の誘電率および少なくとも1つの表面を有するケイ素含有誘電体材料の層の誘電率の回復方法(ここで、ケイ素含有誘電体材料の該層の該第1の誘電率は、第2の誘電率に増加している)を提供する。該方法は、ケイ素含有誘電体材料の該層の該少なくとも1つの表面と、アルキルアルコキシシランを含むケイ素含有流体とを接触させるステップ、該ケイ素含有流体の第2の部分が該層の該少なくとも1つの表面と接触を続けるように、該ケイ素含有流体の第1の部分を除去するステップ、そして、ケイ素含有誘電体材料の該層の該少なくとも1つの表面を紫外線照射および熱エネルギーに曝すステップ、(ここで、ケイ素含有誘電体材料の該層を該エネルギー源に曝した後で、ケイ素含有誘電体材料の該層は、該第2の誘電率より低い第3の誘電率を有する)の各ステップを含む。
本発明は、例えば、集積回路中で中間層誘電体として用いられるもの等の多孔性低誘電体材料および膜の調製を対象にする。特に、本発明は、第1の誘電率および少なくとも1つの表面を有するケイ素含有誘電体材料の層の誘電率を回復させる方法(ここで、ケイ素含有誘電体材料の層の第1の誘電率は、第2の誘電率に増加している)を対象にする。該方法は、ケイ素含有誘電体材料の該層の少なくとも1つの表面と、ケイ素含有流体とを接触させるステップ、そして、ケイ素含有誘電体材料の該層の該少なくとも1つの表面を、紫外線照射、熱、および電子ビームからなる群から選択されるエネルギー源に曝すステップ、(ここで、ケイ素含有誘電体材料の該層を該エネルギー源に曝した後で、ケイ素含有誘電体材料の該層は、該第2の誘電率より低い第3の誘電率を有する)の各ステップを含む。
本明細書中で使用される場合、“層”または“該層”の語は、誘電体材料を示すので、パターン化されているかまたはいないかに係らず、誘電体材料の少なくとも1つの層の少なくとも一部分を意味する。
本発明の好ましい態様において、ケイ素含有誘電体材料の層は、基材上に配置される。好適な基材は、ガリウムヒ素(“GaAs”)、ケイ素等の半導体材料、並びに結晶ケイ素、ポリケイ素、アモルファスケイ素、エピタキシャルケイ素、二酸化ケイ素(“SiO”)、ケイ素ガラス、窒化ケイ素、溶融シリカ、ガラス、石英、ボロシリケートガラス、およびそれらの組み合わせ等のケイ素を含有する組成物を含むがこれらに限られない。他の好適な材料は、半導体、集積回路、平面パネルディスプレイ、およびフレキシブルディスプレイ用途において通常用いられるクロミウム、モリブデン、および他の金属を含む。基材は、例えば、ケイ素、SiO、有機シリケートガラス(OSG)、フッ素化シリケートガラス(FSG)、ボロンカーボナイトライド、炭化ケイ素、水素化炭化ケイ素、窒化ケイ素、水素化窒化ケイ素、炭窒化ケイ素、水素化炭窒化ケイ素、ホウ化窒化ケイ素、有機無機複合物材料、フォトレジスト、有機ポリマー、多孔性有機および無機材料および複合物、酸化アルミニウム、および酸化ゲルマニウム等の金属酸化物等の追加の層を有してもよい。またさらに層は、ゲルマノシリケート、アルミノシリケート、銅およびアルミニウム、および、これらに限られないがTIN、Ti(C)N、TaN、Ta(C)N、Ta、W、またはWN等の拡散バリアー材料であることもできる。
本発明の方法において、ケイ素含有誘電体材料の層は、相互接続ネットワークを形成および維持できる化合物を含む膜を生成する組成物の堆積で生成できる。ケイ素含有誘電体膜の例は、SiO、有機シリケートガラス(OSG)、フッ素化シリケートガラス(FSG)、ボロンカーボナイトライド、炭化ケイ素、水素化炭化ケイ素、窒化ケイ素、水素化窒化ケイ素、炭窒化ケイ素、水素化炭窒化ケイ素、有機無機複合材料、多孔性有機および無機複合材料、ボロシリケートガラス(Si:O:B:H)、またはリンドープボロシリケートガラス(Si:O:B:H:P)、並びにそれらの組み合わせを含むがこれらに限られない。
本発明の好ましい態様において、ケイ素含有誘電体材料の層は、シリカ材料(すなわち、シリカ含有誘電体材料)を含む。“シリカ”の語は、本明細書中で使用される場合、ケイ素(Si)および酸素(O)原子、および場合により、これらに限られないが、C、H、B、N、P、またはハロゲン化物原子等の他の元素;アルキル基;またはアリール基等の追加の置換基を有する材料である。ほかの態様において、誘電体材料の少なくとも1つの層は、例えば、これらに限られないがAl、Ti、V、In、Sn、Zn、Gaおよびそれらの組み合わせ等の他の元素を含んでもよい。ある好ましい態様において、誘電体材料の少なくとも1つの層は、式Si(式中、v+w+x+y+z=100原子%、vは10〜35原子%であり、wは10〜65原子%であり、xは5〜30原子%であり、yは10〜50原子%であり、そしてzは0〜15原子%である)によって表される有機ケイ素ガラス(“OSG”)化合物を含んでもよい。
本発明の好ましい態様において、ケイ素含有誘電体材料の層は、多孔性であり、すなわち、そうした層は、孔の存在によって特徴付けられる。そうした態様において、例えば、膜を生成する組成物が1種または2種以上のエネルギー源への曝露で容易に、そして好ましくは実質的に除去されることができるシリカ源および少なくとも1種のポロゲンを含む場合、孔を形成できる。“ポロゲン”は、得られた膜内に空隙容量を生成するために使用される試薬である。ポロゲンが発明の工程の間変化しないかどうかにかかわらず、“ポロゲン”の語は、本願中に記載された全工程でいかなる形態で見出されても、本明細書中で使用される場合、孔を生成する試薬(または孔を生成する置換基)およびそれらの誘導体を含むことを目的とする。ポロゲンとして使用されるのに好適な化合物は、炭化水素材料、不安定な有機基、溶媒、分解性ポリマー、界面活性剤、デンドリマー、超分枝ポリマー、ポリオキシアルキレン化合物、CおよびHを含む化合物、またはそれらの組み合わせを含むがこれらに限られない。ある態様において、ポロゲンは、C〜C13の炭化水素化合物を含む。CVD工程で用いられるのに好ましいシクロペンタノール、シクロヘキセンオキサイド、シクロペンテンオキシド、シクロヘキサノン、シクロペンチルアミン、およびそれらの混合物等の、例えば、α−テルピネン、シクロオクタン、ノルボルナジエン、シクロオクタジエン、ビシクロヘキサジエン、シクロヘキセン、シクロヘキサン、リモネン、1、3−ブタジエン、1−ネオヘキシル−1、3、5、7−テトラメチルシクロテトラシロキサン、1−ネオペンチル−1、3、5、7−テトラメチルシクロテトラシロキサン、ネオペンチルジエトキシシラン、ネオヘキシルジエトキシシラン、ネオヘキシルトリエトキシシラン、ネオペンチルトリエトキシシラン、ネオペンチルジ−t−ブトキシシラン、およびヘテロ原子ポロゲンを含む。スピンオン工程で用いられるのに好ましいポロゲンは、例えば、溶媒、分解可能ポリマー、界面活性剤、デンドリマー、超分枝ポリマー、ポリオキシアルキレン化合物、およびそれらの混合物を含む。
孔の形成において、誘電体材料の少なくとも1つの層できた堆積された材料は、1種または2種以上のエネルギー源に典型的には曝され、膜を硬化させそして/または存在すればそこに含まれるポロゲンの少なくとも一部分を除去する。例示的なエネルギー源は、α−粒子、β−粒子、y−線、X線、電子ビーム源等の電離放射線源のエネルギー、紫外(10〜400nm)、可視(400〜750nm)、赤外(750〜10nm)、マイクロ波(>10)、およびラジオ周波数(>10)波長のエネルギー等の非電離放射線源、またはそれらの混合物を含むがこれらに限られない。またさらにエネルギー源は、熱エネルギーおよびプラズマエネルギーを含む。エネルギー源によって、曝露ステップは、高圧下、大気下、または真空下で行うことができる。環境は、不活性(例えば、窒素、CO、希ガス(He、Ar、Ne、Kr、Xe)、等)、酸化物(例えば、酸素、空気、希薄な酸素環境、富化した酸素環境、オゾン、亜酸化窒素、等)または還元物(希薄なまたは濃縮された水素、炭化水素(飽和、不飽和、直鎖または分枝、芳香族)、アミン、アンモニア、等)であることができる。曝露ステップために温度は、100〜500℃の範囲にあってもよい。ある態様において、温度は、0.1〜100℃/分の速度で上昇してもよい。全処理時間は、好ましくは0.01分〜12時間である。
例えば、ポロゲンの除去ための光硬化および/または膜の格子構造を完全にすることによって孔が生成される態様において、そうした工程は以下の条件下で行われる:環境は、不活性(例えば、窒素、CO、希ガス(He、Ar、Ne、Kr、Xe)、等)、(ある態様では)酸化物(例えば、O、NO)、または還元物(例えば、希薄なまたは濃縮された炭化水素、水素、アミン、アンモニア等)であることができる。温度は、好ましくは約25℃〜約500℃である。波長は、好ましくは赤外、可視、UVまたは遠紫外(波長<200nm)である。全硬化時間は、典型的には0.01分〜12時間のいずれかである。
ケイ素含有誘電体材料の層は、典型的には(例えば、導電性層およびエッチ停止バリアー層等の追加の層を含んでもよい)基材の少なくとも一部分の上に、種々の異なる方法を使用して膜を生成する組成物から膜として形成される。これらの方法は、それら自身または組み合わせで使用できる。膜を形成できる工程のいくつかの例は、熱化学気相堆積、プラズマ化学気相成長法(“PECVD”)、高密度PECVD、フォトンアシスティッド(Photon assisted)CVD、プラズマフォトンアシスティッド(Plasma Photon assisted)CVD(“PPECVD”)、原子層堆積(ALD)、極低温(cryogenic)化学気相堆積、化学補助気相堆積(chemical assisted vapor deposition)、熱フィラメント化学気相堆積、液体ポリマー前駆体のCVD、超臨界流体からの堆積、またはトランスポート(transport)重合(“TP”)を含む。米国特許第6、171、945号明細書、第6、054、206号明細書、第6、054、379号明細書、第6、159、871号明細書および国際公開第99/41423号パンフレットは、膜を生成するために使用できるいくつかの例示的なCVD法を提供する。化学気相堆積工程を除き、例えば、非接触的な堆積方法等の誘電体材料の少なくとも1つの層を適用するためのほかの方法が使用可能である。非接触的な堆積方法は、典型的には接触マスクまたはシャッターの必要なしに膜を生成できる。非接触的な堆積方法は、例えば、ディッピング、ローリング、ブラッシング、スプレー、押し出し、スピンオン堆積、エアーナイフ、印刷、およびそれらの組み合わせを含む。さらに例示的堆積方法は、振動誘起非接触展開力(oscillating induced spreading forces)、重力誘起展開力(gravity−induced spreading forces)、湿式誘起展開力(wetting−induced spreading forces)、スロット押し出し、およびそれらの組み合わせを含む。
本発明による誘電体材料のケイ素含有層は、少なくとも1つの表面を有する。本明細書中で使用される場合、“表面”の語は、例えば、孔口(mouths)、孔自身、孔の相互接続および骨格構造内の孔を含む任意のガス/固体界面、液体/固体界面を含む。しかし、当然のことながら、本発明による損傷した誘電体材料の損傷および修復は、表面および/または材料のマトリックス内で起こることができる。
本発明によるケイ素含有誘電体材料の層は、第1の誘電率を有する。本明細書中で使用される場合、“第1の誘電率”の語は、生成された後の、および例えば、誘電率を損傷するような方法等の半導体製造工程におけるプロセスの前の、ケイ素含有誘電体材料の層の誘電率を言う。本発明は多孔性と密度の高い誘電体層との両者を対象にするので、ケイ素含有誘電体材料の層が多孔性である場合、誘電体材料に空気が導入されているので、第1の誘電率は、ケイ素含有誘電体材料の高密度層より典型的には低いであろう。空気は1.0の誘電率を有し、そして空気がナノ多孔性またはナノメートルスケール孔構造の形態で、誘電体材料に導入される場合、比較的低い誘電率(“k”)が達成される。好ましくは、本発明によるケイ素含有誘電体材料の層は、約1.5〜約3.5、さらに好ましくは約1.5〜約2.8、そして最も好ましくは約1.8〜約2.7の第1の誘電率を有する。
上記のように、例えば、エッチング、アッシング、湿式化学的ストリッピング、CMP、ポスト−CMP(post−CMP)クリーニング、および他のプラズマ工程等の工程は、OSG誘電体材料を損傷するかまたはOSG誘電体材料から炭素を除去することが見出された。そうした損傷は、典型的には膜の誘電特性の損失を明らかにし、そして膜の化学的組成を変化させる。例えば、半導体製造工程間の有機シリケートガラス誘電体膜への損傷が、エッチ誘電体膜の中へトレンチおよびビアをエッチするための、(リモートまたはin situのいずれかの)プラズマおよび/またはエッチング試薬の適用から生じ、そして典型的には工程に曝されたSi−CH種の減少を生じることは周知である。プラズマは、例えば、半導体デバイスの製造間にフォトレジスト膜を除去するために使用され、そして典型的には(自由原子、化合物、イオンおよび/またはラジカルの形態の)元素状酸素、フッ素、水素、炭素、アルゴン、ヘリウムまたは窒素からなる。
湿式化学的処理は、トレンチまたはビアエッチング、フォトレジストアッシング、フォトレジスト除去、または硬化したフォトレジストの除去の後に残る残留物の除去の目的で、ICの製造においても用いられる。使用される試薬は、例えば、構造のサイドウォール上の炭素含有残留物およびポリマーを除去するために設計されており、したがって、シリカ系の誘電体膜、特に多孔性シリカ膜における有機基を攻撃および除去する潜在力を有する。損傷は、典型的には材料の誘電率の増加のみならず、材料の疎水性の損失も含む。そうした損傷に寄与する湿式化学的エッチング液の成分は、例えば、N−メチルピロリジノン、ジメチルホルムアミド、ジメチルアセトアミド等のアミド;エタノールおよび2−プロパノール等のアルコール類;エタノールアミン等のアルコールアミン;トリエチルアミン等のアミン;エチレンジアミンおよびN、N−ジエチルエチレンジアミン等のジアミン;ジエチレントリアミン等のトリアミン、エチレンジアミン四酢酸“EDTA”等のジアミン酸;酢酸およびギ酸等の有機酸類;テトラメチルアンモニウムアセテート等の有機酸類のアンモニウム塩;硫酸、硝酸、リン酸、フッ化水素酸等の無機酸類;フッ化アンモニウム、重フッ化アンモニウム等のフッ化塩;および水酸化アンモニウムおよびテトラメチル水酸化アンモニウム等の塩基;およびヒドロキシルアミンを含んでもよい。厳しいが、そうした成分は、それらの機能を適切に行わせるために、湿式試薬処理に多くの場合必要である。
密度の高い誘電体材料に比較して多孔性low−k誘電体材料のより多い表面積が故に、膜中に大きな程度の損傷を生じさせる膜を通した拡散によって、反応性エッチおよびアッシングガスおよび厳しい試薬に曝露された高密度の誘電体膜より、典型的には、多孔性低誘電体のケイ素を含有する材料は大きな壊滅的効果に苦しむ。特別な理論に拘束されるわけではないが、例えば、ケイ素メチル結合等のケイ素含有材料中でケイ素炭素結合の一部分はそうした工程で壊れ、そしてケイ素水素(Si− H)結合またはケイ素水酸化物(Si−OH)結合に置き換わると考えられる。Si−HおよびSi−OH結合それ自身は、また材料の誘電率に固有の負の影響を有することに加えて、材料の誘電率のさらなる好ましくない増加となる水を非常に吸収できるので、不利である。従って、例えば(Si原子に結合したメチル基等の)有機含有物を有するシリカ含有誘電体膜等の、例えばケイ素含有誘電体材料の層は、酸素プラズマに曝された場合、容易に劣化し、そしてシラノール(Si−OH)基が、有機基が以前あった材料中で典型的には生成される。水は約70の誘電率を有するので、密度の高い材料に吸収され、そして多孔性材料に吸着した少量が誘電率を増加させる。材料が密度の高いか多孔性であるかどうかにかかわらず、損傷の結果として第1の誘電率に対して増加した誘電率を、本明細書中では、“第2の誘電率”と呼ぶ。従って、第2の誘電率の値は、例えば、誘電体材料の第1の誘電率、誘電体材料の化学的性質、およびトレンチおよびビアを作るために使用されるBEOL工程試薬の厳しさ等の多くの変数に依存することができる。しかし、第2の誘電率は、典型的には第1の誘電率の値より約5%〜約200%高い。
本発明は、損傷した誘電体材料のある材料特性が回復する方法を提供する。本明細書中で使用される場合、“復活”、“修繕”、“回復”、および“修復”の語は、同意語として使用され、例えば、絶縁破壊電圧、リーク電流、疎水性、機械的な強度、炭素含有量、拡散抵抗、接着、細孔径の改質、および気密性等の損傷した誘電体材料の材料特性における改善をいう。本発明の方法は、炭素含有部分を回復させ、そして有機シリケートガラス低誘電体材料の疎水性を高める。これは、誘電体材料を、アニーリングの間の金属収縮、他の誘電体層からの応力、およびパッケージング中の応力によって引き起こされる等のビアおよびトレンチウォール上の応力に耐えさせる。これはまた望ましくないボイドが、ビアとトレンチとの間の誘電体材料の内側に生成するのを妨げる。
本発明の方法は、誘電体材料の層の少なくとも1つの表面と、ケイ素含有流体とを接触させて、例えば、孔および孔口の表面(または密度の高い誘電体材料の表面)を覆うステップを含む。本明細書中で使用される場合、“覆う”の語は、シリカ含有流体が表面を被覆することおよび/または誘電体材料の表面を濡らすことを意味する。好ましくは、少なくとも1つの表面を被覆するおよび/または濡らすにつれて、ケイ素含有流体は、誘電体材料および/またはそれらの表面の中に、少なくとも部分的に吸収され、そして/または吸着される。本明細書中で使用される場合、“流体”の語は、液体、ガス、蒸気、エアロゾル、超臨界流体、および昇華した固体を含む。少なくとも1つの層とケイ素含有流体と接触させるステップは、例えば、ガス状の搬送ビア、例えば、化学気相堆積チャンバー(本明細書中では“CVD”と呼ぶ)または、例えば、スピンコーティングによる等の液体搬送等の当業者に公知の方法によって起こすことができる。例えば、ディッピング、ローリング、ブラッシング、スプレー、液体ミスト堆積、エアロゾル、押し出し、スピンオン堆積、エアーナイフ、印刷、およびそれらの組み合わせ等の他の方法も誘電体材料の少なくとも1つの層を適用するために使用できる。さらに例示的堆積方法は、振動誘起非接触展開力、重力誘起展開力、湿式誘起展開力、スロット押し出し、およびそれらの組み合わせを含む。液体ケイ素含有流体では、好ましい接触方法は、スピンオン工程である。ガス状のまたは蒸気化された液体ケイ素含有流体では、好ましい接触方法は、CVDによる。
本発明に用いられるケイ素含有流体は、例えば、誘電体材料を生成するために典型的に用いられるもの等の例えば、シリカ含有前駆体を含む。用いられるケイ素含有流体のタイプは、例えば、接触ステップで用いられる所望の方法によることができる。
以下のケイ素含有流体は、スピンオン堆積工程またはCVD工程のいずれにおける本発明での使用に適する。したがって、以下のケイ素含有流体の少なくとも一つは、任意選択的に溶媒と共に接触ステップと関連して適用されるであろう組成物を典型的には生成する。溶媒は、用いられる場合、好ましくは約50℃〜約300℃の範囲内で、さらに好ましくは約70℃〜約250℃の範囲内で沸点を有する溶媒である。好適な溶媒は、アルコール類、アルデヒド、ケトン、エステル、アミド、グリコール、グリコールエーテル、水、エーテル、エポキシド、アミン、およびそれらの混合物を含む。溶媒の具体例は、シクロヘキサノン、2−ヘキサノン、2−ペンタノン、1−ペンタノール、1−ブタノール、2−プロパノール、プロピレングリコールプロピルエーテル、プロピレングリコールモノメチルエーテルアセテート、乳酸エチル、ペンチルアセテート、プロピレングリコール、プロピレングリコールモノメチルエーテル、N、N−ジメチルホルムアミド、およびそれらの混合物を含む。溶媒が用いられる態様において、シリカ含有流体は、残りが、溶媒および任意選択的に、例えば、触媒、流れ助剤、湿潤剤、pH調整剤、腐食阻害剤、イオン強度調整剤、および界面活性剤等の添加物で、流体の全質量の好ましくは約0.001〜99質量%、およびさらに好ましくは約0.01〜約90質量%を構成する。そうした添加物は、存在する場合、混合物のpHを変化させ、シリカ含有流体が濡らすことにおいて補助し、そして流体が相互作用する表面を改質することにより小さな構造と相互作用し、流体の粘性、表面張力、および溶解度パラメーターを変化させる機能を有することができる。そうした添加物は、銅の腐食を最小化し、そしてBEOL工程により作り出される損傷と欠陥へのケイ素含有流体の反応性を高めるよう機能することもできる。
以下の化学式および本明細書内の全ての化学式において、“独立して”の語は、主となるR基が異なる上付き文字を有する他のR基に対して独立して選択されるだけでなく、同一R基のさらなる種に対しても独立して選択されることを理解すべきである。例えば、式RSi(OR4−aにおいて、“a”が2である場合、2つのR基は互いに同一またはRと同一である必要は無い。さらに、以下の式において、“一価の有機基”の語は、C単結合を通じてSiまたはO等の興味のある元素に結合する有機基、すなわち、Si−CまたはO−Cに関する。一価の有機基の例は、アルキル基、アリール基、不飽和アルキル基、および/またはアルコキシ、エステル、酸、カルボニル、またはアルキルカルボニル官能性で置換された不飽和アルキル基を含む。アルキル基は、例えば、メチル、エチル、プロピル、ブチル、またはペンチル基等の1〜5の炭素原子を有する直鎖、分枝、または環状アルキル基であってもよい。一価の有機基として好適なアリール基の例は、フェニル、メチルフェニル、エチルフェニルおよびフルオロフェニルを含む。ある態様において、アルキル基内の1種または2種以上の水素は、カルボニルまたはエーテル官能性を与えるために、ハロゲン化物原子(すなわち、フッ素)、または酸素原子等さらなる原子で置換されていてもよく。(OR)の例は、アルコキシ、アセトキシ、ヒドロキシル、シラキサノレート、およびシラノレートであることができる。
ある好ましい態様において、ケイ素含有流体は、以下の式RSi(OR4−a(式中、Rは、独立して水素原子、フッ素原子、または一価の有機基を表し、Rは独立して一価の有機基を表し、そしてaは、1〜2の整数である)で表されてもよい。RSi(OR4−aによって表される化合物の具体例は、メチルトリメトキシシラン、メチルトリエトキシシラン、メチルトリ−n−プロポキシシラン、メチルトリイソプロポキシシラン、メチルトリ−n−ブトキシシラン、メチルトリ−sec−ブトキシシラン、メチルトリ−tert−ブトキシシラン、メチルトリフェノキシシラン、エチルトリメトキシシラン、エチルトリエトキシシラン、エチルトリ−n−プロポキシシラン、エチルトリイソプロポキシシラン、エチルトリ−n−ブトキシシラン、エチルトリ−sec−ブトキシシラン、エチルトリ−tert−ブトキシシラン、エチルトリフェノキシシラン、n−プロピルトリメトキシシラン、n−プロピルトリエトキシシラン、n−プロピルトリ−n−プロポキシシラン、n−プロピルトリイソプロポキシシラン、n−プロピルトリ−n−ブトキシシラン、n−プロピルトリ−sec−ブトキシシラン、n−プロピルトリ−tert−ブトキシシラン、n−プロピルトリフェノキシシラン、イソプロピルトリメトキシシラン、イソプロピルトリエトキシシラン、イソプロピルトリ−n−プロポキシシラン、イソプロピルトリイソプロポキシシラン、イソプロピルトリ−n−ブトキシシラン、イソプロピルトリ−sec−ブトキシシラン、イソプロピルトリ−tert−ブトキシシラン、イソプロピルトリフェノキシシラン、n−ブチルトリメトキシシラン、n−ブチルトリエトキシシラン、n−ブチルトリ−n−プロポキシシラン、n−ブチルトリイソプロポキシシラン、n−ブチルトリ−n−ブトキシシラン、n−ブチルトリ−sec−ブトキシシラン、n−ブチルトリ−tert−ブトキシシラン、n−ブチルトリフェノキシシラン;sec−ブチルトリメトキシシラン、sec−ブチルトリエトキシシラン、sec−ブチルトリ−n−プロポキシシラン、sec−ブチルトリイソプロポキシシラン、sec−ブチルトリ−n−ブトキシシラン、sec−ブチルトリ−sec−ブトキシシラン、sec−ブチルトリ−tert−ブトキシシラン、sec−ブチルトリフェノキシシラン、tert−ブチルトリメトキシシラン、tert−ブチルトリエトキシシラン、tert−ブチルトリ−n−プロポキシシラン、tert−ブチルトリイソプロポキシシラン、tert−ブチルトリ−n−ブトキシシラン、tert−ブチルトリ−sec−ブトキシシラン、tert−ブチルトリ−tert−ブトキシシラン、tert−ブチルトリフェノキシシラン、イソブチルトリメトキシシラン、イソブチルトリエトキシシラン、イソブチルトリ−n−プロポキシシラン、イソブチルトリイソプロポキシシラン、イソブチルトリ−n−ブトキシシラン、イソブチルトリ−sec−ブトキシシラン、イソブチルトリ−tert−ブトキシシラン、イソブチルトリフェノキシシラン、n−ペンチルトリメトキシシラン、n−ペンチルトリエトキシシラン、n−ペンチルトリ−n−プロポキシシラン、n−ペンチルトリイソプロポキシシラン、n−ペンチルトリ−n−ブトキシシラン、n−ペンチルトリ−sec−ブトキシシラン、n−ペンチルトリ−tert−ブトキシシラン、n−ペンチルトリフェノキシシラン;sec−ペンチルトリメトキシシラン、sec−ペンチルトリエトキシシラン、sec−ペンチルトリ−n−プロポキシシラン、sec−ペンチルトリイソプロポキシシラン、sec−ペンチルトリ−n−ブトキシシラン、sec−ペンチルトリ−sec−ブトキシシラン、sec−ペンチルトリ−tert−ブトキシシラン、sec−ペンチルトリフェノキシシラン、tert−ペンチルトリメトキシシラン、tert−ペンチルトリエトキシシラン、tert−ペンチルトリ−n−プロポキシシラン、tert−ペンチルトリイソプロポキシシラン、tert−ペンチルトリ−n−ブトキシシラン、tert−ペンチルトリ−sec−ブトキシシラン、tert−ペンチルトリ−tert−ブトキシシラン、tert−ペンチルトリフェノキシシラン、イソペンチルトリメトキシシラン、イソペンチルトリエトキシシラン、イソペンチルトリ−n−プロポキシシラン、イソペンチルトリイソプロポキシシラン、イソペンチルトリ−n−ブトキシシラン、イソペンチルトリ−sec−ブトキシシラン、イソペンチルトリ−tert−ブトキシシラン、イソペンチルトリフェノキシシラン、ネオ−ペンチルトリメトキシシラン、ネオ−ペンチルトリエトキシシラン、ネオ−ペンチルトリ−n−プロポキシシラン、ネオ−ペンチルトリイソプロポキシシラン、ネオ−ペンチルトリ−n−ブトキシシラン、ネオ−ペンチルトリ−sec−ブトキシシラン、ネオ−ペンチルトリ−ネオ−ブトキシシラン、ネオ−ペンチルトリフェノキシシランフェニルトリメトキシシラン、フェニルトリエトキシシラン、フェニルトリ−n−プロポキシシラン、フェニルトリイソプロポキシシラン、フェニルトリ−n−ブトキシシラン、フェニルトリ−sec−ブトキシシラン、フェニルトリ−tert−ブトキシシラン、フェニルトリフェノキシシラン、δ−トリフルオロプロピルトリメトキシシラン、δ−トリフルオロプロピルトリエトキシシラン、ジメチルジメトキシシラン、ジメチルジエトキシシラン、ジメチルジ−n−プロポキシシラン、ジメチルジイソプロポキシシラン、ジメチルジ−n−ブトキシシラン、ジメチルジ−sec−ブトキシシラン、ジメチルジ−tert−ブトキシシラン、ジメチルジフェノキシシラン、ジエチルジメトキシシラン、ジエチルジエトキシシラン、ジエチルジ−n−プロポキシシラン、ジエチルジイソプロポキシシラン、ジエチルジ−n−ブトキシシラン、ジエチルジ−sec−ブトキシシラン、ジエチルジ−tert−ブトキシシラン、ジエチルジフェノキシシラン、ジ−n−プロピルジメトキシシラン、ジ−n−プロピルジメトキシシラン、ジ−n−プロピルジ−n−プロポキシシラン、ジ−n−プロピルジイソプロポキシシラン、ジ−n−プロピルジ−n−ブトキシシラン、ジ−n−プロピルジ−sec−ブトキシシラン、ジ−n−プロピルジ−tert−ブトキシシラン、ジ−n−プロピルジフェノキシシラン、ジイソプロピルジメトキシシラン、ジイソプロピルジエトキシシラン、ジイソプロピルジ−n−プロポキシシラン、ジイソプロピルジイソプロポキシシラン、ジイソプロピルジ−n−ブトキシシラン、ジイソプロピルジ−sec−ブトキシシラン、ジイソプロピルジ−tert−ブトキシシラン、ジイソプロピルジフェノキシシラン、ジ−n−ブチルジメトキシシラン、ジ−n−ブチルジエトキシシラン、ジ−n−ブチルジ−n−プロポキシシラン、ジ−n−ブチルジイソプロポキシシラン、ジ−n−ブチルジ−n−ブトキシシラン、ジ−n−ブチルジ−sec−ブトキシシラン、ジ−n−ブチルジ−tert−ブトキシシラン、ジ−n−ブチルジフェノキシシラン、ジ−sec−ブチルジメトキシシラン、ジ−sec−ブチルジエトキシシラン、ジ−sec−ブチルジ−n−プロポキシシラン、ジ−sec−ブチルジイソプロポキシシラン、ジ−sec−ブチルジ−n−ブトキシシラン、ジ−sec−ブチルジ−sec−ブトキシシラン、ジ−sec−ブチルジ−tert−ブトキシシラン、ジ−sec−ブチルジフェノキシシラン、ジ−tert−ブチルジメトキシシラン、ジ−tert−ブチルジエトキシシラン、ジ−tert−ブチルジ−n−プロポキシシラン、ジ−tert−ブチルジイソプロポキシシラン、ジ−tert−ブチルジ−n−ブトキシシラン、ジ−tert−ブチルジ−sec−ブトキシシラン、ジ−tert−ブチルジ−tert−ブトキシシラン、ジ−tert−ブチルジフェノキシシラン、ジフェニルジメトキシシラン、ジフェニルジエトキシシラン、ジフェニルジ−n−プロポキシシラン、ジフェニルジイソプロポキシシラン、ジフェニルジ−n−ブトキシシラン、ジフェニルジ−sec−ブトキシシラン、ジフェニルジ−tert−ブトキシシラン、ジフェニルジフェノキシシラン、メチルネオペンチルジメトキシシラン、メチルネオペンチルジエトキシシラン、メチルジメトキシシラン、エチルジメトキシシラン、n−プロピルジメトキシシラン、イソプロピルジメトキシシラン、n−ブチルジメトキシシラン、sec−ブチルジメトキシシラン、tert−ブチルジメトキシシラン、イソブチルジメトキシシラン、n−ペンチルジメトキシシラン、sec−ペンチルジメトキシシラン、tert−ペンチルジメトキシシラン、イソペンチルジメトキシシラン、ネオペンチルジメトキシシラン、ネオヘキシルジメトキシシラン、シクロヘキシルジメトキシシラン、フェニルジメトキシシラン、ジエトキシメチルシラン、エチルジエトキシシラン、n−プロピルジエトキシシラン、イソプロピルジエトキシシラン、n−ブチルジエトキシシラン、sec−ブチルジエトキシシラン、tert−ブチルジエトキシシラン、イソブチルジエトキシシラン、n−ペンチルジエトキシシラン、sec−ペンチルジエトキシシラン、tert−ペンチルジエトキシシラン、イソペンチルジエトキシシラン、ネオペンチルジエトキシシラン、ネオヘキシルジエトキシシラン、シクロヘキシルジエトキシシラン、フェニルジエトキシシラン、トリメトキシシラン、トリエトキシシラン、トリ−n−プロポキシシラン、トリイソプロポキシシラン、トリ−n−ブトキシシラン、トリ−sec−ブトキシシラン、トリ−tert−ブトキシシラン、トリフェノキシシラン、アリルトリメトキシシラン、アリルトリエトキシシラン、ビニルトリメトキシシラン、ビニルトリエトキシシラン、(3−アクリロキシプロピル)トリメトキシシラン、アリルトリメトキシシラン、アリルトリエトキシシラン、ビニルトリメトキシシラン、ビニルトリエトキシシラン、および(3−アクリロキシプロピル)トリメトキシシランを含む。上記の化合物の中で、好ましい化合物は、メチルトリメトキシシラン、メチルトリエトキシシラン、メチルトリ−n−プロポキシシラン、メチルトリイソプロポキシシラン、エチルトリメトキシシラン、エチルトリエトキシシラン、ジメチルジメトキシシラン、ジメチルジエトキシシラン、ジエトキシメチルシラン、ジエチルジメトキシシラン、およびジエチルジエトキシシランである。
ケイ素含有流体は、式Si(OR(式中、Rは、独立して一価の有機基を表す)を有する化合物であってもよい。Si(ORによって表される化合物の具体例は、テトラメトキシシラン、テトラエトキシシラン、テトラ−n−プロポキシシラン、テトライソプロポキシシラン、テトラ−n−ブトキシシラン、テトラ−sec−ブトキシシラン、テトラ−tert−ブトキシシラン、テトラアセトキシシラン、およびテトラフェノキシシランを含む。上記の中で、ある好ましい化合物は、テトラメトキシシラン、テトラエトキシシラン、テトラ−n−プロポキシシラン、テトライソプロポキシシラン、またはテトラフェノキシシランを含んでもよい。
ケイ素含有流体は、式R (RO)3−bSi−(R)−Si(OR3−c (式中、RおよびRは、独立して水素原子、フッ素原子、または一価の有機基であり、RおよびRは独立して一価の有機基であり、bおよびcは同一または相違しており、そしてそれぞれの数字は0〜2であり、Rは酸素原子、フェニレン基、ビフェニル、ナフタレン基、または−(CH−(式中、nは、1〜6の整数)によって表される基、またはそれらの組み合わせである)を有する化合物であってもよい。Rが酸素原子であるこれらの化合物の具体例は、ヘキサメトキシジシロキサン、ヘキサエトキシジシロキサン、ヘキサフェノキシジシロキサン、1、1、1、3、3−ペンタメトキシ−3−メチルジシロキサン、1、1、1、3、3−ペンタエトキシ−3−メチルジシロキサン、1、1、1、3、3−ペンタメトキシ−3−フェニルジシロキサン、1、1、1、3、3−ペンタエトキシ−3−フェニルジシロキサン、1、1、3、3−テトラメトキシ−1、3−ジメチルジシロキサン、1、1、3、3−テトラエトキシ−1、3−ジメチルジシロキサン、1、1、3、3−テトラメトキシ−1、3−ジフェニルジシロキサン、1、1、3、3−テトラエトキシ−1、3−ジフェニルジシロキサン、1、1、3−トリメトキシ−1、3、3−トリメチルジシロキサン、1、1、3−トリエトキシ−1、3、3−トリメチルジシロキサン、1、1、3−トリメトキシ−1、3、3−トリフェニルジシロキサン、1、1、3−トリエトキシ−1、3、3−トリフェニルジシロキサン、1、3−ジメトキシ−1、1、3、3−テトラメチルジシロキサン、1、3−ジエトキシ−1、1、3、3−テトラメチルジシロキサン、1、3−ジメトキシ−1、1、3、3−テトラフェニルジシロキサンおよび1、3−ジエトキシ−1、1、3、3−テトラフェニルジシロキサンを含む。これらの中で、好ましい化合物は、ヘキサメトキシジシロキサン、ヘキサエトキシジシロキサン、ヘキサフェノキシジシロキサン、1、1、3、3−テトラメトキシ−1、3−ジメチルジシロキサン、1、1、3、3−テトラエトキシ−1、3−ジメチルジシロキサン、1、1、3、3−テトラメトキシ−1、3−ジフェニルジシロキサン、1、3−ジメトキシ−1、1、3、3−テトラメチルジシロキサン、1、3−ジエトキシ−1、1、3、3−テトラメチルジシロキサン、1、3−ジメトキシ−1、1、3、3−テトラフェニルジシロキサン;および1、3−ジエトキシ−1、1、3、3−テトラフェニルジシロキサンである。
が−(CH−によって表される化合物の具体例は、ビス(トリメトキシシリル)メタン、ビス(トリエトキシシリル)メタン、ビス(トリフェノキシシリル)メタン、ビス(ジメトキシメチルシリル)メタン、ビス(ジエトキシメチルシリル)メタン、ビス(ジメトキシフェニルシリル)メタン、ビス(ジエトキシフェニルシリル)メタン、ビス(メトキシジメチルシリル)メタン、ビス(エトキシジメチルシリル)メタン、ビス(メトキシジフェニルシリル)メタン、ビス(エトキシジフェニルシリル)メタン、1、2−ビス(トリメトキシシリル)エタン、1、2−ビス(トリエトキシシリル)エタン、1、2−ビス(トリフェノキシシリル)エタン、1、2−ビス(ジメトキシメチルシリル)エタン、1、2−ビス(ジエトキシメチルシリル)エタン、1、2−ビス(ジメトキシフェニルシリル)エタン、1、2−ビス(ジエトキシフェニルシリル)エタン、1、2−ビス(メトキシジメチルシリル)エタン、1、2−ビス(エトキシジメチルシリル)エタン、1、2−ビス(メトキシジフェニルシリル)エタン、1、2−ビス(エトキシジフェニルシリル)エタン、1、3−ビス(トリメトキシシリル)プロパン、1、3−ビス(トリエトキシシリル)プロパン、1、3−ビス(トリフェノキシシリル)プロパン、1、3−ビス(ジメトキシメチルシリル)プロパン、1、3−ビス(ジエトキシメチルシリル)プロパン、1、3−ビス(ジメトキシフェニルシリル)プロパン、1、3−ビス(ジエトキシフェニルシリル)プロパン、1、3−ビス(メトキシジメチルシリル)プロパン、1、3−ビス(エトキシジメチルシリル)プロパン、1、3−ビス(メトキシジフェニルシリル)プロパン、および1、3−ビス(エトキシジフェニルシリル)プロパンを含む。これらの中で好ましい化合物は、ビス(トリメトキシシリル)メタン、ビス(トリエトキシシリル)メタン、ビス(ジメトキシメチルシリル)メタン、ビス(ジエトキシメチルシリル)メタン、ビス(ジメトキシフェニルシリル)メタン、ビス(ジエトキシフェニルシリル)メタン、ビス(メトキシジメチルシリル)メタン、ビス(エトキシジメチルシリル)メタン、ビス(メトキシジフェニルシリル)メタン、およびビス(エトキシジフェニルシリル)メタンである。
本発明のある好ましい態様において、式RSiのR(OR4−aのR、式Si(ORのR、並びに式R (RO)3−bSi−(R)−Si(OR3−c のRおよび/またはRは、それぞれ独立して式
Figure 0005592327
の一価の有機基(式中、nは、0〜4の整数である)であることができる。これらの化合物の具体例は、テトラアセトキシシラン、メチルトリアセトキシシラン、エチルトリアセトキシシラン、n−プロピルトリアセトキシシラン、イソプロピルトリアセトキシシラン、n−ブチルトリアセトキシシラン、sec−ブチルトリアセトキシシラン、tert−ブチルトリアセトキシシラン、イソブチルトリアセトキシシラン、n−ペンチルトリアセトキシシラン、sec−ペンチルトリアセトキシシラン、tert−ペンチルトリアセトキシシラン、イソペンチルトリアセトキシシラン、ネオペンチルトリアセトキシシラン、フェニルトリアセトキシシラン、ジメチルジアセトキシシラン、ジエチルジアセトキシシラン、ジ−n−プロピルジアセトキシシラン、ジイソプロピルジアセトキシシラン、ジ−n−ブチルジアセトキシシラン、ジ−sec−ブチルジアセトキシシラン、ジ−tert−ブチルジアセトキシシラン、ジフェニルジアセトキシシラン、トリアセトキシシランを含む。これらの化合物の中で、ジメチルジアセトキシシラン、トリメチルアセトキシシラン、およびメチルトリアセトキシシランは、好ましい。
本発明の他の態様において、ケイ素含有流体は、Si原子に結合する少なくとも1種のカルボン酸エステルを好ましくは有していてもよい。これらのシリカ源の例は、メチルトリアセトキシシラン、エチルトリアセトキシシラン、およびフェニルトリアセトキシシランを含む。ケイ素含有流体は、そこに結合するカルボキシレート基を有する少なくとも1つのSi原子を有する、少なくとも1種のケイ素含有流体に加えて、組成物はSi原子に結合したカルボン酸を必ずしも有さなくてもよい追加のケイ素含有流体をさらに含んでもよい。
また別の態様では、ケイ素含有流体は、例えば、ヘキサメチルジシラザン、ヘプタメチルジシラザン、およびヘキサメチルシクロトリシラザン等のシラザンである。また別の態様では、ケイ素含有流体は、例えば、ジメチルアミノシラン、ジメチルアミノトリメチルシラン、アミノプロピルジメチルエトキシシラン、およびビス(ジメチルアミノ)ジメチルシラン等のアミノシランである。また別の態様では、ケイ素含有流体は、例えば、テトラメチルシクロテトラシロキサン(TMCTS)、およびオクタメチルシクロテトラシロキサン(OMCTS)、ヘキサメチルシクロトリシロキサン、デカメチルシクロペンタシラン、ドデカメチルシクロヘキサシラン等の環状シロキサンである。また別の態様では、ケイ素含有流体は、例えば、1−メチル−1−エトキシシラシクロペンタン、2、2、4、6、6−ペンタメチル−2、4、6−トリシラ−ヘプタン、1、1、3、3−テトラメチル−1、3−ジシラシクロブタン、および1、3−ジメチル−1、3−ジエトキシ−1、3−ジシラシクロブタン等のカルボシランである。
接触ステップに関連して、CVD工程が用いられる、本発明の態様では、ガス状の試薬が好ましい。“ガス状の試薬”の語句は、試薬を記載するために時々本明細書中で使用されるが、該語句は、ガスとして直接反応器に送られ、蒸気化した液体、昇華した固体として送られ、および/または不活性キャリアガスによって反応器中に送られる試薬を含むことを意図する。そうした工程では、ガス状の試薬は、典型的には、例えば、真空のチャンバー等の反応チャンバーに流入し、そして平衡は、ガス状の試薬(すなわち、流体)と材料との間で達することができる。任意選択的に、その後、ガス状の試薬の排出および/または溶媒洗浄またはさもなければ過剰流体の除去を続けて行うことができる。ガス状の試薬のそれぞれの流量は、10〜5000sccmにわたってもよい。接触ステップ間に真空チャンバー中で圧力バルブは、0.01〜600トール、さらに好ましくは1〜50トールであってもよい。ある態様において、堆積は25〜425℃、または100〜425℃、または150〜300℃の範囲の温度で行われる。しかし、流量、圧力、および温度等の工程パラメーターは、基材の表面積、用いられる前駆体、および工程で用いられる装置等の多数の因子に依存して変化できると理解される。
ケイ素含有誘電体材料の少なくとも1つの層は、多孔性であり、そしてSi、C、O、H、およびFを含む、CVD工程の一態様では、接触ステップは、真空のチャンバー内に多孔性誘電体材料の少なくとも1つの層を含む基材を用意すること;真空のチャンバーに、有機シランおよび有機シロキサンからなる群から選択される少なくとも1種のケイ素含有流体を含むガス状の試薬を導入すること;平衡を少なくとも1種のケイ素含有流体と材料との間で到達させること;そして少なくとも1種のケイ素含有流体の過剰量を除去することによって行われる。
有機シランおよび有機シロキサン等のケイ素含有流体は、化学気相堆積接触ステップにおいて好ましい。好適な有機シランおよび有機シロキサンは、以下のものを含む:例えば:(a)式R11 SiR12 4−n(式中、nは1〜3の整数であり、RllおよびR12は、独立して少なくとも1つの分枝または直鎖のC〜Cアルキル基(例えば、メチル、エチル)によって表されるアルキルシラン、C〜Cの置換または非置換シクロアルキル基(例えば、シクロブチル、シクロヘキシル)、C〜C10の部分不飽和アルキル基(例えば、プロペニル、ブタジエニル)、C〜C12の置換または非置換芳香族(例えば、フェニル、トリル)、対応する直鎖、分枝、環状、部分的に不飽和アルキル、または芳香族含有アルコキシ基(例えば、メトキシ、エトキシ、フェノキシ)である)、そしてRは、あるいは水素化物(例えば、メチルシラン、ジメチルシラン、トリメチルシラン、テトラメチルシラン、フェニルシラン、メチルフェニルシラン、シクロヘキシルシラン、tert−ブチルシラン、エチルシラン、ジエチルシラン、テトラエトキシシラン、ジメチルジエトキシシラン、ジメチルジメトキシシラン、ジメチルエトキシシラン、メチルジエトキシシラン、トリエトキシシラン、トリメチルフェノキシシランおよびフェノキシシラン)である(b)式Rll(R12 SiO)SiR12 (式中、nは1〜10である)によって表される直鎖有機シロキサン、または式(RSiO)(式中、n2〜10の整数である)によって表される環状有機シロキサン、そしてRllおよびR12は上記(例えば、1、3、5、7−テトラメチルシクロテトラシロキサン、オクタメチルシクロテトラシロキサン、ヘキサメチルシクロトリシロキサン、ヘキサメチルジシロキサン、1、1、2、2−テトラメチルジシロキサン、およびオクタメチルトリシロキサン)のように規定される;および(c)式R12(SiR111212(式中、nは2〜10の整数である)によって表される直鎖有機シランオリゴマー、または式(SiR(式中、nは3〜10の整数である)によって表される環状有機シラン、そして、RllおよびR12は、上記(例えば、1、2−ジメチルジシラン、1、1、2、2−テトラメチルジシラン、1、2−ジメチル−1、1、2、2−ジメトキシジシラン、ヘキサメチルジシラン、オクタメチルトリシラン、1、2、3、4、5、6−ヘキサフェニルヘキサシラン、1、2−ジメチル−1、2−ジフェニルジシランおよび1、2−ジフェニルジシラン)のように規定される。ある態様において、有機シラン/有機シロキサンは、環状アルキルシラン、環状アルコキシシランであり、または、対のSi原子の間に、1、2−ジシラノエタン、1、3−ジシラノプロパン、ジメチルシラシクロブタン、1、2−ビス(トリメチルシロキシ)シクロブテン、1、1−ジメチル−1−シラ−2、6−ジオキサシクロヘキサン、1、1−ジメチル−1−シラ−2−オキサシクロヘキサン、1、2−ビス(トリメチルシロキシ)エタン、1、4−ビス(ジメチルシリル)ベンゼンまたは1、3−(ジメチルシリル)シクロブタン等の少なくとも1つのアルコキシまたはアルキル架橋を含む。ある態様において、有機シラン/有機シロキサンは、エポキシド、カルボン酸、アルキン、ジエン、フェニルエチニル、歪んだ環状基およびトリメチルシリルアセチレン、1−(トリメチルシリル)−1、3−ブタジエン、トリメチルシリルシクロペンタジエン、トリメチルシリルアセテート、ジ−tert−ブトキシジアセトキシシラン、メチルトリアセトキシシラン、ジメチルジアセトキシシラン、およびメチルトリエトキシシラン等の有機シラン/有機シロキサンを立体的に妨げまたは歪ませることができるC〜C10の基からなる群から選択される反応性側基を含む。
本発明の他の好ましい態様において、ケイ素含有流体は、フッ素を供給するシリカ前駆体ガスを含むことができる。CVD−堆積膜に好ましいフッ素供給前駆体ガスは、F−C結合(すなわち、炭素に結合するフッ素)を含む。例示的なフッ素を供給ガスは、少なくとも1つのSi−F結合があるように、フッ素原子が、共有結合でケイ素に結合する種の少なくとも一つと置換されているという条件で、例えば、アルキルシラン、アルコキシシラン、直鎖および環状有機シロキサン、直鎖および環状有機シランオリゴマー、環状または架橋有機シラン、および反応性側基を有する有機シラン等の例えば、有機フルオロシランを含む。さらに具体的に言うと、好適なフッ素供給ガスは、例えば、フルオロトリメチルシラン、ジフルオロジメチルシラン、メチルトリフルオロシラン、フルオロトリエトキシシラン、1、2−ジフルオロ−1、1、2、2−テトラメチルジシラン、またはジフルオロジメトキシシランを含む。
接触ステップの時間は、少なくとも1種のケイ素含有流体と材料の表面との間の平衡に到達させるための必要な時間によって変化できる。スピンオン工程では、接触ステップの時間は、好ましくは5秒〜30分、そしてさらに好ましくは5秒〜300秒である。CVD法では、接触ステップの時間は、好ましくは5秒〜4時間、そしてさらに好ましくは5秒〜1時間である。
本発明の方法は、任意選択的にケイ素含有流体の第2の部分がケイ素含有誘電体材料の層の少なくとも1つの表面と接触を続けるように、ケイ素含有流体の第1の部分を除去するステップを含む。本明細書中で使用される場合、”ケイ素含有流体の第2の部分がケイ素含有誘電体材料の層の少なくとも1つの表面と接触を続けるように、ケイ素含有流体の第1の部分”の語句は、本発明の除去ステップを示すので、大部分のケイ素含有流体(すなわち、第1の部分)がトレンチおよびビアを含む膜の表面(すなわち、誘電体材料の層)から除かれるが、しかし、例えば、ケイ素に結合するメチル基等の有機シラン部分を、本発明によるエネルギー源に曝すことで、ケイ素原子に結合したヒドロキシル基と反応または置換できるように、ある量のケイ素含有流体(すなわち、第2の部分)が吸収されたままおよび/もしくは吸着されたまま、またはさもなければ誘電体材料の層と接触し続けるように、ケイ素含有流体の除去が完全ではないことを意味する。過剰な材料は、望ましくないことに、そうしたエネルギー源に曝すことで膜形成の追加の層となるであろう。除去ステップは、当業者に公知の任意の方法で行うことができ、そして接触ステップに用いられる方法に依存するであろう。例えば、ケイ素含有流体がガス状であり、そして接触ステップがCVD法による場合、典型的には1つまたは2つ以上のバルブを備えるチャンバーは、排気できる。例えば、ケイ素含有流体が液体であり、そして接触ステップがスピンオン法による場合、過剰流体は、スピンオフされることができ、そして膜は乾燥される。これは、また超臨界流体、熱、蒸発技術を使用する洗浄溶媒を使用することを意味する。
ケイ素含有誘電体材料の層がケイ素含有流体と接触した後の時点で、本発明の方法は、ケイ素含有誘電体材料の層の少なくとも1つの表面を、エネルギー源に曝すステップを含み、ケイ素含有誘電体材料の層をエネルギー源に曝した後で、ケイ素含有誘電体材料の層は、第2の誘電率より低い第3の誘電率を有する。第3の誘電率は、第1の誘電率と第2の誘電率との間、第1の誘電率に等しいかまたは第1の誘電率より低いことができる。特別な理論に拘束されることを意図しないが、曝露ステップは、流体および/または誘電体材料を活性化して、ケイ素原子に結合したヒドロキシル基を、例えば、ケイ素に共有結合で結合したメチル基等の疎水性の炭素含有基で置換する化学反応を引き起こし、修復工程の安定性に繋がると考えられている。好適なエネルギー源は、α粒子、β粒子、γ線、X線、高エネルギー電子、電子ビーム(“e−beam”)、紫外(UV)線、(波長10nm〜400nm)、可視光(波長400〜750nm)、熱、熱フィラメント、赤外光(波長750〜10nm)、マイクロ波(周波数>10Hz)、ラジオ周波数の波長(周波数>10Hz)、またはそれらの混合物からなる群から選択される少なくとも1つを含む。好ましくは、曝露ステップで用いられるエネルギー源は、紫外線照射、熱、および電子ビーム、並びにそれらの混合物からなる群から選択される。紫外線照射単独でおよび熱と組み合わせた紫外線照射は、最も好ましいエネルギー源である。
エネルギー源が、紫外線照射である場合、基材(および誘電体材料の少なくとも1つの層)の温度は、好ましくは約25℃〜約500℃、さらに好ましくは約25℃〜約425℃、そしてまたさらに好ましくは約100℃〜約400℃である。少なくとも表面上でケイ素含有流体と接触を続けるケイ素含有誘電体材料の層は、紫外スペクトルの内の1種または2種以上の波長または遠紫外線照射(すなわち、280nm以下の波長)または真空紫外線照射(すなわち、200nm以下の波長)等の紫外スペクトルの内の1種または2種以上の波長にさらされてもよい。紫外線照射は、分散され、焦点を合わせた、連続した波、パルス、走査、または遮断(shuttered)されていてもよい。紫外照射の源は、広帯域源(500〜150nm)、デュアル周波数源、例えば2つの単色源の組み合わせ、エキシマーレーザー、COレーザー、バリアー放電ランプ、水銀ランプ、UVランプで生成されたマイクロ波、赤外または可視領域における周波数2倍または周波数3倍レーザー等のレーザー、または可視領域におけるレーザーから2光子吸収を含むがこれらに限られない。
ある態様において、サンプルが曝される環境を制御するために、紫外線照射源は光学系を通過する。チャンバー中の環境を制御することによって、紫外線を特定の波長に調整することにより曝露ステップの間、基材の温度を比較的低く保つことができる。
好ましい態様において、曝露ステップは、不活性雰囲気(例えば、窒素、ヘリウム、アルゴン、等)等の非酸化雰囲気、還元雰囲気(例えば、H、CO)、または真空で行われる。曝露ステップための特定の温度および時間は、膜の誘電率を回復するために使用される化学種によって変化してもよい。暴露ステップは、約60分以下、好ましくは約10分以下、そしてさらに好ましくは約1分以下の時間行うことができる。曝露ステップは、接触ステップとしての同一の容器/装置内または異なる容器で起こることができる。
接触および曝露ステップの後で、ケイ素含有誘電体材料の層は、ケイ素含有誘電体材料の少なくとも1つの層をエネルギー源に曝した後で、第2の誘電率より低い値に回復させる第3の誘電率を有する。好ましくは、第3の誘電率は、第2の誘電率に比べて約10%〜約150%回復のいずれかである値まで回復する。本発明のいくつかの態様において、誘電体材料の層は、第1の誘電率と第2の誘電率との間にある値まで回復した第3の誘電率を有する。本明細書中で使用される場合、“回復された”または“%回復された”の語句は、第3の誘電率をいい、以下の式によって計算された値をいう:
回復%=[(第2の誘電率−第3の誘電率)/(第2の誘電率−第1の誘電率)]×100
従って、例えば、第1の誘電率が2.2であり、第2の誘電率が4.0であり、そして第3の誘電率が2.7である場合、上の式から計算されるように、第3の誘電率は72%回復である。同様に、第1の誘電率が2.2であり、第2の誘電率が4.0であり、そして第3の誘電率が1.8である場合、上の式から計算されるように、第3の誘電率は122%回復である。
本発明の好ましい態様において、(C−C結合はCH−Si結合に比べて少量存在できるが)炭素は、有機源からのC−Cとしてより、CH−Siとして少なくとも1つの表面上の膜に再導入される。従って、炭素の量は、損傷した膜中で炭素の量(すなわち、第2の誘電率を有する膜)と比較して本発明の工程の後では、より多く、そして誘電体層は好ましくは本発明の工程の後で安定な誘電率を示す。下記の例に示すように、本発明の修復工程後の誘電率の安定性は、回復した誘電率を有する層(すなわち、第3の誘電率を有する誘電体層、本明細書中では回復した層とも呼ぶ)がヒートサイクルをした後では明らかである。本明細書中で使用される場合、“ヒートサイクルした”の語は、回復した層の少なくとも一回および典型的には一回より多い約50℃と450℃との温度への続く曝露をいい、そうした層が典型的には一体化工程の間に経る状態である。本発明の好ましい態様において、ケイ素含有誘電体材料の層は、少なくとも400℃の温度への曝露の後に第3の誘電率の10%以内である誘電率を有する。
本発明のある態様において、除去ステップ後に、例えば、PECVD装置で使用される等のさらなる低エネルギープラズマエネルギー源が、上記の曝露ステップと組み合わせて使用される。これらの態様において、適用されるプラズマエネルギーは、約10〜約200ワット/cm、さらに好ましくは約10〜約100ワット/cmの範囲であってもよい.好ましくは、追加のプラズマエネルギーステップは、除去ステップの後および曝露ステップの前または同時のいずれかで行われる。本明細書中で使用される場合“同時の”または“同時に”の語は、曝露時間の少なくとも一部分の間で同時に、誘電体材料の少なくとも1つの層を、少なくとも1種のエネルギー源およびプラズマエネルギーに曝すことをいう。しかし、本発明による同時曝露の時間は、特別な用途によって必要である場合があるように、短くまたは長くできる。
本発明の方法は、埋め、充填、高密度化、または多孔性材料の内側の部分へアクセスの阻止に用いることができるが、好ましい態様では、本発明の方法は、孔がある場合、孔を塞がず、埋めず、充填せず、または密封せず、誘電体材料の少なくとも1つの層の上にさらなる層を生成しない。
本発明の方法は、任意選択的に誘電体材料の少なくとも1つの層を熱処理にさらすステップを含む。このステップにおいて、材料は、好ましくは約25℃〜約450℃、およびさらに好ましくは約25℃〜約400℃の温度におかれる。このステップは、曝露ステップの間、前および/又は後で起こってもよい。
誘電率に加えて、本発明の方法は、また例えば、絶縁破壊電圧、リーク電流、疎水性、機械的な強度、炭素含有量、拡散抵抗、接着、細孔径の改質、および気密性等の誘電体材料の材料特性を回復する。
当然のことながら、本発明は、ケイ素を含有する、例えば、シリカ含有、誘電体膜に、多孔性および/または非多孔性、損傷したかしないかにかかわらず、上記に記載されたプラズマ表面処理の適用によって、疎水性表面を与える方法を包むことも意図されている。これらの方法を使用した半導体デバイスまたはIC等のマイクロエレクトロニクスデバイスの製造は、また本発明の一部である。
マイクロエレクトロニクスデバイス、誘電体層および材料は、任意の好適な電子部品に利用されるかまたは取り込まれてもよい。本明細書中で考慮されるように、電子部品は、電子ベースの製品で利用可能な任意の誘電体の構成部分または層化した誘電体の構成部分を含むと一般的に考えられる。意図された電子部品は、回路板、集積回路、コンピューターチップ、メモリーデバイス、ディスプレイ、光電池、三次元一体化パッケージング、チップパッケージング、回路板の誘電体部品、プリント基板、およびコンデンサー、インダクター、および抵抗等の回路板の他の部品、を含む。
電子ベースの製品は、業界内でまたは他の消費者によって使用される用意があるという意味では“最終”であることができる。最終消費者製品の例は、テレビ、コンピューター、携帯電話、ポケベル、パームタイプのオーガナイザー、携帯用ラジオ、カーステレオ、太陽電池、携帯用メモリーデバイス、およびリモートコントロールである。また意図されているのは、最終製品中で潜在的に利用される回路板、チップパッケージング、およびキーボード等の“中間”製品である。
電子製品は、概念モデルから最終の大規模化モックアップまで、任意の開発段階のプロトタイプの部品を含んでもよい。プロトタイプは、最終製品で意図される全ての実際の構成部分を含むかまたは含まず、そしてプロトタイプは、最初にテストする際に他の構成部分への初期の効果を打ち消すために、複合物材料の外で組み立てられるいくつかの部品を有してもよい。電子製品および構成部分は、層化された材料、層化された部品、および部品または製品中での使用のために調製されてラミネート加工された部品を含んでもよい。
本発明のさらなる目的、利点、および新規な特徴は、限定することを目的としない以下の例の調べることで当業者に明らかになるであろう。
本発明の方法は、任意の誘電体膜上で行うことができるが、以下の例では、PDEMS(商標)2.5ATRP膜を用いる。本明細書中で使用される場合、“PDEMS(商標)2.5ATRP膜”の記号は、DEMS(商標)(ジエトキシメチルシラン)前駆体とポロゲン前駆体ATRP(α−テルピネン)のプラズマ化学気相成長法によって調製される約2.5の誘電率を有する膜を言う。PDEMS(商標)2.5ATRP膜は、米国特許第6、846、515号明細書中で開示された方法によって調製され、参照によりその全てを取り込む。
例1
UV硬化(ガス相)
PDEMS(商標)2.5ATRP膜(DEMS(商標)およびポロゲンから調製されたCVD誘電体膜)をエッチ、アッシング、および湿式洗浄工程で損傷させ、炭素を膜から取り除いて、膜の誘電率を2.57(第1の誘電率)から2.87(第2の誘電率)(膜厚さ2791Å、RI=1.385)まで上昇させた。サンプルを、400℃、真空の第1の真空のチャンバーに、5分間入れた。ウェハーを冷却後、ウェハーを45℃の第2の真空のチャンバーに移した。チャンバーを、12トールまで、ジエトキシメチルシラン(担体なし)で加圧した。試薬の孔系への拡散を補助するために、サンプルを試薬蒸気と2〜3分間平衡にさせた。ウェハーを次に、広帯域スイープUV源(H電球を有するフュージョンI−600ランプ)を備えた300℃の第3の真空のチャンバーに移した。サンプルを、紫外線照射に1分間曝した。サンプルを、チャンバーから取り除いた。膜の誘電率は、2.61(第3の誘電率)(膜厚さ2758Å、RI=1.367)まで低下した。PE−CVD膜、例えば、DEMS(商標)の堆積に使用可能である同一の前駆体は、膜内に吸着され次にDEMS(商標)分子を活性化でき、一体化処理によって導入されたPDEMS(商標)ネットワーク中の欠陥と相互作用する。
例2
UV硬化(液相)
2−ヘキサノン中ヘプタメチルジシラザンの10wt%溶液を、ポリプロピレン瓶中で混合した。PDEMS(商標)2.5ATRP膜(DEMS(商標)およびポロゲンから調製されたCVD誘電体膜)を、エッチ、アッシング、および湿式洗浄工程で損傷させ、炭素を膜から除いて、膜の誘電率を2.53(第1の誘電率)から2.8(第2の誘電率)(膜厚さ3322Å、RI=1.338)に上昇させた。この膜の一片をスピンコーターの上に置いた。約3mlの溶液を膜の上に置いた。スピン乾燥で修復試薬が除去される前に、試薬は膜と90秒接触した。サンプルを、広帯域スイープUV源を備えた300℃の真空のチャンバーに移した。サンプルを、100%出力のUV光に1分間曝した。処理後、膜の誘電率は、2.46(第3の誘電率)(膜厚さ3215Å、RI=1.346)であった。この例は、試薬、例えば、シラザンの選択が膜の誘電率を100%まで回復させるのに効果的であることを示す。例1および例2の組み合わせは、UV工程が誘電特性の回復のために、ガスおよび液相工程の両者で可能であることを具体的に示す。
例3
プラズマ処理
PDEMS(商標)2.5ATRP膜(DEMS(商標)とポロゲンから調製されたCVD誘電体膜)を、エッチ、アッシング、および湿式洗浄工程で損傷させ、炭素を膜から除いて、誘電率を2.57(第1の誘電率)から2.87(第2の誘電率)(膜厚さ2791Å、RI=1.385)まで上昇させた。サンプルを400℃の第1の真空のチャンバーにとり、そして5分間真空にした。ウェハーを冷ました後で、ウェハーを、45℃の第2の真空のチャンバーに移した。チャンバーを、ジエトキシメチルシラン(担体なし)で15トールまで加圧した。サンプルを、孔系への試薬の拡散を補助するために、試薬蒸気と2〜3分間平衡にさせた。ジエトキシメチルシランを、チャンバーの外に排出させ、そして200mg/分のジエトキシメチルシランの流れを開始させた。流れが一旦安定化すると、プラズマ(75ワット)を10秒間当てた。プラズマステップの完了時に、チャンバーのポンプを止めそしてサンプルを取り出した。膜の誘電率は、2.8(第3の誘電率)(膜厚さ2820Å、RI=1.386)まで低下した。プラズマ工程は、また化学的種を活性化できるが、プラズマ工程からの活性化学的種は、損傷した多孔性膜の誘電率を回復できない。
例4
プラズマ+UV(ガス相)
PDEMS(商標)2.5ATRP膜(DEMS(商標)とポロゲンから調製されたCVD誘電体膜)を、エッチ、アッシング、および湿式洗浄工程で損傷させ、炭素を膜から除いて、誘電率を2.57(第1の誘電率)から2.87(第2の誘電率)(膜厚さ2791Å、RI=1.385)まで上昇させた。サンプルを400℃、真空下の第1のチャンバー5分間入れた。ウェハーを冷ました後で、ウェハーを、250℃の第2の真空のチャンバーに移した。チャンバーを、ジエトキシメチルシラン(担体なし)で18トールまで加圧した。サンプルを、孔系への試薬の拡散を補助するために試薬蒸気と2〜3分間平衡にさせた。ジエトキシメチルシランを、チャンバーの外に排出させ、そして200mg/分のジエトキシメチルシランのフローを開始させた。フローが一旦安定化すると、プラズマ(75ワット)を10秒間当てた。プラズマステップの完了時に、チャンバーのポンプを止めた。サンプルを、広帯域スイープUV源を備えた300℃の第3の真空のチャンバーに移した。サンプルを、100%出力UV光に1分間曝した。サンプルをチャンバーから取り出した。膜の誘電率は、2.63(第3の誘電率)(膜厚さ2790Å、RI=1.368)まで低下した。プラズマ工程から化学的活性工程と、UV光を使用した硬化の組み合わせを使用すると、膜の誘電率を充分に回復させることができる。2つの工程の組み合わせなしでは、初期の損傷していない膜特性を充分に回復させることはできない。
例5
溶媒中でのシリル化剤の濃度および誘電率回復の効果
ケイ素含有流体の適用は、ブランケット膜またはパターン化された構造のいずれかと接触できるため、デバイスメーカーは、シリカ含有流体を適用した後で、洗浄ステップを使用したくない場合がある。パターン化された構造の典型的な湿式化学的クリーニングにおいて、ウェハー上に残るエッチおよびアッシング工程からのあらゆる残留する試薬および/または破片を除去するために、基材を多量の水で洗浄する。新しい工程を保有するコストを低下させるために、任意の処理ステップを除くことは、資金および汚染への潜在性を節約するであろう。ケイ素含有流体中でシリル化剤の濃度を最適化できる場合、洗浄ステップの工程を除くことができる場合がある。下記表1中のものより高い濃度は、またCH−Si結合の取り込みおよび誘電率の回復に有益である可能性があるため、この例は上限を決めることを意図しない。
PDEMS(商標)2.5ATRP膜を、酸化アッシング工程を使用して損傷させた。多くの他の工程と同様に、この工程は、典型的には同等以上の屈折率、膜からの炭素、および膜の誘電率の増加となる。表1では、“損傷していない”は、ポロゲンを除去するためのUV硬化後だが任意の追加の処理前(すなわち、第1の誘電率)のPDEMS(商標)2.5膜をいい、そして“損傷した”は、アッシング工程(すなわち、第2の誘電率)によって損傷した膜を言う。
幾つかの異なる組成物を、表1に示す。メチルトリアセトキシシラン(MTAS)と2−ペンタノンとを混合、振盪し、そして最低30分間平衡にさせて、組成物を生成した。例えば、2−ペンタノン中1wt%MTASを、テフロン(商標)またはポリプロピレン瓶中で0.2グラムのMTASと19.8グラムの2−ペンタノンとを混合させることで調製する。溶液を、ウェハー上に、5秒〜300秒の時間堆積させ、その後溶液を、スピン乾燥で除去した。300回転/分で30秒、500回転/分で10秒、および800回転/分で60秒、膜を回転させた。次に、膜を、H電球を備えた広域UV源を使用して硬化した。UV硬化したサンプルを、300℃真空下1分間硬化した。硬化後、サンプルを、クリーンルーム環境(40〜45%RH、72華氏度)で3日間平衡にさせて、膜に水を再吸収させた。反射率測定法、Hgプローブ、およびFTIRを、修復試薬の効果を評価するために使用した。
表1は、アセトキシシラン用シリル化試薬の濃度が膜の誘電率の回復、すなわち、第3の誘電率のために極度に重要でない様であることを示すが、膜中に再導入される炭素の量は、シリカ含有流体に導入される試薬の量と関係する。同様に、導入される炭素量は、膜の化学的安定性、膜の疎水性、および膜の大気安定性を補助するであろう。
表1
Figure 0005592327
例6:修復試薬なしのUV
PDEMS(商標)2.5ATRP膜(DEMS(商標)とポロゲンから調製されたCVD誘電体膜)を、エッチ、アッシング、および湿式洗浄工程で損傷させ、炭素を膜から除いて、膜の誘電率を2.50(第1の誘電率)から2.99(第2の誘電率)(膜厚さ4468Å、RI=1.391)まで上昇させた。サンプルを、400℃、真空下の第1の真空のチャンバーに5分間入れた。
ウェハーを冷ました後で、ウェハーを、広帯域スイープUV源を備えた300℃の第2の真空のチャンバーに移した。サンプルを、UV光に1分間曝した。サンプルをチャンバーから取り出し、そして膜の誘電率は、2.75(第3の誘電率)(膜厚さ4539Å、RI=1.327)まで低下した。この例は、UV光単独(すなわち、試薬がない)は、膜の誘電率を部分的には回復できるが、膜の誘電率を完全に回復できないことを示す。
例7:UVと、熱硬化との比較
この例では、修復剤として種々のシリル化剤および硬化工程の効果を見る。曝露の条件は、300℃、真空下で、UV1分間、または窒素フロー下、熱(400℃)5分間いずれかであった。
PDEMS(商標)2.5ATRP膜を、エッチガスに曝した後で酸化アッシング工程を通して損傷させた。多くの他の工程と同様に、この工程は、典型的には同等以上の屈折率、膜からの炭素の除去、および膜の誘電率の増加となる。表1では、“損傷していない”は、ポロゲンを除去するためのUV硬化後だが任意の追加の処理(すなわち、第1の誘電率)前のPDEMS(商標)2.5膜をいい、そして“損傷した”は、アッシング工程(すなわち、第2の誘電率)によって損傷した膜を言う。
幾つかの異なる組成物を、表2に示す。シリル化剤と2−ペンタノンとを混合、振盪し、そして最低30分間平衡にさせて、組成物を生成した。例えば、2−ペンタノン中10wt%アミノプロピルジメチルエトキシシラン(APDMES)を、テフロン(商標)またはポリプロピレン瓶中で2グラムのAPDMESと18グラムの2−ペンタノンとを混合させることで調製する。溶液を、ウェハー上に、5秒〜300秒の時間堆積させ、その後溶液を、スピン乾燥で除去した。300回転/分で30秒、500回転/分で10秒、および800回転/分で60秒、膜を回転させた。次に、熱でまたは広域UV源を使用して膜を硬化した。熱で硬化したサンプルを、400℃窒素気流下5〜10分間硬化した。UV硬化サンプルを300℃真空下で1分間硬化した。硬化後、サンプルを、クリーンルーム環境(40〜45%RH、72華氏度)で3日間平衡にさせて、膜に水を再吸収させた。反射率測定法、Hgプローブ、およびFTIRを、修復試薬の効果を評価するために使用した。次に、修繕された膜の幾つかを、膜中で試薬の安定性を評価するために、窒素気流下(100ppm未満のO濃度)で、全部で7回の50℃と450℃でのヒートサイクルを行った。
表2
Figure 0005592327
MTAS=メチルトリアセトキシシラン;APDMES=3−アミノプロピルジメチルエトキシシラン;DMDAS=ジメチルジアセトキシシラン;MTES=メチルトリエトキシシラン;HMDS=ヘキサメチルジシラザン;OMCTS=オクタメチルテトラシロキサン;C7DSZ=ヘプタメチルジシラザン;MESCP=メチルエトキシシラシクロペンタン
表2は、紫外線照射が広範な修復試薬において膜の誘電率を回復するのに、さらに効果的であることを示す。この例は、導入されそしてUV光で硬化された試薬が複数のヒートサイクルに対し極度に堅牢であることを示す。これは、損傷した膜に導入された全ての化学的種がネットワーク欠陥に共有結合で結合し、そして追加の処理ステップでガスが抜けないことを強く示唆する。
例8:アッシング損傷および湿式化学的剥離剤に曝露後の損傷の修繕
損傷した多孔性誘電体ケイ素含有薄膜の修繕の間、トレンチおよびビアを作るために用いられる全ての工程の後で、中間層誘電体膜を修繕することが好ましい。そうした工程は、エッチング、アッシング、および湿式化学的ストリッピング、誘電率の増加および低下した疎水性を起こすもの全てを含む。好ましくは、修復試薬は、また湿式化学的剥離剤に曝した後の膜を乾燥するために使用される、液体または蒸気のいずれかの、水およびアルコール類に曝した後で、表面を修復できる。
PDEMS(商標)2.5ATRP膜を、酸化アッシング工程を通して損傷させた。酸化アッシング工程に曝した後で、膜を、種々の湿式化学的ストリッピング製品で洗浄し、水で洗浄し、そしてIPA蒸気で乾燥した。湿式化学的剥離剤と、修復試薬の堆積との間で加熱はなかった。誘電体層中でトレンチおよびビアを製造するために使用される多くの他の工程と同様に、この工程は、典型的には同等以上の屈折率(RI)、膜からの炭素の除去、および膜の誘電率の増加となる。表2では、“損傷していない”は、ポロゲンを除去するためのUV硬化後だが任意の追加の処理(すなわち、第1の誘電率)前のPDEMS(商標)2.5膜をいう。
幾つかの異なる組成物を、表3に示す。シリル化剤と2−ペンタノンとを混合、振盪し、そして最低30分間平衡にさせて、溶液を生成した。例えば、2−ペンタノン中メチルトリアセトキシシラン(MTAS)を、テフロン(商標)またはポリプロピレン瓶中で、0.2グラムのMTASと19.8グラムの2−ペンタノンとを混合させることで調製する。ウェハー上に、5秒〜300秒の時間溶液を堆積させ、その後溶液を、スピン乾燥で除去した。300回転/分で30秒、500回転/分で10秒、および800回転/分で60秒、膜を回転させた。膜を300℃真空下で1分間UVに曝した。硬化後、サンプルを、クリーンルーム環境(40〜45%RH、72華氏度)で3日間平衡にさせて、膜に水を再吸収させた。反射率測定法、Hgプローブ、およびFTIRを、修復試薬の効果を評価するために使用した。この例は、膜を回復させる試薬および工程は、湿式化学的フッ化物系の残留物剥離剤と伴に使用できることを示す。
表3
Figure 0005592327
MTAS=メチルトリアセトキシシラン;HMDS=ヘキサメチルジシラザン;OMCTS=オクタメチルテトラシロキサン;C7DSZ=ヘプタメチルジシラザン、EZStrip(商標):試薬は、AirProducts and Chemicals、Inc.、Allentown、 Pennsylvaniaから入手可能である
例9:修復試薬への洗浄溶媒の効果
修復試薬は、エッチングおよびアッシングで起こるサイドウォールの損傷を修繕するために用いることができる。そうした試薬および工程は、小さい構造に浸透し、孔系に浸透し、膜の組成および電気の特性を修復し、そして構造の臨界寸法の何らかの変化を最小化できなければならないであろう。分子の反応性によって、洗浄溶媒は、パターン化された構造から残留物を除去するために使用されなければならないが、修復試薬がターゲットとする活性な欠陥から試薬を除いてはならないであろう。
この例で用いられる膜は、誘電体膜中でエッチされた構造を残すように、パターン化され、エッチ化され、アッシングされ、そして湿式洗浄された。パターン化された基材を用意した後で、本発明による修復試薬を適用して、処理中に起こったサイドウォールのすべての損傷を修繕する。溶液をwt/wt基準で、テフロン(商標)またはポリプロピレン瓶中で混合した。例えば、2−ペンタノン溶液中で5%ジメチルジアセトキシシラン(DMDAS)を調製するためには、1グラムのDMDASを、19グラムの2−ペンタノンに加えた。適用前に溶液を最低30分間平衡させた。損傷したブランケットPDEMS(商標)2.5ATRPおよびパターン化したウェハーの上に溶液を5秒〜300秒の時間堆積させ、その後溶液をスピン乾燥で除いた。300回転/分で30秒、500回転/分で10秒、および800回転/分で60秒、膜を回転させた。回転後、サンプルを溶媒、例えば、2−ペンタノンまたは1−ペンタノールで洗って、膜からすべての残留物を除いた。残留物をパターン化された構造から除去するための洗浄ステップは、追加的であり、そして損傷した領域を修繕するために使用される修復試薬のタイプおよび修繕される構造の密度に依存する。ウェハーを溶媒で洗浄する場合、ウェハーが500回転/分で7〜10秒で回転している間に洗浄が適用され、そして次に1800回転/分40秒で乾燥される。300℃で真空下UVに膜を1分間曝した。ブランケット膜は、反射率測定法、Hgプローブ、およびFTIRによって特徴付けられる。パターン化された基材中でトレンチの洗浄度を評価するために、SEMを使用した。パターン化した構造を、希薄なフッ化水素酸(HF)(100水:1HF)に、20秒間ディッピングして、次にトレンチの幅をSEMを使用して、HFディップの前後で、試薬の浸透を評価した。試薬が誘電体に浸透する場合、トレンチの寸法中でわずかな変化があるであろう。2種のアセトキシシランでの結果を表4に示す。表4では、“損傷した膜”は、エッチおよびアッシング工程(すなわち、第2の誘電率)に曝した後の膜を言う。この例は、洗浄溶媒の使用が、洗浄された構造の調製に好都合であり、そして洗浄溶媒が膜の表面から試薬を除去しないことを示す。
表4:
Figure 0005592327
MTAS=メチルトリアセトキシシラン;DMDAS=ジメチルジアセトキシシラン
例10:UV硬化における雰囲気の効果および熱硬化における温度の効果
多くのUV硬化チャンバーは、真空にできない、したがって大気圧対真空の効果は、成功する修復工程において重要な因子であることができる。比較として、熱処理を、不活性ガスのパージ下、種々の温度で行って修復試薬が任意の特定の温度で活性化するか否かを見た。
PDEMS(商標)2.5ATRP膜を、エッチガスに曝した後で、酸化アッシング工程を通して、損傷させた。多くの他の工程の様に、この工程は、典型的には同等以上の屈折率、膜からの炭素の除去、および膜の誘電率の増加となる。表5において、“損傷した”は、修復試薬および硬化(すなわち、第2の誘電率)前のエッチおよびアッシング処理によって損傷した膜をいう。
2−ペンタノン中2.5wt%メチルトリアセトキシシラン(MTAS)、2.5wt%ジメチルジアセトキシシラン(DMDAS)を、0.5グラムのMTAS、0.5グラムのDMDASと、19グラムの2−ペンタノンとを、テフロン(商標)またはポリプロピレン瓶中で混合して調製した。組成物をスピン乾燥で除いた後で、ウェハー上に組成物を5秒〜300秒の時間堆積させた。300回転/分で30秒、500回転/分で10秒、そして800回転/分で60秒、膜を回転させた。(1)真空またはHe600トール下、300℃で1分間UV硬化、および(2)窒素フロー下400℃で5分間熱硬化のいずれかで膜を硬化させた。硬化後、サンプルを、クリーンルーム環境(40〜45%RH、72華氏度)中で3日間平衡にさせ、膜に水を再吸収させた。反射率測定法、Hgプローブ、およびFTIRを用いて、修復試薬の効果を評価した。結果を表5に示す。損傷した膜の表5中の“kにおける変化”は、第2の誘電率と、第1の誘電率との違いであるが、UV光または熱硬化させた回復した膜では、第3の誘電率と第1の誘電率との違いを示す。この例は、より高い温度の熱硬化が膜の誘電率を回復できないことを示す。
表5
Figure 0005592327
例11:金属バリアー膜の接着における修復試薬の効果
誘電体膜の誘電特性は、集積回路中でトレンチおよびビアを製造するために用いられる多くの工程によって損傷されるので、これらの工程から生じる損傷を修繕することが必要である。多孔性誘電体膜が損傷した場合、表面は次の層の接着を大幅に改善する炭素種の損失により親水性になる。修復試薬を完了させた後、表面は、好ましくは損傷した膜より、さらに疎水性であり、金属バリアーと誘電体層との接着の問題に繋がる。接着の失敗は、銅の誘電体層への浸透、および貧弱なデバイスの信頼性を生じることができる。
異なる層間の接着を測定する一般的な方法は4点曲げ法である。この方法に用いられた複合物膜は、Si/Hで損傷したPDEMS(商標)2.5/TaN/Cu/エポキシ/Siであった、2−ペンタノン中1wt%MTAS、続いて1−ペンタノール洗浄、2−ペンタノン中10wt%ビス(ジメチルアミノ)ジメチルシラン(DMADMS)続いて1−ペンタノール洗浄、そして2ペンタノン中10wt%HMDSを含む修復流体でテストした。2つのH損傷したPDEMS(商標)膜を2−ペンタノン中1wt%MTAS、続いて1−ペンタノール洗浄と、2ペンタノン中10wt%HMDSで直接修復させた。HMDS膜を400℃で30分間熱処理しながら、10wt%HMDSで処理した膜を除く全ての膜を、300℃で1分間、真空下でUV硬化させた。2つの異なる接着実験を行った:1つは、ノッチをエポキシ/Cu界面に隣接したSiウェハーに配置するものであり;他方の実験は、PDEMS(商標)/TaN界面に隣接したSiウェハーにノッチを配置するものであった。表6中の結果は、ノッチをPDEMS(商標)/TaN界面に配置した実験である。表6は、修復試薬の使用が金属バリアーの誘電体層への接着に影響しないようであることを示す。
表6
Figure 0005592327
例12:UV光の効果
広帯域および単色光源を含む膜の化学的修復を活性化できる多くの異なるUV光源がある。この例は、より低い波長、すなわち、185nm以下が、損傷した膜の修復に非常に効果的であることができることを示す。
PDEMS(商標)2.3NBDE膜を、60秒の酸化アッシング工程で損傷させた。損傷した膜を、硬化させる前に2−ヘキサノン中1wt%MTASに曝した。表7は、使用した温度および光の波長の両者が、損傷した膜を回復させるのに非常に重要であることを明らかに示す。“損傷していない”膜は、あらゆる損傷工程前の膜(すなわち、第1の誘電率)をいい、“損傷した”膜は、酸化アッシング工程を60秒通した後の膜(すなわち、第2の誘電率)をいい、そして他の4つの膜は、1wt%MTASで修復し、UV源を使用して硬化し、そして示された温度で1分経過後である。この例は、また広帯域と単色光源との両者が膜を硬化させるために使用できることを示す。
表7
Figure 0005592327
例13:UV硬化前の損傷したウェハーでの試薬の安定性
装置の中断時間および処理の問題は、膜の処理で遅延を生じることができ、したがって硬化前にエージングされるので、膜の効果において変動性がある場合がある。
PDEMS(商標)2.5ATRP膜を、酸化アッシング工程を通して損傷させた。誘電体層中にトレンチおよびビアを製造するために使用される多くの他の工程の様に、この工程は、典型的には、同等またはそれ以上の屈折率、膜からの炭素の除去、および膜の誘電率における増加となる。表8では、“損傷していない”は、UV硬化後であるが、任意のさらなる処理をする前のPDEMS(商標)2.5膜(すなわち、第1の誘電率)をいい、そして“損傷した”は、酸化プラズマ処理後の膜(すなわち、第2の誘電率)をいう。
2.5wt%DMDASと2−ペンタノン中2.5wt%MTASを含む組成物を、テフロン(商標)またはポリプロピレン瓶中で0.5グラムのMTAS、0.5グラムのDMDASと19グラムの2−ペンタノンとを混合して調製した。組成物を、5秒〜300秒間ウェハー上に堆積させ、その後溶液を、スピン乾燥で除去した。300回転/分で30秒、500回転/分で10秒、そして800回転/分で60秒、膜を回転させた。幾つかのウェハーを同様に処理し、クリーンルーム環境(40〜45%RH、72華氏度)中で時間周期を変化させるために、エージングさせた。エージング後に、UV光で真空下、1分間300℃で膜を硬化させた。結果を、表8に示す。表8は、膜の回復した誘電率で、10日までほとんど変化しないことを示し、損傷した表面に一旦適用された試薬は、かなり安定であり、そして貯蔵で蒸発しないことを示唆する。エージングしたサンプルのUV硬化で、膜の誘電率を回復可能である。この例は、硬化前に膜に適用された試薬が周囲環境で一週間以上かなり安定であり、そしてプラズマ処理によって導入されたヒドロキシルおよび水素化物欠陥と反応することを示す。
表8
Figure 0005592327
例14:修繕後の貯蔵での誘電率の安定性
一旦膜が修繕されると、追加の処理をされる前の時間を延ばすことができる。修復された膜は、クリーンルーム条件下において、可能な限り、電気的におよび組成的に好ましくは安定なままである。膜が安定なままである場合、試薬は、膜内の欠陥に化学結合し、膜が非常に疎水性であり、そして大気水分が修繕された表面と強く相互作用しないことを示唆する。
幾つかの異なる組成物を表8に示す。表8中の“損傷していない”膜は、次の処理に曝されなかったAurora(商標)2.5膜をいい、そして“損傷した”膜は、O/Clプラズマに曝されたAurora(商標)2.5膜をいう。これらのタイプのエッチガスは、金属下地層を保護する金属を通してエッチするために、通常金属ハードマスクのスキームで使用される。O/Clエッチガスは、高反応性であり、そして膜の表面上にクロロおよびヒドロキシル基の両者を作り出すことができ、そして標準のフルオロカーボンエッチガスよりさらに深く壁構造に浸透する。表8中で溶液を、シリル化剤と2−ペンタノンを混合し、振盪し、そして最低30分間平衡に達させることによって、製造した。例えば、2−ペンタノン中10wt%ビス(ジメチルアミノ)ジメチルシラン(DMADMS)、2グラムのDMADMSと、18gの2−ペンタノンを、テフロン(商標)またはポリプロピレン瓶中で混合することによって調製した。溶液をスピン乾燥で除いた後で、5秒〜300秒の時間の間溶液をウェハー上に堆積させた。300回転/分で30秒、500回転/分で10秒、および800回転/分で60秒、膜を回転させた。真空下300℃で1分間膜をUV光に曝すことによって硬化させた。硬化後、サンプルをクリーンルーム環境(40〜45%RH、72華氏度)で3日間平衡させ、膜に水を再吸収させた。30日後に測定して、膜の貯蔵安定性の効果を調べた。反射率測定法、Hgプローブ、およびFTIRを使用して、修復試薬の効果を評価した。表9に示すように、シリル化剤およびUV光の使用は、FTIRから、45%RHおよび72華氏度環境下で30日もの間、安定な膜、静電容量および組成物を生じた。
表9
Figure 0005592327
適用され硬化される試薬は、周囲環境で少なくとも1月間安定である。表面が高疎水性であり、そして多くのプラズマが引き起こす欠陥が修復流体との化学反応または構造欠陥近辺の縮合のいずれかによって除去されたことを示唆する。UV光を使用して修復工程を2段階工程;最初に試薬があらゆる可能な欠陥と反応して、有機官能基末端Si−O−Si結合を生成し、そして次に、UV光がシリケートネットワークに結合していないあらゆる材料を除去し、そして、それ自身とあらゆる残留欠陥との間すなわち、Si−OH+HO−Si=Si−O−Si+水、または充分に架橋しなかった疎水性の部分でさらに縮合反応を起こすようである。
例15:リーク電流および絶縁破壊電圧
PDEMS(商標)2.5ATRP膜を、エッチガスに曝露した後、酸化アッシング工程を通して損傷させた。多くの他の工程と同様に、この工程は、典型的には同等以上の屈折率と、膜からの炭素の除去、および膜の誘電率の増加となる。表10中で、“損傷していない”は、UV硬化後だが、任意の追加の処理前のPDEMS(商標)2.5膜(すなわち、第1の誘電率)をいい、そして“損傷した”は、エッチおよびアッシング処理によって損傷した膜(すなわち、第2の誘電率)をいう。
幾つかの異なる混合物を、表10中に示す。溶液を、シリル化剤と2−ペンタノンを混合し、振盪し、そして最低30分間平衡に達させることによって、製造した。例えば、2.5wt%アミノプロピルジメチルエトキシシラン(APDMES)、2−ヘキサノン中2.5wt%メチルトリアセトキシシラン(MTAS)を、1グラムのAPDMESと、1グラムのMTASと、18gの2−ペンタノンとを、テフロン(商標)またはポリプロピレン瓶中で混合することにより、調製した。溶液を乾燥で除去した後で、5秒〜300秒の時間で溶液をウェハー上に堆積させた。300回転/分で30秒間、500回転/分で10秒間、および800回転/分で60秒間、膜を回転させた。
比較のために、熱でそして、広帯域UV源で別々に、膜を硬化させた。熱硬化サンプルを、400℃窒素気流下で5〜10分間硬化させ、UV硬化サンプルを、300℃真空下で1分間硬化させた。硬化後、クリーンルーム環境(40〜45%RH、72華氏度)でサンプルを3日間平衡にさせ、膜に水を再吸収させた。Hgプローブおよび反射率測定法を、リーク電流密度、および絶縁破壊電圧“%回復”として規定される上記の式から計算されるように、誘電体の回復を評価するために使用した。膜を再加熱して電気測定前に、あらゆる吸着水を除いた。接触角は、水の液滴と膜表面との接触角である。
表10は、熱硬化した膜が膜の静電容量を回復することができるが、リーク電流密度および絶縁破壊電圧を回復できないことを示す。膜を熱硬化することは、膜を充分に架橋させず、そして膜に物理吸着できる種を除かないようである。他方で、試薬の選択と組み合わせたUV硬化は、膜の電気特性を修繕するのにきわめて重要である。
表10
Figure 0005592327
OMCTS=オクタメチルシクロテトラシロキサン;APDMES=3−アミノプロピルジメチルエトキシシラン;MTAS=メチルトリアセトキシシラン;DMDAS=ジメチルジアセトキシシラン;C7DSZ=ヘプタメチルジシラザン
比較例1:
この例は、米国特許出願公開第2006/0057855号明細書に開示された強化剤活性成分、DMDASプラズマ中のガス相のアセトキシシランの使用とUV曝露、およびプラズマとUV曝露とを比較する。
研究で使用されるブランケット膜は、酸素プラズマで損傷したPDEMS(商標)2.5ATRP膜であった。酸素プラズマに、20秒間(100%O、100seem、300mTorr)膜を曝して、アッシング工程で典型的に観察されるように損傷させた。損傷していないブランケット膜、すなわち第1の誘電率、および酸素プラズマ中で処理したブランケット膜の屈折率、誘電率、およびFTIRのCH−SiおよびSiOの積分ピーク面積を表llに示す。誘電率の変化、0.43の酸素プラズマ処理後、すなわち第2の誘電率と、第1の誘電率との間の相違、膜の屈折率における増加、膜のメチル含有量における減少があった。
4つの他の膜も、表ll中に示す。これらの例は、異なる活性化学的処理は、活性化学的修復剤としてのジメチルジアセトキシシラン(DMDAS)の使用である。P5000第1の真空のチャンバーに膜を置き、そして真空下400℃で5分間脱水した。ウェハーを次に250℃に設定したサセプター温度を有する第2の真空のチャンバーに移した。ウェハーを第2のチャンバーに移した後で、DMDASをチャンバー蒸気として導入した。チャンバーを、表11に記載の圧力まで加圧した。250℃でDMDASの存在下5分間サンプルを平衡にさせた。プラズマへの曝露の場合、試薬フローを復旧させ、そしてプラズマを100ワットで10秒間照射した。最初の化学的曝露またはDMDASプラズマの後で、チャンバーのポンプを止めた。ウェハーを、広帯域フュージョンUVシステムを備えた第3の真空のチャンバーに移した。UVチャンバー内のサセプターを300℃に設定した。次にサンプルをUV光に1分間曝した。UV源への曝露後に、サンプルをチャンバーから取り出し、そしてカセットに戻した。
ウェハーを、72華氏度42%R.H.のクラス100のクリーンルーム内で1日平衡にさせた後で、誘電率、すなわち第3の誘電率、屈折率、および膜の炭素含有量を再測定した。結果を表llまとめる。0に近いΔk値は、誘電率が損傷していないPDEMS(商標)2.5ATRP膜に近いことを示す。膜の炭素含有量中の増加は、CH−Siピーク面積の増加で示される。特別な理論によって拘束されることを意図しないが、FTIR中のiOピーク面積の増加は、おそらく、修復試薬と、ヒドロキシルおよび水素化物欠陥との相互作用、並びにUV光でのそれらの相互作用によって起こされたヒドロキシルおよび水素化物とそれら自身との追加の縮合での欠陥の修繕による。
表11
Figure 0005592327
先の例および態様の記載は、請求項によって規定される本発明を限定するよりむしろ、具体的な例示として理解されるべきである。理解されるであろう様に、上記で説明した多数の変化および組み合わせは、請求項に記載の本発明の範囲を離れることなく利用できる。そうした変化は、請求項の範囲内に含まれることが意図される。
(態様)
(態様1)
第1の誘電率および少なくとも1つの表面を有するケイ素含有誘電体材料の層の誘電率を回復させる方法であって、ケイ素含有誘電体材料の該層の該第1の誘電率が第2の誘電率に増加しており、該方法は、
ケイ素含有誘電体材料の該層の該少なくとも1つの表面と、ケイ素含有流体とを接触させるステップ、そして、
ケイ素含有誘電体材料の該層の該少なくとも1つの表面を、紫外線照射、熱、および電子ビームからなる群から選択されるエネルギー源に曝すステップ、の各ステップを含み、
ケイ素含有誘電体材料の該層を該エネルギー源に曝した後で、ケイ素含有誘電体材料の該層が、該第2の誘電率より低い第3の誘電率を有する、方法。
(態様2)
該ケイ素含有流体の第2の部分が、ケイ素含有誘電体材料の該層の該少なくとも1つの表面と接触を続けるように、該ケイ素含有流体の第1の部分を除去するステップをさら含む態様1の方法であって、該除去ステップが、該接触ステップと、該曝露ステップとの間で起こる方法。
(態様3)
ケイ素含有誘電体材料の該層を、該エネルギー源に曝す前に、ケイ素含有誘電体材料の該層を、低エネルギープラズマ源に曝すステップをさらに含む、態様1の方法。
(態様4)
ケイ素含有誘電体材料の該層が、該プラズマエネルギー源、並びに紫外線照射、熱エネルギー、および電子ビームからなる群から選択される少なくとも1種のエネルギー源に同時に曝される、態様3の方法。
(態様5)
ケイ素含有誘電体材料の該層が紫外線照射、熱エネルギー、および電子ビームからなる群から選択される該少なくとも1種のエネルギー源に曝される前に、ケイ素含有誘電体材料の該層が該プラズマエネルギー源に曝される、態様3の方法。
(態様6)
該エネルギー源が、紫外線照射を含む、態様1の方法。
(態様7)
該エネルギー源が、熱エネルギーをさらに含む、態様6の方法。
(態様8)
該紫外線照射が、単色紫外線照射である、態様6の方法。
(態様9)
該接触ステップが、化学気相堆積工程によって行われる、態様1の方法。
(態様10)
該ケイ素含有流体が、メチルトリメトキシシラン、メチルトリエトキシシラン、メチルトリ−n−プロポキシシラン、メチルトリイソプロポキシシラン、エチルトリメトキシシラン、エチルトリエトキシシラン、ジメチルジメトキシシラン、ジメチルジエトキシシラン、ジエチルジメトキシシラン、ジエチルジエトキシシラン、ジエトキシメチルシラン、1、1、3、3−テトラメトキシ−1、3−ジメチルジシロキサン、1、1、3、3−テトラエトキシ−1、3−ジメチルジシロキサン、1、1、3、3−テトラメトキシ−1、3−ジフェニルジシロキサン、1、3−ジメトキシ−1、1、3、3−テトラメチルジシロキサン、1、3−ジエトキシ−1、1、3、3−テトラメチルジシロキサン、1、3−ジメトキシ−1、1、3、3−テトラフェニルジシロキサン、1、3−ジエトキシ−1、1、3、3−テトラフェニルジシロキサン、2、2、4、6、6−ペンタメチル−2、4、6−トリシラ−ヘプタン、1−メチル−1−エトキシシラシクロペンタン、1、1、3、3−テトラメチル−1、3−ジシラシクロブタン、1、3−ジメチル−1、3−ジエトキシ−1、3−ジシラシクロブタン、ビス(トリメチルシリルメチル)ベンゼン、(トリデカフルオロ−1、1、2、2−テトラヒドロオクチル)トリエトキシシラン、ビス(ジメトキシメチルシリル)メタン、ビス(ジエトキシメチルシリル)メタン、ビス(ジメトキシフェニルシリル)メタン、ビス(ジエトキシフェニルシリル)メタン、ビス(メトキシジメチルシリル)メタン、ビス(エトキシジメチルシリル)メタン、ビス(メトキシジフェニルシリル)メタン、ビス(エトキシジフェニルシリル)メタン、ヘキサメチルジシラザン、ヘキサメチルシクロトリシラザン、ヘプタメチルジシラザン、オクタメチルシクロテトラシラザン、ノナメチルトリシラザン、ジメチルシクロシラザン、アセトキシトリメチルシラン、メトキシトリメチルシラン、エトキシトリメチルシラン、3−アミノプロピルジメチルエトキシシラン、3−アミノプロピルメチルジエトキシシラン、ビス(ジメチルアミノ)ジメチルシラン、ジメチルアミノトリメチルシラン、アミノメチルトリメチルシラン、オクタメチルシクロテトラシロキサン、テトラメチルシクロテトラシロキサン、メチルトリアセトキシシラン、メチルエトキシシラシクロプロパン、ヘキサメチルシラブタン、ジメチルジアセトキシシラン、およびジ−tert−ブトキシジアセトキシシランからなる群から選択される少なくとも1種である、態様9の方法。
(態様11)
該ケイ素含有流体が、ヘキサメチルジシラザン、ヘキサメチルシクロトリシラザン、ヘプタメチルジシラザン、オクタメチルシクロテトラシラザン、ノナメチルトリシラザン、ジメチルシクロシラザン、アセトキシトリメチルシラン、メトキシトリメチルシラン、エトキシトリメチルシラン、3−アミノプロピルジメチルエトキシシラン、3−アミノプロピルメチルジエトキシシラン、ビス(ジメチルアミノ)ジメチルシラン、ジメチルアミノトリメチルシラン、アミノメチルトリメチルシラン、オクタメチルシクロテトラシロキサン、テトラメチルシクロテトラシロキサン、ヘキサメチルシクロトリシロキサン、デカメチルシクロペンタシラン、ドデカメチルシクロヘキサシラン、2、2、4、6、6−ペンタメチル−2、4、6−トリシラ−ヘプタン、1−メチル−1−エトキシシラシクロペンタン、1、1、3、3−テトラメチル−1、3−ジシラシクロブタン、1、3−ジメチル−1、3−ジエトキシ−1、3−ジシラシクロブタン、ビス(トリメチルシリルメチル)ベンゼン、ジメチルジメトキシシラン、ジメチルジエトキシシラン、メチルトリエトキシシラン、メチルトリメトキシシラン、および(トリデカフルオロ−1、1、2、2−テトラヒドロオクチル)トリエトキシシランからなる群から選択される少なくとも1種である、態様10の方法。
(態様12)
該ケイ素含有流体が、ジエトキシメチルシラン、ジメチルジアセトキシシラン、メチルトリアセトキシシラン、ジ−tert−ブトキシジアセトキシシラン、ジメチルジメトキシシラン、およびメチルトリエトキシシランからなる群から選択される少なくとも1種である、態様llの方法。
(態様13)
該ケイ素含有流体が、オクタメチルシクロテトラシロキサンまたはテトラメチルシクロテトラシロキサンの少なくとも一つを含む、態様10の方法。
(態様14)
該ケイ素含有流体が、ジメチルジアセトキシシラン、メチルトリアセトキシシラン、およびアセトキシトリメチルシランを含む、態様12の方法。
(態様15)
該接触ステップがスピンオン堆積工程によって行われる、態様1の方法。
(態様16)
ケイ素含有誘電体材料の該層が、多孔性である、態様1の方法。
(態様17)
該第1の誘電率が、約1.5〜約3.5であり、該第2の誘電率が該第1の誘電率より約5%〜約200%高く、そして該第3の誘電率が該第2の誘電率に比較して、約10%〜約150%回復する、態様1の方法。
(態様18)
該第1の誘電率が、約1.5〜約2.8である、態様17の方法。
(態様19)
該第1の誘電率が、約1.8〜約2.7である、態様18の方法。
(態様20)
ケイ素含有誘電体材料の該層が、少なくとも400℃の温度に曝した後で、該第3の誘電率の10%以内である誘電率を有する、態様1の方法。
(態様21)
該ケイ素含有流体が、直鎖シラザン、環状シラザン、環状有機シロキサン、有機シロキサン、アルキルアルコキシシラン、アルキルアセトキシシラン、アルキルクロロシラン、カルボシラン、アミノアルキルシラン、アルキルアミノアルキルシラン、およびアミノアルキルアルコキシシランからなる群から選択される少なくとも1種を含む、態様1の方法。
(態様22)
該ケイ素含有流体が、アルキルアセトキシシランを含む、態様21の方法。
(態様23)
第1の誘電率および少なくとも1つの表面を有するケイ素含有誘電体材料の層の誘電率を回復させる方法であって、ケイ素含有誘電体材料の該層の該第1の誘電率が第2の誘電率に増加しており、該方法は、
ケイ素含有誘電体材料の該層の該少なくとも1つの表面とケイ素含有流体とを接触させるステップ、
該ケイ素含有流体の第2の部分がケイ素含有誘電体材料の該層の該少なくとも1つの表面と接触を続けるように、該ケイ素含有流体の第1の部分を除去するステップ、そして、
ケイ素含有誘電体材料の該層の該少なくとも1つの表面を、紫外線照射および熱エネルギーに曝すステップ、の各ステップを含み、
ケイ素含有誘電体材料の該層を該エネルギー源に曝した後で、ケイ素含有誘電体材料の該層が、該第2の誘電率より低い第3の誘電率を有する、方法。
(態様24)
ケイ素含有誘電体材料の該層を、該エネルギー源に曝す前に、ケイ素含有誘電体材料の該層を、低エネルギープラズマ源に曝すステップをさらに含む、態様23の方法。
(態様25)
該紫外線照射が、単色紫外線照射である、態様23の方法。
(態様26)
該接触ステップを化学気相堆積工程によって行う、態様23の方法。
(態様27)
該ケイ素含有流体が、メチルトリメトキシシラン、メチルトリエトキシシラン、メチルトリ−n−プロポキシシラン、メチルトリイソプロポキシシラン、エチルトリメトキシシラン、エチルトリエトキシシラン、ジメチルジメトキシシラン、ジメチルジエトキシシラン、ジエチルジメトキシシラン、ジエチルジエトキシシラン、ジエトキシメチルシラン、1、1、3、3−テトラメトキシ−1、3−ジメチルジシロキサン、1、1、3、3−テトラエトキシ−1、3−ジメチルジシロキサン、1、1、3、3−テトラメトキシ−1、3−ジフェニルジシロキサン、1、3−ジメトキシ−1、1、3、3−テトラメチルジシロキサン、1、3−ジエトキシ−1、1、3、3−テトラメチルジシロキサン、1、3−ジメトキシ−1、1、3、3−テトラフェニルジシロキサン、1、3−ジエトキシ−1、1、3、3−テトラフェニルジシロキサン、2、2、4、6、6−ペンタメチル−2、4、6−トリシラ−ヘプタン、1−メチル−1−エトキシシラシクロペンタン、1、1、3、3−テトラメチル−1、3−ジシラシクロブタン、1、3−ジメチル−1、3−ジエトキシ−1、3−ジシラシクロブタン、ビス(トリメチルシリルメチル)ベンゼン、(トリデカフルオロ−1、1、2、2−テトラヒドロオクチル)トリエトキシシラン、ビス(ジメトキシメチルシリル)メタン、ビス(ジエトキシメチルシリル)メタン、ビス(ジメトキシフェニルシリル)メタン、ビス(ジエトキシフェニルシリル)メタン、ビス(メトキシジメチルシリル)メタン、ビス(エトキシジメチルシリル)メタン、ビス(メトキシジフェニルシリル)メタン、ビス(エトキシジフェニルシリル)メタン、ヘキサメチルジシラザン、ヘキサメチルシクロトリシラザン、ヘプタメチルジシラザン、オクタメチルシクロテトラシラザン、ノナメチルトリシラザン、ジメチルシクロシラザン、アセトキシトリメチルシラン、メトキシトリメチルシラン、エトキシトリメチルシラン、3−アミノプロピルジメチルエトキシシラン、3−アミノプロピルメチルジエトキシシラン、ビス(ジメチルアミノ)ジメチルシラン、ジメチルアミノトリメチルシラン、アミノメチルトリメチルシラン、オクタメチルシクロテトラシロキサン、テトラメチルシクロテトラシロキサン、メチルトリアセトキシシラン、メチルエトキシシラシクロプロパン、ヘキサメチルシラブタン、ジメチルジアセトキシシラン、およびジ−tert−ブトキシジアセトキシシランからなる群から選択される少なくとも1種である、態様26の方法。
(態様28)
該ケイ素含有流体が、ヘキサメチルジシラザン、ヘキサメチルシクロトリシラザン、ヘプタメチルジシラザン、オクタメチルシクロテトラシラザン、ノナメチルトリシラザン、ジメチルシクロシラザン、アセトキシトリメチルシラン、メトキシトリメチルシラン、エトキシトリメチルシラン、3−アミノプロピルジメチルエトキシシラン、3−アミノプロピルメチルジエトキシシラン、ビス(ジメチルアミノ)ジメチルシラン、ジメチルアミノトリメチルシラン、アミノメチルトリメチルシラン、オクタメチルシクロテトラシロキサン、テトラメチルシクロテトラシロキサン、ヘキサメチルシクロトリシロキサン、デカメチルシクロペンタシラン、ドデカメチルシクロヘキサシラン、2、2、4、6、6−ペンタメチル−2、4、6−トリシラ−ヘプタン、1−メチル−1−エトキシシラシクロペンタン、1、1、3、3−テトラメチル−1、3−ジシラシクロブタン、1、3−ジメチル−1、3−ジエトキシ−1、3−ジシラシクロブタン、ビス(トリメチルシリルメチル)ベンゼン、ジメチルジメトキシシラン、ジメチルジエトキシシラン、メチルトリエトキシシラン、メチルトリメトキシシラン、および(トリデカフルオロ−1、1、2、2−テトラヒドロオクチル)トリエトキシシランからなる群から選択される少なくとも1種である、態様27の方法。
(態様29)
該ケイ素含有流体が、ジエトキシメチルシラン、ジメチルジアセトキシシラン、メチルトリアセトキシシラン、ジ−tert−ブトキシジアセトキシシラン、ジメチルジメトキシシラン、およびメチルトリエトキシシランからなる群から選択される少なくとも1種である、態様28の方法。
(態様30)
該ケイ素含有流体が、アセトキシトリメチルシラン、メチルトリアセトキシシラン、またはジメチルジアセトキシシランの少なくとも1種を含む、態様29の方法。
(態様31)
ケイ素含有誘電体材料の該層が、多孔性である、態様23の方法。
(態様32)
該第1の誘電率が、約1.5〜約3.5であり、該第2の誘電率が、該第1の誘電率より約5%〜約150%高く、そして該第3の誘電率が該第2の誘電率に比較して約10%〜約150%回復する、態様23の方法。
(態様33)
該第1の誘電率が、約1.5〜約2.8である、態様32の方法。
(態様34)
該第1の誘電率が、約1.8〜約2.7である、態様33の方法。
(態様35)
少なくとも400℃の温度に曝した後のケイ素含有誘電体材料の該層が、該第3の誘電率の10%以内である誘電率を有する、態様23の方法。
(態様36)
該ケイ素含有流体が、直鎖シラザン、環状シラザン、環状有機シロキサン、有機シロキサン、アルキルアルコキシシラン、アルキルアセトキシシラン、アルキルクロロシラン、カルボシラン、アミノアルキルシラン、アルキルアミノアルキルシラン、およびアミノアルキルアルコキシシランからなる群から選択される少なくとも1種を含む、態様23の方法。
(態様37)
該ケイ素含有流体が、アルキルアセトキシシランを含む、態様36の方法。
(態様38)
第1の誘電率および少なくとも1つの表面を有するケイ素含有誘電体材料の層の誘電率の回復方法であって、ケイ素含有誘電体材料の該層の該第1の誘電率が第2の誘電率に増加しており、該方法は、
ケイ素含有誘電体材料の該層の該少なくとも1つの表面と、アルキルアルコキシシランを含むケイ素含有流体とを接触させるステップ、
該ケイ素含有流体の第2の部分が、該ケイ素含有誘電体材料の該層の該少なくとも1つの表面と接触を続けるように、該ケイ素含有流体の第1の部分を除去するステップ、そして、
ケイ素含有誘電体材料の該層の該少なくとも1つの表面を紫外線照射および熱エネルギーに曝すステップ、の各ステップを含み、
ケイ素含有誘電体材料の該層を該エネルギー源に曝した後で、ケイ素含有誘電体材料の該層が、該第2の誘電率より低い第3の誘電率を有する、方法。
(態様39)
該アルキルアルコキシシランが、メチルトリエトキシシラン、メチルトリメトキシシラン、メチルトリプロポキシシラン、ジメチルジメトキシシラン、ジメチルジエトキシシラン、ジメチルジプロポキシシラン、トリメチルメトキシシラン、トリメチルエトキシシラン、およびトリメチルプロポキシシランからなる群から選択された少なくとも1種である、態様38の方法。
(態様40)
該アルキルアルコキシシランが、メチルトリエトキシシランである、態様39の方法。
(態様41)
該アルキルアルコキシシランが、ジメチルジメトキシシランである、態様39の方法。
(態様42)
ケイ素含有誘電体材料の該層が、多孔性である、態様38の方法。
(態様43)
該第1の誘電率が、約1.5〜約3.5であり、該第2の誘電率が、該第1の誘電率より約5%〜約150%高く、そして該第3の誘電率が、該第2の誘電率に比べて約10%〜約150%回復する、態様42の方法。
(態様44)
該第1の誘電率が、約1.5〜約2.8である、態様43の方法。
(態様45)
該第1の誘電率が、約1.8〜約2.7である、態様44の方法。
(態様46)
少なくとも400℃の温度に曝した後で、ケイ素含有誘電体材料の該層が、該第3の誘電率の10%以内である誘電率を有する、態様38の方法。

Claims (29)

  1. 第1の誘電率および少なくとも1つの表面を有するケイ素含有誘電体材料の層の誘電率を回復させる方法であって、ケイ素含有誘電体材料の該層の該第1の誘電率が第2の誘電率に増加しており、該方法は、
    ケイ素含有誘電体材料の該層の該少なくとも1つの表面と、ケイ素含有流体とを接触させるステップ、
    洗浄溶媒を用いた洗浄により、ある量の該ケイ素含有流体が、ケイ素含有誘電体材料の該層の該少なくとも1つの表面と接触を続けるように、そして該ケイ素含有流体が、孔を塞がず、埋めず、充填せず、または密封せず、かつ該ケイ素含有誘電体材料の上にさらなる層を形成しないように、大部分の該ケイ素含有流体を、除去するステップであって、該除去ステップが、該接触ステップと、曝すステップとの間で起こるステップ、
    ケイ素含有誘電体材料の該層の該少なくとも1つの表面を、不活性雰囲気中で紫外線照射のエネルギー源およびプラズマエネルギー源に曝すステップ、そして、
    該ケイ素含有誘電体材料を、50℃から450℃への温度に少なくとも一回ヒートサイクルするステップ、の各ステップを含み、
    ケイ素含有誘電体材料の該層を該エネルギー源に曝した後で、ケイ素含有誘電体材料の該層が、該第2の誘電率より低い第3の誘電率を有し、
    ここでケイ素含有誘電体材料の該層が、該プラズマエネルギー源および該紫外線照射に同時に曝される、方法。
  2. 該紫外線照射が、単色紫外線照射である、請求項1の方法。
  3. 該接触ステップが、化学気相堆積工程によって行われる、請求項1の方法。
  4. 該ケイ素含有流体が、メチルトリメトキシシラン、メチルトリエトキシシラン、メチルトリ−n−プロポキシシラン、メチルトリイソプロポキシシラン、エチルトリメトキシシラン、エチルトリエトキシシラン、ジメチルジメトキシシラン、ジメチルジエトキシシラン、ジエチルジメトキシシラン、ジエチルジエトキシシラン、ジエトキシメチルシラン、1、1、3、3−テトラメトキシ−1、3−ジメチルジシロキサン、1、1、3、3−テトラエトキシ−1、3−ジメチルジシロキサン、1、1、3、3−テトラメトキシ−1、3−ジフェニルジシロキサン、1、3−ジメトキシ−1、1、3、3−テトラメチルジシロキサン、1、3−ジエトキシ−1、1、3、3−テトラメチルジシロキサン、1、3−ジメトキシ−1、1、3、3−テトラフェニルジシロキサン、1、3−ジエトキシ−1、1、3、3−テトラフェニルジシロキサン、2、2、4、6、6−ペンタメチル−2、4、6−トリシラ−ヘプタン、1−メチル−1−エトキシシラシクロペンタン、1、1、3、3−テトラメチル−1、3−ジシラシクロブタン、1、3−ジメチル−1、3−ジエトキシ−1、3−ジシラシクロブタン、ビス(トリメチルシリルメチル)ベンゼン、(トリデカフルオロ−1、1、2、2−テトラヒドロオクチル)トリエトキシシラン、ビス(ジメトキシメチルシリル)メタン、ビス(ジエトキシメチルシリル)メタン、ビス(ジメトキシフェニルシリル)メタン、ビス(ジエトキシフェニルシリル)メタン、ビス(メトキシジメチルシリル)メタン、ビス(エトキシジメチルシリル)メタン、ビス(メトキシジフェニルシリル)メタン、ビス(エトキシジフェニルシリル)メタン、ヘキサメチルジシラザン、ヘキサメチルシクロトリシラザン、ヘプタメチルジシラザン、オクタメチルシクロテトラシラザン、ノナメチルトリシラザン、ジメチルシクロシラザン、アセトキシトリメチルシラン、メトキシトリメチルシラン、エトキシトリメチルシラン、3−アミノプロピルジメチルエトキシシラン、3−アミノプロピルメチルジエトキシシラン、ビス(ジメチルアミノ)ジメチルシラン、ジメチルアミノトリメチルシラン、アミノメチルトリメチルシラン、オクタメチルシクロテトラシロキサン、テトラメチルシクロテトラシロキサン、メチルトリアセトキシシラン、メチルエトキシシラシクロプロパン、ヘキサメチルシラブタン、ジメチルジアセトキシシラン、ヘキサメチルシクロトリシロキサン、デカメチルシクロペンタシラン、ドデカメチルシクロヘキサシランおよびジ−tert−ブトキシジアセトキシシランからなる群から選択される少なくとも1種である、請求項3の方法。
  5. 該接触ステップがスピンオン堆積工程によって行われる、請求項1の方法。
  6. ケイ素含有誘電体材料の該層が、多孔性である、請求項1の方法。
  7. 該第1の誘電率が、1.5〜3.5であり、該第2の誘電率が該第1の誘電率より5%〜200%高く、そして該第3の誘電率が該第2の誘電率に比較して、10%〜150%回復する、請求項1の方法。
  8. 該第1の誘電率が、1.5〜2.8である、請求項7の方法。
  9. 該第1の誘電率が、1.8〜2.7である、請求項8の方法。
  10. ケイ素含有誘電体材料の該層が、少なくとも400℃の温度に曝した後で、該第3の誘電率の10%以内である誘電率を有する、請求項1の方法。
  11. 該ケイ素含有流体が、直鎖シラザン、環状シラザン、環状有機シロキサン、有機シロキサン、アルキルアルコキシシラン、アルキルアセトキシシラン、アルキルクロロシラン、カルボシラン、アミノアルキルシラン、アルキルアミノアルキルシラン、およびアミノアルキルアルコキシシランからなる群から選択される少なくとも1種を含む、請求項1の方法。
  12. 第1の誘電率および少なくとも1つの表面を有するケイ素含有誘電体材料の層の誘電率を回復させる方法であって、ケイ素含有誘電体材料の該層の該第1の誘電率が第2の誘電率に増加しており、該方法は、
    ケイ素含有誘電体材料の該層の該少なくとも1つの表面とケイ素含有流体とを接触させるステップ、
    洗浄溶媒を用いた洗浄により、ある量の該ケイ素含有流体が、ケイ素含有誘電体材料の該層の該少なくとも1つの表面と接触を続けるように、そして該ケイ素含有流体が、孔を塞がず、埋めず、充填せず、または密封せず、かつ該ケイ素含有誘電体材料の上にさらなる層を形成しないように、大部分の該ケイ素含有流体を、除去するステップ、
    ケイ素含有誘電体材料の該層の該少なくとも1つの表面を、不活性雰囲気中で紫外線照射および熱エネルギーおよびプラズマエネルギー源に曝すステップ、そして、
    ケイ素含有誘電体材料の該層を50℃から450℃への温度に少なくとも一回ヒートサイクルするステップ、の各ステップを含み、
    ケイ素含有誘電体材料の該層を該エネルギー源に曝した後で、ケイ素含有誘電体材料の該層が、該第2の誘電率より低い第3の誘電率を有し、
    ここでケイ素含有誘電体材料の該層が、該プラズマエネルギー源および該紫外線照射に同時に曝される、方法。
  13. 該紫外線照射が、単色紫外線照射である、請求項12の方法。
  14. 該接触ステップを化学気相堆積工程によって行う、請求項12の方法。
  15. 該ケイ素含有流体が、メチルトリメトキシシラン、メチルトリエトキシシラン、メチルトリ−n−プロポキシシラン、メチルトリイソプロポキシシラン、エチルトリメトキシシラン、エチルトリエトキシシラン、ジメチルジメトキシシラン、ジメチルジエトキシシラン、ジエチルジメトキシシラン、ジエチルジエトキシシラン、ジエトキシメチルシラン、1、1、3、3−テトラメトキシ−1、3−ジメチルジシロキサン、1、1、3、3−テトラエトキシ−1、3−ジメチルジシロキサン、1、1、3、3−テトラメトキシ−1、3−ジフェニルジシロキサン、1、3−ジメトキシ−1、1、3、3−テトラメチルジシロキサン、1、3−ジエトキシ−1、1、3、3−テトラメチルジシロキサン、1、3−ジメトキシ−1、1、3、3−テトラフェニルジシロキサン、1、3−ジエトキシ−1、1、3、3−テトラフェニルジシロキサン、2、2、4、6、6−ペンタメチル−2、4、6−トリシラ−ヘプタン、1−メチル−1−エトキシシラシクロペンタン、1、1、3、3−テトラメチル−1、3−ジシラシクロブタン、1、3−ジメチル−1、3−ジエトキシ−1、3−ジシラシクロブタン、ビス(トリメチルシリルメチル)ベンゼン、(トリデカフルオロ−1、1、2、2−テトラヒドロオクチル)トリエトキシシラン、ビス(ジメトキシメチルシリル)メタン、ビス(ジエトキシメチルシリル)メタン、ビス(ジメトキシフェニルシリル)メタン、ビス(ジエトキシフェニルシリル)メタン、ビス(メトキシジメチルシリル)メタン、ビス(エトキシジメチルシリル)メタン、ビス(メトキシジフェニルシリル)メタン、ビス(エトキシジフェニルシリル)メタン、ヘキサメチルジシラザン、ヘキサメチルシクロトリシラザン、ヘプタメチルジシラザン、オクタメチルシクロテトラシラザン、ノナメチルトリシラザン、ジメチルシクロシラザン、アセトキシトリメチルシラン、メトキシトリメチルシラン、エトキシトリメチルシラン、3−アミノプロピルジメチルエトキシシラン、3−アミノプロピルメチルジエトキシシラン、ビス(ジメチルアミノ)ジメチルシラン、ジメチルアミノトリメチルシラン、アミノメチルトリメチルシラン、オクタメチルシクロテトラシロキサン、テトラメチルシクロテトラシロキサン、メチルトリアセトキシシラン、メチルエトキシシラシクロプロパン、ヘキサメチルシラブタン、ジメチルジアセトキシシラン、ヘキサメチルシクロトリシロキサン、デカメチルシクロペンタシラン、ドデカメチルシクロヘキサシランおよびジ−tert−ブトキシジアセトキシシランからなる群から選択される少なくとも1種である、請求項14の方法。
  16. ケイ素含有誘電体材料の該層が、多孔性である、請求項14の方法。
  17. 該第1の誘電率が、1.5〜3.5であり、該第2の誘電率が、該第1の誘電率より5%〜150%高く、そして該第3の誘電率が該第2の誘電率に比較して10%〜150%回復する、請求項14の方法。
  18. 該第1の誘電率が、1.5〜2.8である、請求項17の方法。
  19. 該第1の誘電率が、1.8〜2.7である、請求項18の方法。
  20. 少なくとも400℃の温度に曝した後のケイ素含有誘電体材料の該層が、該第3の誘電率の10%以内である誘電率を有する、請求項14の方法。
  21. 該ケイ素含有流体が、直鎖シラザン、環状シラザン、環状有機シロキサン、有機シロキサン、アルキルアルコキシシラン、アルキルアセトキシシラン、アルキルクロロシラン、カルボシラン、アミノアルキルシラン、アルキルアミノアルキルシラン、およびアミノアルキルアルコキシシランからなる群から選択される少なくとも1種を含む、請求項12の方法。
  22. 該ケイ素含有流体が、アルキルアセトキシシランを含む、請求項21の方法。
  23. 第1の誘電率および少なくとも1つの表面を有するケイ素含有誘電体材料の層の誘電率の回復方法であって、ケイ素含有誘電体材料の該層の該第1の誘電率が第2の誘電率に増加しており、該方法は、
    ケイ素含有誘電体材料の該層の該少なくとも1つの表面と、アルキルアルコキシシランを含むケイ素含有流体とを接触させるステップ、
    洗浄溶媒を用いた洗浄により、ある量の該ケイ素含有流体が、ケイ素含有誘電体材料の該層の該少なくとも1つの表面と接触を続けるように、そして該ケイ素含有流体が、孔を塞がず、埋めず、充填せず、または密封せず、かつ該ケイ素含有誘電体材料の上にさらなる層を形成しないように、大部分の該ケイ素含有流体を、除去するステップ、
    ケイ素含有誘電体材料の該層の該少なくとも1つの表面を、不活性雰囲気中で紫外線照射および熱エネルギーに曝すステップ、そして、
    ケイ素含有誘電体材料の該層を50℃から450℃への温度に少なくとも一回ヒートサイクルするステップ、の各ステップを含み、
    ケイ素含有誘電体材料の該層を該エネルギー源に曝した後で、ケイ素含有誘電体材料の該層が、該第2の誘電率より低い第3の誘電率を有し、
    ここでケイ素含有誘電体材料の該層が、該プラズマエネルギー源および該紫外線照射に同時に曝される、方法。
  24. 該アルキルアルコキシシランが、メチルトリエトキシシラン、メチルトリメトキシシラン、メチルトリプロポキシシラン、ジメチルジメトキシシラン、ジメチルジエトキシシラン、ジメチルジプロポキシシラン、トリメチルメトキシシラン、トリメチルエトキシシラン、およびトリメチルプロポキシシランからなる群から選択された少なくとも1種である、請求項23の方法。
  25. ケイ素含有誘電体材料の該層が、多孔性である、請求項23の方法。
  26. 該第1の誘電率が、1.5〜3.5であり、該第2の誘電率が、該第1の誘電率より5%〜150%高く、そして該第3の誘電率が、該第2の誘電率に比べて10%〜150%回復する、請求項25の方法。
  27. 該第1の誘電率が、1.5〜2.8である、請求項26の方法。
  28. 該第1の誘電率が、1.8〜2.7である、請求項27の方法。
  29. 少なくとも400℃の温度に曝した後で、ケイ素含有誘電体材料の該層が、該第3の誘電率の10%以内である誘電率を有する、請求項23の方法。
JP2011213741A 2007-02-15 2011-09-29 誘電体膜の材料特性を高めるための活性化学的方法 Active JP5592327B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US89013707P 2007-02-15 2007-02-15
US60/890,137 2007-02-15
US12/023,552 US7500397B2 (en) 2007-02-15 2008-01-31 Activated chemical process for enhancing material properties of dielectric films
US12/023,552 2008-01-31

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2008032948A Division JP2008199028A (ja) 2007-02-15 2008-02-14 誘電体膜の材料特性を高めるための活性化学的方法

Publications (2)

Publication Number Publication Date
JP2012009899A JP2012009899A (ja) 2012-01-12
JP5592327B2 true JP5592327B2 (ja) 2014-09-17

Family

ID=39388528

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2008032948A Withdrawn JP2008199028A (ja) 2007-02-15 2008-02-14 誘電体膜の材料特性を高めるための活性化学的方法
JP2011213741A Active JP5592327B2 (ja) 2007-02-15 2011-09-29 誘電体膜の材料特性を高めるための活性化学的方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2008032948A Withdrawn JP2008199028A (ja) 2007-02-15 2008-02-14 誘電体膜の材料特性を高めるための活性化学的方法

Country Status (5)

Country Link
US (1) US7500397B2 (ja)
EP (1) EP1959485A2 (ja)
JP (2) JP2008199028A (ja)
KR (1) KR100984195B1 (ja)
TW (1) TWI347637B (ja)

Families Citing this family (408)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU2001266998A1 (en) * 2000-06-23 2002-01-08 Honeywell International, Inc. Method to restore hydrophobicity in dielectric films and materials
US8475666B2 (en) * 2004-09-15 2013-07-02 Honeywell International Inc. Method for making toughening agent materials
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US7851232B2 (en) 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US7977121B2 (en) * 2006-11-17 2011-07-12 Air Products And Chemicals, Inc. Method and composition for restoring dielectric properties of porous dielectric materials
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US20090061633A1 (en) * 2007-08-31 2009-03-05 Fujitsu Limited Method of manufacturing semiconductor device
US20090174036A1 (en) * 2008-01-04 2009-07-09 International Business Machines Corporation Plasma curing of patterning materials for aggressively scaled features
JP4922322B2 (ja) * 2008-02-14 2012-04-25 エーエスエムエル ネザーランズ ビー.ブイ. コーティング
US8058183B2 (en) * 2008-06-23 2011-11-15 Applied Materials, Inc. Restoring low dielectric constant film properties
US20090324807A1 (en) * 2008-06-27 2009-12-31 Jih-Perng Leu Method for forming a porous material
US8298965B2 (en) * 2008-09-03 2012-10-30 American Air Liquide, Inc. Volatile precursors for deposition of C-linked SiCOH dielectrics
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
JP4708465B2 (ja) * 2008-10-21 2011-06-22 東京エレクトロン株式会社 半導体装置の製造方法及び半導体装置の製造装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5123146B2 (ja) * 2008-11-25 2013-01-16 パナソニック株式会社 赤外線センサおよびその製造方法
KR101293896B1 (ko) * 2008-12-03 2013-08-06 후지쯔 가부시끼가이샤 반도체 장치의 제조 방법
US20100151206A1 (en) 2008-12-11 2010-06-17 Air Products And Chemicals, Inc. Method for Removal of Carbon From An Organosilicate Material
JP5195921B2 (ja) * 2008-12-25 2013-05-15 株式会社村田製作所 セラミック体の製造方法
WO2010082250A1 (ja) * 2009-01-13 2010-07-22 パナソニック株式会社 半導体装置及びその製造方法
KR20110125651A (ko) * 2009-03-10 2011-11-21 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 저 유전상수 실릴화를 위한 시클릭 아미노 화합물
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
DE102009023379B4 (de) * 2009-05-29 2014-08-21 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Erzeugen einer hydrophoben Oberfläche empfindlicher Dielektrika mit kleinem ε von Mikrostrukturbauelementen durch eine in-situ-Plasmabehandlung
DE102009023378B4 (de) * 2009-05-29 2013-11-28 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Wiederherstellung einer hydrophoben Oberfläche empfindlicher dielektrischer Materialen mit kleinem ε in Mikrostrukturbauelementen
DE102009035417B4 (de) * 2009-07-31 2014-12-04 Globalfoundries Dresden Module One Llc & Co. Kg Größere Dichte von dielektrischen Materialien mit kleinem ε in Halbleiterbauelementen durch Anwenden einer UV-Behandlung
US8323521B2 (en) * 2009-08-12 2012-12-04 Tokyo Electron Limited Plasma generation controlled by gravity-induced gas-diffusion separation (GIGDS) techniques
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US7981699B2 (en) * 2009-10-22 2011-07-19 Lam Research Corporation Method for tunably repairing low-k dielectric damage
US20110097904A1 (en) * 2009-10-22 2011-04-28 Lam Research Corporation Method for repairing low-k dielectric damage
NL2005657A (en) * 2009-12-03 2011-06-06 Asml Netherlands Bv A lithographic apparatus and a method of forming a lyophobic coating on a surface.
WO2011099768A2 (ko) * 2010-02-09 2011-08-18 서강대학교산학협력단 고온 오존처리를 포함하는 나노기공 초저유전 박막의 제조 방법 및 이에 의해 제조된 나노기공 초저유전 박막
KR20130043084A (ko) 2010-02-17 2013-04-29 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 SiCOH 로우-K 필름의 증착 방법
JP2011216597A (ja) * 2010-03-31 2011-10-27 Fujitsu Semiconductor Ltd 半導体装置の製造方法及び成膜装置
JP5768471B2 (ja) * 2010-05-19 2015-08-26 株式会社村田製作所 セラミック電子部品の製造方法
CN102893349B (zh) * 2010-05-21 2016-01-20 株式会社村田制作所 陶瓷体及其制造方法
JP5442572B2 (ja) 2010-09-28 2014-03-12 株式会社日立ハイテクサイエンス 荷電粒子ビーム装置、薄膜作製方法、欠陥修正方法及びデバイス作製方法
TWI448576B (zh) * 2010-11-17 2014-08-11 Nanmat Technology Co Ltd 低介電材料及其薄膜之製備方法
US8460753B2 (en) * 2010-12-09 2013-06-11 Air Products And Chemicals, Inc. Methods for depositing silicon dioxide or silicon oxide films using aminovinylsilanes
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US8883543B2 (en) * 2011-05-17 2014-11-11 Sumco Corporation Method of producing wafer for solar cell, method of producing solar cell, and method of producing solar cell module
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US8216861B1 (en) * 2011-06-28 2012-07-10 Applied Materials, Inc. Dielectric recovery of plasma damaged low-k films by UV-assisted photochemical deposition
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
EP2700500A4 (en) * 2011-09-08 2015-02-25 Lintec Corp MODIFIED POLYSILAZANE FILM AND PROCESS FOR PRODUCING GAS BARRIER FILM
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8900979B2 (en) 2011-11-23 2014-12-02 University Of South Carolina Pretreatment method for reduction and/or elimination of basal plane dislocations close to epilayer/substrate interface in growth of SiC epitaxial films
WO2013095539A1 (en) * 2011-12-22 2013-06-27 Intel Corporation Chemically altered carbosilanes for pore sealing applications
WO2013108487A1 (ja) 2012-01-20 2013-07-25 リンテック株式会社 ガスバリアフィルムおよびガスバリアフィルムの製造方法
JP5969253B2 (ja) * 2012-02-10 2016-08-17 東京応化工業株式会社 表面処理剤及び表面処理方法
US9577211B2 (en) 2012-02-21 2017-02-21 Lintec Corporation Organic electronic element and method for manufacturing organic electronic element
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9460912B2 (en) * 2012-04-12 2016-10-04 Air Products And Chemicals, Inc. High temperature atomic layer deposition of silicon oxide thin films
US8535767B1 (en) * 2012-04-18 2013-09-17 Asm Ip Holding B.V. Method for repairing damage of dielectric film by hydrocarbon restoration and hydrocarbon depletion using UV irradiation
TW201403711A (zh) * 2012-07-02 2014-01-16 Applied Materials Inc 利用氣相化學暴露之低k介電質損傷修復
TWI581331B (zh) * 2012-07-13 2017-05-01 應用材料股份有限公司 降低多孔低k膜的介電常數之方法
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9263348B2 (en) 2013-01-10 2016-02-16 International Business Machines Corporation Film thickness metrology
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10189712B2 (en) 2013-03-15 2019-01-29 International Business Machines Corporation Oxidation of porous, carbon-containing materials using fuel and oxidizing agent
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
CN103617828B (zh) * 2013-11-13 2017-01-04 湖南省化讯应用材料有限公司 高分子介电材料改性剂及高分子介电材料的处理方法
US9339770B2 (en) 2013-11-19 2016-05-17 Applied Membrane Technologies, Inc. Organosiloxane films for gas separations
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US20150284849A1 (en) * 2014-04-07 2015-10-08 Applied Materials, Inc. Low-k films with enhanced crosslinking by uv curing
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9922818B2 (en) 2014-06-16 2018-03-20 Versum Materials Us, Llc Alkyl-alkoxysilacyclic compounds
WO2016007708A1 (en) * 2014-07-10 2016-01-14 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Alkylamino-substituted carbosilane precursors
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US20160049293A1 (en) * 2014-08-14 2016-02-18 Air Products And Chemicals, Inc. Method and composition for providing pore sealing layer on porous low dielectric constant films
US10049921B2 (en) * 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9502255B2 (en) 2014-10-17 2016-11-22 Lam Research Corporation Low-k damage repair and pore sealing agents with photosensitive end groups
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
KR102517882B1 (ko) * 2015-03-09 2023-04-03 버슘머트리얼즈 유에스, 엘엘씨 저항성 랜덤 액세스 메모리로서 사용하기 위한 다공성 유기실리케이트 유리 막을 증착시키는 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102328108B1 (ko) * 2015-05-08 2021-11-17 삼성전자주식회사 배선 구조물, 배선 구조물의 형성 방법 및 반도체 장치의 제조 방법
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
FR3047605B1 (fr) * 2016-02-09 2018-03-02 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de realisation de connexions d'une puce electronique
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
TWI724141B (zh) 2016-03-23 2021-04-11 法商液態空氣喬治斯克勞帝方法硏究開發股份有限公司 形成含矽膜之組成物及其製法與用途
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10170419B2 (en) * 2016-06-22 2019-01-01 International Business Machines Corporation Biconvex low resistance metal wire
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
EP3602606A1 (en) 2017-03-24 2020-02-05 FUJIFILM Electronic Materials U.S.A, Inc. Surface treatment methods and compositions therefor
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
TWI782021B (zh) * 2017-05-28 2022-11-01 美商應用材料股份有限公司 有機及混合有機無機層的選擇性分子層沉積
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
KR102392815B1 (ko) * 2017-08-02 2022-05-02 삼성전자주식회사 초저유전막의 제조 방법 및 이에 의해 제조된 초저유전막
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10804109B2 (en) * 2017-10-03 2020-10-13 Mattson Technology, Inc. Surface treatment of silicon and carbon containing films by remote plasma with organic precursors
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
EP3735325A4 (en) * 2018-01-05 2021-03-03 FUJIFILM Electronic Materials U.S.A, Inc. SURFACE TREATMENT COMPOSITIONS AND METHODS
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US20200035494A1 (en) * 2018-07-30 2020-01-30 Fujifilm Electronic Materials U.S.A., Inc. Surface Treatment Compositions and Methods
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
CN113166937A (zh) * 2018-11-27 2021-07-23 弗萨姆材料美国有限责任公司 1-甲基-1-异丙氧基-硅杂环烷烃和由其制备的致密有机硅膜
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
JP7323409B2 (ja) * 2019-10-01 2023-08-08 東京エレクトロン株式会社 基板処理方法、及び、プラズマ処理装置
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1990010035A1 (en) 1989-03-01 1990-09-07 Raychem Corporation Method of curing organopolysiloxane compositions and compositions and articles therefrom
US5576247A (en) 1992-07-31 1996-11-19 Matsushita Electric Industrial Co., Ltd. Thin layer forming method wherein hydrophobic molecular layers preventing a BPSG layer from absorbing moisture
JPH0766287A (ja) 1993-08-23 1995-03-10 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US5488015A (en) 1994-05-20 1996-01-30 Texas Instruments Incorporated Method of making an interconnect structure with an integrated low density dielectric
US5479727A (en) 1994-10-25 1996-01-02 Air Products And Chemicals, Inc. Moisture removal and passivation of surfaces
EP0775669B1 (en) 1995-11-16 2001-05-02 Texas Instruments Incorporated Low volatility solvent-based precursors for nanoporous aerogels
CN1125138C (zh) 1997-07-15 2003-10-22 旭化成株式会社 用于制造绝缘薄膜的烷氧基硅烷/有机聚合物组合物及其用途
US6448331B1 (en) 1997-07-15 2002-09-10 Asahi Kasei Kabushiki Kaisha Alkoxysilane/organic polymer composition for thin insulating film production and use thereof
US6042994A (en) 1998-01-20 2000-03-28 Alliedsignal Inc. Nanoporous silica dielectric films modified by electron beam exposure and having low dielectric constant and low water content
EP1607493B1 (en) * 1998-02-11 2008-12-10 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6479374B1 (en) 1998-04-01 2002-11-12 Asahi Kasei Kabushiki Kaisha Method of manufacturing interconnection structural body
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6054206A (en) 1998-06-22 2000-04-25 Novellus Systems, Inc. Chemical vapor deposition of low density silicon dioxide films
US6395651B1 (en) 1998-07-07 2002-05-28 Alliedsignal Simplified process for producing nanoporous silica
US6037275A (en) 1998-08-27 2000-03-14 Alliedsignal Inc. Nanoporous silica via combined stream deposition
US6410149B1 (en) 1998-08-27 2002-06-25 Alliedsignal Inc. Silane-based nanoporous silica thin films and precursors for making same
US6171945B1 (en) 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
US6770572B1 (en) 1999-01-26 2004-08-03 Alliedsignal Inc. Use of multifunctional si-based oligomer/polymer for the surface modification of nanoporous silica films
US6318124B1 (en) 1999-08-23 2001-11-20 Alliedsignal Inc. Nanoporous silica treated with siloxane polymers for ULSI applications
US6589889B2 (en) 1999-09-09 2003-07-08 Alliedsignal Inc. Contact planarization using nanoporous silica materials
AU2001266998A1 (en) 2000-06-23 2002-01-08 Honeywell International, Inc. Method to restore hydrophobicity in dielectric films and materials
US6713382B1 (en) 2001-01-31 2004-03-30 Advanced Micro Devices, Inc. Vapor treatment for repairing damage of low-k dielectric
US6566283B1 (en) 2001-02-15 2003-05-20 Advanced Micro Devices, Inc. Silane treatment of low dielectric constant materials in semiconductor device manufacturing
US6348407B1 (en) * 2001-03-15 2002-02-19 Chartered Semiconductor Manufacturing Inc. Method to improve adhesion of organic dielectrics in dual damascene interconnects
US6521547B1 (en) 2001-09-07 2003-02-18 United Microelectronics Corp. Method of repairing a low dielectric constant material layer
US7387868B2 (en) * 2002-03-04 2008-06-17 Tokyo Electron Limited Treatment of a dielectric layer using supercritical CO2
US6846515B2 (en) 2002-04-17 2005-01-25 Air Products And Chemicals, Inc. Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
US7404990B2 (en) 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
WO2004068555A2 (en) 2003-01-25 2004-08-12 Honeywell International Inc Repair and restoration of damaged dielectric materials and films
US7709371B2 (en) 2003-01-25 2010-05-04 Honeywell International Inc. Repairing damage to low-k dielectric materials using silylating agents
US7179758B2 (en) * 2003-09-03 2007-02-20 International Business Machines Corporation Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
US8475666B2 (en) 2004-09-15 2013-07-02 Honeywell International Inc. Method for making toughening agent materials
CN1839468B (zh) 2003-10-08 2010-11-24 霍尼韦尔国际公司 使用甲硅烷基化剂修复低k介电材料的损伤
US7553769B2 (en) 2003-10-10 2009-06-30 Tokyo Electron Limited Method for treating a dielectric film
US7345000B2 (en) 2003-10-10 2008-03-18 Tokyo Electron Limited Method and system for treating a dielectric film
WO2006049595A1 (en) * 2004-10-27 2006-05-11 International Business Machines Corporation Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
US7678712B2 (en) * 2005-03-22 2010-03-16 Honeywell International, Inc. Vapor phase treatment of dielectric materials

Also Published As

Publication number Publication date
TWI347637B (en) 2011-08-21
JP2008199028A (ja) 2008-08-28
US20080199977A1 (en) 2008-08-21
JP2012009899A (ja) 2012-01-12
KR20080076847A (ko) 2008-08-20
EP1959485A2 (en) 2008-08-20
US7500397B2 (en) 2009-03-10
TW200845212A (en) 2008-11-16
KR100984195B1 (ko) 2010-09-28

Similar Documents

Publication Publication Date Title
JP5592327B2 (ja) 誘電体膜の材料特性を高めるための活性化学的方法
US8283260B2 (en) Process for restoring dielectric properties
KR100767255B1 (ko) 기판 상에 피쳐를 한정하는 방법
EP1832351B1 (en) Low dielectric materials and methods for making same
US7678712B2 (en) Vapor phase treatment of dielectric materials
KR100561884B1 (ko) 낮은 유전 상수를 갖는 유전 물질의 제조용 조성물
JP4374567B2 (ja) 多孔性低誘電率材料のための紫外線硬化処理
US7709371B2 (en) Repairing damage to low-k dielectric materials using silylating agents
KR101018926B1 (ko) 반도체 장치의 제조 방법 및 이 방법을 이용하여 형성된반도체 장치
EP1296365B1 (en) Method of film formation
JP5161571B2 (ja) 処理剤物質
JP2005503672A (ja) 多孔質低誘電率材料のプラズマ硬化法
WO2005034194A2 (en) Repairing damage to low-k dielectric materials using silylating agents
CN101312129A (zh) 提高介电膜的材料性能的活化化学方法
JP2006073800A (ja) 半導体装置の製造方法
JPH0570119A (ja) 半導体装置の製造方法
KR101064336B1 (ko) 실릴화제를 이용한 저-k 유전물질로의 손상 보수

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111006

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20111006

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130307

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130312

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130529

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130924

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20131220

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20131226

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140324

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140701

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140731

R150 Certificate of patent or registration of utility model

Ref document number: 5592327

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250