KR20090037841A - 반사방지 코팅 - Google Patents

반사방지 코팅 Download PDF

Info

Publication number
KR20090037841A
KR20090037841A KR1020080100310A KR20080100310A KR20090037841A KR 20090037841 A KR20090037841 A KR 20090037841A KR 1020080100310 A KR1020080100310 A KR 1020080100310A KR 20080100310 A KR20080100310 A KR 20080100310A KR 20090037841 A KR20090037841 A KR 20090037841A
Authority
KR
South Korea
Prior art keywords
cyclic
branched
saturated
independently
linear
Prior art date
Application number
KR1020080100310A
Other languages
English (en)
Inventor
레이몬드 니콜라스 브르티스
마크 레오나르드 오'네일
앤드류 데이비드 존슨
Original Assignee
에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 filed Critical 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드
Publication of KR20090037841A publication Critical patent/KR20090037841A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31629Deposition of halogen doped silicon oxide, e.g. fluorine doped silicon oxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Formation Of Insulating Films (AREA)
  • Drying Of Semiconductors (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Materials For Photolithography (AREA)

Abstract

본 발명은 기판에서 특징부 (feature)를 형성하는 방법으로서, 아래의 단계들을 포함하는 방법에 관한 것이다: 기판 상에 유전층을 형성시키는 단계; 유전층 상에 반사방지 코팅을 형성시키는 단계; 반사방지 코팅 위에 포토레지스트 패턴 (photoresist pattern)을 형성시키는 단계; 패턴화된 포토레지스트를 통해 유전층을 에칭하는 단계; 및 반사방지 코팅 및 포토레지스트를 제거하는 단계, 여기서 반사방지 코팅은 화학식 SivOwCxNuHyFz에 의해 나타내어지고, 여기서 v+w+x+u+y+z = 100%이며, v는 1 내지 35 원자%이고, w는 1 내지 40 원자%이며, x는 5 내지 80 원자%이고, u는 0 내지 50 원자 %이며, y는 10 내지 50 원자%이고 z는 0 내지 15 원자%이며, 여기서 반사방지 코팅은 (1) 유기실란, 유기실록산, 및 아미노실란으로 이루어진 군으로부터 선택되는 하나 이상의 전구물질; 및 (2) 탄화수소를 포함하는 조성물의 화학증착법 (chemical vapor deposition)에 의해 형성되며, 여기서 탄화수소는 실질적으로 반사방지 코팅으로부터 제거되지 않는다.

Description

반사방지 코팅{ANTIREFLECTIVE COATINGS}
관련출원
본 발명은 35U.S.C. § 119(e)하에 2007년 10월 12일자 출원된 미국특허출원 제60/979,585호에 대한 우선권의 이익을 주장하며, 이를 본원에서 참고로 통합한다.
본 발명은 반도체 장치를 제조하는 방법에 관한 것이다. 더욱 특히, 본 발명은 실리콘 및 유전재료 뿐만 아니라 생성되는 집적회로 전구(precursor) 구조체 상에 반사방지 코팅(antireflective coating: ARC) 층을 형성시키는 방법에 관한 것이다.
더 빠른 성능에 대한 요건에 부합되게 하기 위해서, 집적회로 장치의 특징부의 특성 치수가 계속해서 작아지고 있다. 특징부 크기가 더 작은 장치의 제조는 반도체 제작에 통상적으로 이용되는 많은 공정에서 새로운 문제에 직면하게 된다. 초대형 규모 집적 반도체 배선과 연관된 고밀도 및 고성능에 대한 점점 증가하는 요건은 상호 연결기술에서의 대응된 변화를 요한다. 그러한 점점 증가하는 요건은 저 RC(저항 용량) 상호연결 패턴의 제공과 관련하여 만족시키기가 어려운 것으로 밝혀졌으며, 서브-마이크론 바이어 (sub-micron via) 콘택트(contact) 및 트랜치(trench)가 소형화에 의해서 부과된 높은 외관비를 지니는 경우에 특히 그러하다. 증가된 부품 밀도 및 감소된 상호연결 단면의 유해한 효과를 완화시키는 효과는 전형적인 산화물 절연체("저 k 재료") 보다 저 낮은 유전 상수를 지니는 절연 재료의 사용, 및 전형적인 알루미늄(Al) 전도체 보다 더 높은 전도성을 지니는 전도 재료의 사용을 포함한다. 구리가 전형적인 현세대 상호연결(IC)에 온-칩 전도체(on-chip conductor)로서 사용되기에 적합한 선도적 재료로서 부상하고 있다.
그러나, 구리(Cu)는 정밀한 패턴형성 및 에칭(etching)에 문제가 있다. 예를 들어, Cu는 휘발성 클로라이드 또는 플루오라이드를 용이하게 형성시키지 않아서, 염소 및/또는 불소 화학을 기초로 한 전형적인 플라즈마 에칭을 실행불가능하게 느리게 한다. 따라서, Cu층이 포토레지스트의 패턴화된 층 아래에서 선택적으로 에칭되는 Cu의 서브트렉티브 공정(subtractive process)이 대부분 "다마신(damascene)" 또는 "듀얼 다마신(dual damascene)" 패턴 형성에 의해서 대체된다. 생성되는 IC 구조체 또는 특징부는 다마신 또는 듀얼 다마신 구조체 또는 특징부로 일컬어진다.
포토레지스트 층의 아래에 있는 표면(또는 표면들)로부터 노출 방사선의 반사에 의해서 IC의 패턴 형성 및 제작에서 문제가 발생할 수 있다. 예를 들어, 포토레지스트 층내에서 발생되는 입사 및 반사 방사선의 간섭이 비-균일 포토레지스트 노출 및 부정확한 패턴 형성을 유도할 수 있다. 또한, 노출 방사선은 노출이 요구되지 않는 포토마스크(photomask) 아래에 놓인 영역내의 포토레지스트의 노출 을 초래하는 비-균일 반사성의 표면 위상 또는 영역으로부터 반사될 수 있다. 두 경우 모두에서, 특징부 임계 치수("CD")의 변화가 발생하여 IC 특징부의 정밀하고 재생산 가능한 제작에 대한 문제를 부가한다.
방사선 반사로부터 발생되는 제작 문제를 제거하거나 감소시키기 위한 통상의 관행은 반사방지 코팅의 사용이다. 예를 들어, 바닥-반사방지-코팅(Bottom-Antireflective-Coating: "BARC")이 일반적으로 패턴 형성되는 표면상에 놓이는 포토레지스트층의 아래에 적용된다. BARC 층은 포토레지스트 층을 관통하는 방사선을 흡수하도록 설계될 수 있으며, 그러한 메카니즘에 의해서 밑에 있는 표면으로부터의 유해한 반사효과를 감소시키거나 제거한다. 또한, BARC 층은 노출 방사선의 파장에서 입사 방사선과 반사 방사선 사이에 파괴 간섭이 발생되도록 하는 BARC 재료 및 두께의 선택을 통해서 설계될 수 있다. 흡수성 및 파괴 간섭 효과 둘 모두가 동일한 BARC 층에 이용될 수 있다.
포토레지스트 DUV 노출 동안 반도체 기판으로부터 일반적으로 직면하게 되는 반사성을 감소시키기 위해서 포토레지스트 층의 아래에 적용되며 반사방지 코팅(ARC) 조성물내로 형성되는 광 흡수 유기 중합체가 개발되었다. 이들 유기 ARC는 전형적으로는 스핀-코팅으로 일컬어지는 공정에 의해서 반도체 기판에 적용된다. 스핀-코팅된 ARC 층이 우수한 반사방지를 부여하지만, 이들의 성능은 이들의 비-균일성, 결함성(defectivity) 및 조화 한계, 및 스핀-코팅 공정에서의 그 밖의 비효율 특성으로 인해서 제한된다. 산업에서 8 인치 또는 12-인치 반도체 기판이 채택되고 있기 때문에, 스핀-코팅 공정의 고유한 비효율성이 점진적으로 확대될 것 이다.
본 기술분야에서는 화학증기 증착(CVD) 공정에 의해서 적용되는 광 흡수 유기 중합체를 제공함으로써 스핀-코팅 공정의 고유한 비효율성을 해결하고 있다. 예를 들어, 미국특허 제6,936,405호는 기판 표면상에 CVD 반사방지 화합물에 의해서 증착시킴을 개시하고 있으며, 상기 특허에서, 반사방지 화합물은 연결기를 통해서 서로 연결된 두 싸이클릭 부분을 포함하는 고도의 스트레인드(strained)(예, 적어도 약 10kcal/mol의 스트레인 에너지를 지님) 유기 분자이다. CVD 공정은 반사방지 화합물을 가열하여 이를 증발시키고, 이어서 증발된 화합물을 열분해하여 증착 챔버내에서 기판 표면상에 후속적으로 중합되는 안정한 디라디칼을 형성시킴을 포함한다.
그러나, 광 흡수 유기 중합체는 이들의 증착 수단과는 무관하게 현저한 단점을 지니고 있다. 예를 들어, 그러한 유기 중합체는 아주 양호한 광 흡수 특성을 지니고 있지만, 그러한 재료의 막은 종종 기계적으로, 화학적으로, 또는 열적으로 견고하지 않고, 이들은 종종 이들이 형성되는 전형적인 무기 기판에 잘 부착되지 않는다. 따라서, 본 기술분야에서는 상기된 단점이 없으면서 CVD에 의해서 적용되는 반사방지 중합체 막이 요구되고 있다.
발명의 간단한 요약
본 발명은 광 흡수, 에칭 선택성 및 구조적 통합성 사이의 요구된 균형을 지니는 유기-무기 복합 막을 형성시키는 방법 및 조성물을 제공한다. 특히, 본 발명 은 기판상에 유전층을 형성시키는 단계; 유전층 위에 반사방지 코팅을 형성시키는 단계; 반사방지 코팅 위에 포토레지스트 패턴(photoresist pattern)을 형성시키는 단계; 패턴형성된 포토레지스트를 통해서 유전층을 에칭하는 단계; 및 반사방지 코팅 및 포토레지스트를 제거하는 단계를 포함하여 기판에 특징부를 형성시키는 방법으로서, 상기 반사방지 코팅이 화학식 SivOwCxNuHyFz로 표시되는 막이고, 상기 식에서, v+w+x+u+y+z = 100%이고, v는 1 내지 35 원자%이고, w는 1 내지 40 원자%이고, x는 5 내지 80원자%이고, u는 0 내지 50 원자%이고, y는 10 내지 50 원자%이고, z는 0 내지 15 원자%이고, 상기 반사방지 코팅은 (1) 유기실란, 유기실록산, 및 아미노실란으로 이루어진 군으로부터 선택된 하나 이상의 전구체 및 (2) 반사방지 코팅으로부터 실질적으로 제거되지 않는 탄화수소를 포함하는 조성물의 화학 증기 증착에 의해서 형성되는 방법을 제공한다.
또 다른 특징으로, 본 발명은 반도체 장치의 제조 동안 형성된 구조체로서, 기판 위에 형성된 패턴 형성 가능한 층; 패턴 형성 가능한 층 위에 형성된 반사방지 코팅; 및 반사방지 코팅 위에 형성된 포토레지스트 패턴을 포함하며, 상기 반사방지 코팅이 화학식 SivOwCxNuHyFz로 표시되는 막이고, 상기 식에서, v+w+x+u+y+z = 100%이고, v는 10 내지 35 원자%이고, w는 5 내지 65 원자%이고, x는 5 내지 80원자%이고, u는 0 내지 50 원자%이고, y는 10 내지 50 원자%이고, z는 0 내지 15 원자%이고, 상기 반사방지 코팅이 (1) 유기실란, 유기실록산, 및 아미노실란으로 이루어진 군으로부터 선택된 하나 이상의 전구체 및 (2) 반사방지 코팅으 로부터 실질적으로 제거되는 않는 탄화수소를 포함하는 조성물의 화학 증기 증착에 의해서 형성되는 구조체를 제공한다.
발명의 상세한 설명
반도체 장치를 형성시키는 방법이 기재되고 있다. 본 발명의 한 구체예에서, 본 발명은 하기 단계를 포함한다. 첫째로, 유전층이 기판상에 형성되고, 반사방지 코팅이 유전층 위에 형성된다. 이어서, 포토레지스트 패턴이 반사방지 코팅 위에 형성되고, 유전층이 패턴 형성된 포토레지스트를 통해서 에칭된다. 반사방지 코팅 및 포토레지스트가 이어서 제거된다. 본 발명에 따른 반사방지 코팅은 화학식 SivOwCxNuHyFz로 표시되는 막이고, 상기 식에서, v+w+x+u+y+z = 100%이고, v는 10 내지 35 원자%이고, w는 5 내지 65 원자%이고, x는 5 내지 80원자%이고, u는 0 내지 50 원자%이고, y는 10 내지 50 원자%이고, z는 0 내지 15 원자%이다. 본 발명의 반사방지 코팅은 (1) 유기실란, 유기실록산, 및 아미노실란으로 이루어진 군으로부터 선택된 하나 이상의 전구체 및 (2) 반사방지 코팅으로부터 실질적으로 제거되는 않는 탄화수소를 포함하는 조성물의 화학 증기 증착에 의해서 형성된다.
도 1A 내지 도 1D는 본 발명의 방법의 바람직한 구체예를 예시하고 있다. 그러한 구체예에서, 첫번째 전도층(101)이 기판(100)상에 임의로 형성된다. 기판(100)은 집적회로를 제조하는 경우에 생성되며 전도층이 형성되는 어떠한 표면이다. 따라서, 기판(100)은, 예를 들어, 실리콘 웨이퍼상에 형성되는 능동 및 수동 장치, 예컨대, 트랜지스터, 커패시터, 레지스터, 확산 접합(diffused junction), 게이트 전극(gate electrode), 국소적 상호연결(local interconnect) 등을 포함한다. 기판(100)은 또한 그러한 능동 및 수동 장치의 상부에 형성되는 전도층 또는 전도층들로부터 상기 능동 및 수동 장치를 분리하는 절연재료를 포함할 수 있으며, 이전에 형성된 전도층을 포함할 수 있다.
기판(100)에 포함될 수 있는 적합한 재료는 반도체 재료, 예컨대, 갈륨 아르제나이드("GaAs"), 실리콘, 및 실리콘, 예컨대, 결정상 실리콘을 함유하는 조성물, 폴리실리콘, 무정형 실리콘, 에피텍셜 실리콘(epitaxial silicon), 실리콘 디옥사이드("SiO2"), 실리콘 유리, 실리콘 나이트라이드, 융합 실리카, 유리, 석영, 보로실리케이트 유리, 및 이의 조합물을 포함하지만 이로 한정되는 것은 아니다. 그 밖의 적합한 재료는 크롬, 몰리브덴, 및 반도체, 집적회로, 평판 디스플레이 및 가요성 디스플레이 제품에 일반적으로 사용되는 그 밖의 금속을 포함한다. 기판(100)은 추가의 층, 예를 들어, 실리콘, SiO2, 유기실리케이드 유리(OSG), 불소화된 실리케이트 유리(FSG), 붕소 카르보나이트라이드, 실리콘 카바이드, 수소화된 실리콘 카바이드, 실리콘 나이트라이드, 수소화된 실리콘 나이트라이드, 실리콘 카르보나이트라이드, 수소화된 실리콘 카르보나이트라이드, 보로나이트라이드, 유기-무기 복합 재료, 포토레지스트, 유기 중합체, 다공성 유기 및 무기 재료 및 복합체, 금속 산화물, 알루미늄 옥사이드, 및 게르마늄 옥사이드를 지닐 수 있다. 또한, 층은 게르마노실리케이트, 알루미노실리케이트, 구리 및 알루미늄, 및 확산 장벽재료, 이로 한정되는 것은 아니지만, TiN, Ti(C)N, TaN, Ta(C)N, Ta, W, 또는 WN 일 수 있다.
전도층(101)은 반도체 장치를 위한 전도층을 형성하도록 통상적으로 사용되는 재료로부터 제조될 수 있다. 바람직한 구체예에서, 전도층(101)은 구리를 포함하며, 통상의 구리 전기도금 공정을 이용함으로써 형성된다. 구리가 바람직하지만, 반도체 장치를 제조하는데 사용될 수 있는 그 밖의 전도 재료가 대신 사용될 수 있다. 전도층(101)은 증착 후에 화학적 기계적 연마("CMP") 단계를 이용함으로서 평탄화될 수 있다.
기판(100)상에 전도층(101)을 형성시킨 후에, 장벽층(102)이 전형적으로는 전도층(101)상에 형성될 수 있다. 장벽층(102)은 전형적으로는 허용되지 않는 양의 구리, 또는 그 밖의 금속이 유전층(103)내로 확산되는 것을 방지하는 작용을 한다. 장벽층(102)은 또한 에치 스탑(etch stop)으로 작용하여 후속된 바이어 및 트렌치 에치 단계(via and trench etch step)가 전도층(101)을 후속된 세정 단계에 노출시키는 것을 방지한다. 장벽층(102)은 바람직하게는 밀봉 유전재료, 예컨대, 실리콘, SiO2, 유기실리케이트 유리(OSG), 붕소 카르보나이트라이드, 불소화된 실리케이트 유리(FSG), 실리콘 카바이드, 수소화된 실리콘 카바이드, 실리콘 나이트라이드, 수소화된 실리콘 나이트라이드, 실리콘 카르보나이트라이드, 수소화된 실리콘 카르보나이트라이드, 보로나이트라이드, 유기-무기 복합 재료, 유기 및 무기 재료 및 복합체, 금속 산화물, 알루미늄 옥사이드, 게르마늄 옥사이드 및 이의 조합물로부터 제조될 수 있다.
화학증기 증착 공정이 장벽층(102)을 형성시키는데 이용될 수 있다. 장벽층(102)은 이의 확산 억제 및 에치 스탑 기능을 수행하기에 충분한 두께이어야 하지만, 장벽층(102)과 유전층(103)의 조합으로부터 생성되는 전체 유전 특성에 역으로 영향을 줄 만큼 두껍지는 않아야 한다. 도 1A는 전도층(101)과 장벽층(102)이 기판(100)상에 형성된 후에 발생되는 구조체의 단면을 예시하고 있다.
도 1B를 참조하면, 유전층(103)이 장벽층(102)의 상부 상에 형성된다. 바람직하게는, 유전층(103)은 기공(105)의 존재에 의해서 나타내고 있는 바와 같이 다공성이며, 본원에서 "다공성 유전층(103)"으로 일컬어질 것이다. 본 발명의 방법에서, 다공성 유전층(103)은 상호연결 네트워크를 형성하고 유지할 수 있는 화합물 또는 화합물들을 포함하는 막-형성 조성물의 증착으로부터 형성된다. 막의 예는, 이로 한정되는 것은 아니지만, SiO2, 유기실리케이트 유리((OSG), 불소화된 실리케이트 유리(FSG), 붕소 카르보나이트라이드, 실리콘 카바이드, 수소화된 실리콘 카바이드, 실리콘 나이트라이드, 수소화된 실리콘 나이트라이드, 실리콘 카르보나이트라이드, 수소화된 실리콘 카르보나이트라이드, 보로나이트라이드, 유기-무기 복합 재료, 포토레지스트, 유기 중합체, 다공성 유기 및 무기 재료 및 복합체, 금속 산화물, 예컨대, 알루미늄 옥사이드, 및 게르마늄 옥사이드, 다이아몬드 유사 탄소, 보로실리케이트 유리(Si:O:B:H), 또는 인 도핑된 보로실리케이트 유리(Si:O:B:H:P), 및 이의 조합물을 포함한다.
본 발명의 바람직한 구체예에서, 다공성 유전층(103)은 실리카 재료를 포함 한다. 본원에서 사용된 용어 "실리카"는 실리콘(Si) 및 산소(O) 원자, 및 가능하게는 이로 한정되는 것은 아니지만, 다른 원소, 예컨대, C, H, B, N, P, 또는 할라이드 원자; 알킬기; 또는 아릴기와 같은 추가의 치환체를 지니는 재료이다. 대안적인 구체예에서, 다공성 유전층(103)은, 예를 들어, 다른 원소, 예컨대, 이로 한정되는 것은 아니지만, Al, Ti, V, In, Sn, Zn, Ga, 및 이의 조합물을 함유할 수 있다. 특정의 바람직한 구체예에서, 유전층(103)은 화학식 SivOwCxHyFz로 표시되는 OSG 화합물을 포함하고, 상기 식에서, v+w+x+y+z = 100%이고, v는 10 내지 35 원자%이고, w는 10 내지 65 원자%이고, x는 5 내지 30원자%이고, y는 10 내지 50 원자%이고, z는 0 내지 15 원자%이다.
도 1B를 참조하면, 다공성 유전층(103)은 기공(105)의 존재에 특징이 있다. 그러한 구체예에서, 기공(105)은 막-형성 조성물이 실리카 공급원 및 하나 이상의 에너지 공급원에 대한 노출시에 용이하게 및 바람직하게는 실질적으로 제거될 수 있는 하나 이상의 포로젠(porogen)을 포함하는 경우에 형성된다. "포로젠"은 생성되는 막내에서 공극을 생성시키는데 사용되는 시약이다. 포로젠이 본 발명의 공정 전체에 걸쳐서 변화되지 않거나 그렇지 않는 것과는 무관하게, 본원에서 사용된 용어 "포로젠"은 본원에 기재된 전체 공정 동안 발견되는 어떠한 형태의 기공-형성 시약(또는 기공-형성 치환체) 및 이의 유도체를 포함하는 것으로 의도된다. 포로젠으로 사용되기에 적합한 화합물은, 이로 한정되는 것은 아니지만, 탄화수소 재료, 불안정한 유기 기, 용매, 분해가능한 중합체, 계면활성제, 덴드리 머(dendrimer), 고분지형(hyper-branched) 중합체, 폴리옥시알킬렌 화합물, C와 H를 포함하는 화합물, 또는 이의 조합물을 포함한다. 특정의 구체예에서, 포로젠은 C1 내지 C13 탄화수소 화합물을 포함한다.
기공(105)을 형성시키는데 있어서, 유전층(103)이 형성되는 증착 재료는, 전형적으로는, 하나 이상의 에너지 공급원에 노출되어 막을 경화시키고/거나 존재하는 경우의 함유된 포로젠의 적어도 일부를 제거한다. 예시적인 에너지 공급원은, 이로 한정되는 것은 아니지만, α-입자, β-입자, γ-입자, x-선, 전자빔 에너지 공급원; 비-이온화 방사선 공급원, 예컨대, 자외선(10 내지 400nm), 가시광선(400 내지 750nm), 적외선(750 내지 105nm), 마이크로파(> 106), 라디오-주파수(> 106) 파장 에너지; 또는 이의 조합을 포함할 수 있다. 또한, 에너지 공급원은 열 에너지 및 플라즈마 에너지를 포함한다. 에너지 공급원에 따라서, 노출 단계는 고압, 대기압, 또는 진공하에 수행될 수 있다. 환경은 불활성(예, 질소, CO2, 희귀가스(He, Ar, Ne, Kr, Xe), 등), 산화(예, 산소, 공기, 묽은 산소 환경, 부화된 산소 환경, 오존, 니트로스 산화물, 등) 또는 환원(묽은 또는 진한 수소, 탄화수소(포화, 불포화, 선형 또는 분지형, 방향족), 등)일 수 있다. 노출 단계 온도는 100 내지 500℃ 범위일 수 있다. 특정의 구체예에서, 온도는 0.1 내지 100℃/분의 속도로 오르내릴 수 있다. 전체 처리 시간은 바람직하게는 0.01분 내지 12 시간이다.
다공성 유전체(103)는 전형적으로는 다양한 상이한 방법을 이용함으로써 막-형성 조성물로부터 기판(100)(전도층(101)을 포함)의 일부 이상에 막으로서 형성된 다. 이러한 방법들은 단독으로 또는 조합으로 사용될 수 있다. 막을 형성시키는데 사용될 수 있는 공정의 일부예는 다음 공정을 포함한다: 열적 화학증기 증착, 플라즈마 강화된 화학증기 증착("PECVD"), 고밀도 PECVD, 광자 보조된 CVD, 플라즈마-광자 보조된 CVD("PPECVD"), 원자층 증착(ALD), 극저온 화학 증기 증착, 화학 보조된 증기 증착, 고온 필라멘트 화학 증기증착, 액체 중합체 전구체의 CVD, 초임계 유체로부터의 증착, 또는 전달 중합(transport polymerization: "TP"). 미국특허 제6,171,945호, 제6,054,206호, 제6,054,379호, 제6,159,871호 및 WO 99/41423호가 막을 형성시키는데 사용될 수 있는 일부 예시적인 CVD를 제공하고 있다. 화학증기 증착 과정 외에, 다공성 유전층(103)을 적용시키는데 사용될 수 있는 다른 공정으로는 예를 들어, 비-접촉 증착 방법이 있다. 비-접촉 증착 방법은, 전형적으로는, 접촉 마스크 또는 셔터(shutter) 없이, 막이 형성되게 한다. 비-접촉 증착 방법은, 예를 들어, 디핑(dipping), 롤링(rolling), 브러싱(brushing), 스프레잉(spraying), 압출, 스핀-온 증착, 에어-나이프, 인쇄, 및 이의 조합을 포함한다. 추가의 예시적인 증착 방법은 진동 비-접촉 유도된 확산력(oscillating non-contact induced spreading force), 중력 유도된 확산력, 습화-유도된 확산력(wetting-induced spreading force), 슬롯 압출(slot extrusion), 및 이의 조합을 포함한다.
한가지 특정 구체예에서, 다공성 유전층(103)은 스핀-온 침착 방법을 이용함으로써 증착된다. 요약하면, 막-형성 조성물이 기판상으로 분배되고 그에 함유된 용매가 증발되어 코팅된 기판을 형성시킨다. 추가로, 원심력이 이용되어 조성물이 기판상에 고르게 침착되게 할 수 있다. 또 다른 이점은 조성물이 존재할 수 있는 갭(gap)을 충분히 충전시키는 것이다.
다공성 유전층(103)이 스핀-온 침착 방법에 의해서 침착되는 경우의 구체예에서, 막은, 전형적으로는, 특히 하나 이상의 실리카 공급원, 임의의 포로젠, 임의의 촉매 및 물을 포함하는 조성물로부터 형성된다. 특정의 구체예에서, 조성물은 용매를 더 포함할 수 있다. 요약하면, 조성물을 기판상으로 분배하고 용매와 물을 증발시켜 막을 형성시킬 수 있다. 어떠한 잔류 용매, 물 및 존재하는 경우의 포로젠은, 일반적으로는, 코팅된 기판을 하나 이상의 에너지 공급원에 저유전 막을 생성시키기에 충분한 시간동안 노출시킴으로써 제거될 수 있다. 스핀-온 침착된 재료 및 막 및 그러한 막을 형성시키는 방법의 예가 본 출원의 양수인에게 양도된 미국특허출원 제2004/0048960호 및 제2003/0224156호에 기재되어 있으며, 본원에서는 상기 특허출원의 모든 내용을 참고로 통합한다.
하기 실리카 공급원이 스핀-온 침작 공정 또는 CVD 공정중 하나로 본 발명에 사용되기에 적합하다. 하기 실리카 공급원중 하나 이상이, 전형적으로는, 예를 들어, 임의의 포로젠, 임의의 용매 및 임의의 물과 함께 다공성 유전층(103)을 형성하도록 증착될 수 있는 조성물을 형성한다. 이하 기재되는 화학식 및 본 명세서 전체에 걸쳐서 기재되어 있는 모든 화학식에서, 용어 "독립적으로"는 대상 R기가 상이한 상첨자를 지니는 다른 R기와 관련하여 독립적으로 선택될 뿐만 아니라, 어떠한 추가의 동일한 R기 화학종과 관련해서도 독립적으로 선택됨을 나타내는 것으로 이해되어야 한다. 예를 들어, "a"가 2인 화학식 RaSi(OR1)4-a에서, 두 R기는 서로 또는 R1과 동일할 필요가 없다. 또한, 하기 화학식에서, "일가 유기기"는 대상 원소, 예컨대, Si 또는 O에 단일 탄소결합을 통해서 결합된 유기기, 즉, Si-C 또는 O-C에 관한 것이다. 일가 유기기의 예는 알킬기, 아릴기, 불포화된 알킬기, 및/또는 알콕시, 에스테르, 산, 카르보닐, 또는 알킬 카르보닐 작용성으로 치환된 불포화된 알킬기를 포함한다. 알킬기는 1 내지 5개의 탄소원자를 지니는 선형, 분지형, 또는 싸이클릭 알킬기, 예를 들어, 메틸, 에틸, 프로필, 부틸, 또는 펜틸기일 수 있다. 일가 유기기로서 적합한 아릴기의 예는 페닐, 메틸페닐, 에틸페닐 및 플루오로페닐을 포함한다. 특정의 구체예에서, 알킬기내의 하나 이상의 수소는 추가의 원자 , 예컨대, 할라이드 원자(즉, 불소), 또는 산소 원자에 의해서 치환되어 카르보닐 또는 에테르 작용성을 생성시킬 수 있다.
실리카 공급원의 그 밖의 예는 불소화된 실란 또는 불소화된 실록산, 예컨대, 미국특허 제6,258,407호에 제공된 공급원을 포함할 수 있다.
실리카 공급원의 또 다른 예는 제거시에 Si-H 결합을 생성시키는 화합물을 포함할 수 있다.
본 발명의 다른 구체예에서, 실리카 공급원은 바람직하게는 Si 원자에 결합된 하나 이상의 카르복실산 에스테르를 지닐 수 있다. 이들 실리카 공급원의 예는 테트라아세톡시실란, 메틸트리아세톡시실란, 에틸트리아세톡시실란, 및 페닐트리아세톡시실란을 포함한다. 실리카 공급원이 카르복실레이트 기가 결합된 하나 이상 의 Si 원자인 하나 이상의 실리카 공급원에 추가로, 조성물은 Si 원자에 부착된 카르복실레이트를 반드시 지니지는 않을 수 있는 추가의 실리카 공급원을 포함할 수 있다.
실리카 공급원은 또한 선형, 싸이클릭, 또는 분지된 실록산, 선형, 싸이클릭, 또는 분지된 카르보실란, 선형, 싸이클릭, 또는 분지된 실라잔, 또는 이의 혼합물일 수 있다.
CVD가 이용되어 유전층(103)을 증착시키는 본 발명의 구체예에서, 층은 기체 시약을 이용함으로써 증착된다. 표현 "기체 시약"이 시약을 나타내는 것으로 본원에서 종종 사용되고 있지만, 그러한 표현은 예를 들어, 반응기에 기체로서 직접적으로 전달된 시약, 증기화된 액체로서 전달된 시약, 승화된 고체 및/또는 불활성 담체 기체에 의해서 반응기에 운반된 시약을 포함하는 것으로 의도된다. 본 발명의 바람직한 구체예에서, 재료는 PECVD 공정을 통해서 형성된다. 그러한 공정에서, 기체 시약은, 전형적으로는, 반응 챔버, 예컨대, 진공 챔버로 흐르고, 플라즈마 에너지가 기체 시약에 에너지를 가하여 기판의 적어도 일부상에 막을 형성시킨다. 이들 구체예에서, 막은 하나 이상의 실리카-함유 전구체 기체 및 하나 이상의 플라즈마-중합 가능한 유기 전구체 또는 포로젠 기체를 포함하는 기체 혼합물의 동시-증착, 또는 대안적으로는 연속적 증착에 의해서 형성될 수 있다. 특정의 구체예에서, 가해진 플라즈마 에너지는 0.02 내지 7 와트/cm2, 바람직하게는 0.3 내지 3 와트/cm2 범위일 수 있다. 기체 시약 각각의 유속은 10 내지 5000sccm 범위일 수 있 다. 본 발명의 PECVD 공정을 위한 증착 동안의 진공 챔버의 압력은 0.01 내지 600 토르(torr), 더욱 바람직하게는 1 내지 10 토르 범위일 수 있다. 특정의 구체예에서, 증착은 100 내지 425℃, 200 내지 425℃ 또는 200 내지 300 ℃ 범위의 온도에서 수행된다. 그러나, 공정 파라메터, 예컨대, 플라즈마 에너지, 유속, 압력 및 온도는 기판의 표면적, 사용된 전구체, PECVD 공정에 사용된 장치 등과 같은 다양한 인자에 좌우되어 다양할 수 있다.
다공성 유전층 (103)이 Si, C, O, H, 및 F로 필수적으로 구성되는 CVD 공정의 한 구체예에서, 다공성 유전층 (103)은 진공 챔버 내에 기판 (100)을 제공하는 단계; 진공 챔버 내로 유기실란 및 유기실록산로 이루어진 군으로부터 선택되는 하나 이상의 실리카-함유 전구물질 기체, 임의로 플루오르-제공 전구물질 기체, 및 하나 이상의 포로젠 (porogen)을 포함하는 기체 시약을 도입하는 단계; 및 기체 시약의 반응을 유도하고 기판 상에 막을 형성하기 위해 챔버 내의 기체 시약에 에너지를 적용하는 단계에 의해 형성된다. 적당한 포로젠 전구물질 및 다른 실리콘-함유 전구물질의 예들은 본 출원의 양수인에게 양도된 미국특허 제6,726,770호, 제6,583,048호, 및 제6,846,515호에에 기재되어 있으며, 본원에서는 상기 특허출원의 모든 내용을 참고로 통합한다. 다른 적당한 포로젠 전구물질은 미국특허공보 제2002/0180051호, 및 미국특허 제6,441,491호 및 제6,437,443호에서 발견되며, 본원에서는 상기 특허출원의 모든 내용을 참고로 통합한다.
본 발명의 방법은 유전층 위에 반사방지 코팅을 형성시키는 단계를 포함한다. 도 1B를 참조하면, 반사방지 코팅 (104)은 유전층 (103)의 최상부에 증착된 다. 반사방지 코팅 (104)은, 예를 들어, 바닥 반사방지 코팅 (BARC), 하드마스크, 내에칭성 층, 포토레지스트, 희생 캡핑층, 화학적 장벽, 접착층이 될 수 있거나, 집적 회로 장치를 제조하는 공정 계획에서 다양한 상기 기능을 수행할 수 있다.
본 발명에 따르면, 반사방지 코팅 (104)은 화학식 SivOwCxNuHyFz로 나타내어지는 막이며, 여기서 v+w+x+u+y+z = 100%이고, v는 10 내지 35 원자%, w는 5 내지 65 원자%, x는 5 내지 80 원자%, u는 0 내지 50 원자 %, y는 10 내지 50 원자% 및 z는 0 내지 15 원자%이다. 바람직하게, 반사방지 코팅 (104)은 (1) 유기실란, 유기실록산, 및 아미노실란으로 이루어진 군으로부터 선택되는 하나 이상의 전구물질; 및 (2) 탄화수소를 포함하는 조성물의 화학증착법에 의해 형성된다. 탄화수소는 빛을 흡수하는 종을 제공하기 위해 기능한다. 예를 들어, 탑 코트 또는 포토레지스트로 되돌아서 반사되는 광을 최소화하기 위해 종 (species)이 400 nm 미만의 파장에서 빛을 흡수하는지의 여부는 결과 막의 능력에 의해 측정되지만, 조정 (alignment) 공정이 수행되는 곳에서 더 높은 파장, 예컨대, 632 nm에서는 본질적으로 통과된다. 광흡수는 다양한 장비, 예를 들어, 분광광도계, 반사계 및 타원계 (ellipsometer)에 의해 측정될 수 있으며, 일부 예에서는 보통은 이것이 막의 흡광 특성과 관계되기 때문에 굴절률의 가상적 부분으로써 해석될 수도 있다.
본 발명의 일부 구체예에 있어서, 탄화수소는 유기 탄화수소이며 유기실란, 유기실록산, 및 아미노실란과는 종류가 다르다. 본 발명의 일부 구체예에 있어서, 유기 탄화수소는 단지 탄소 및 수소 원자로 이루어진다.
아래는 종류가 다른 탄화수소와 사용하는데 적당한 유기실란, 유기실록산, 및 아미노실란으로 이루어진 군으로부터 선택되는 하나 이상의 전구물질의 비제한적 예들이다. 아래의 화학식 및 본 명세서를 통한 모든 화학식에서, 용어 "독립적으로"는 대상 R기가 서로 다른 위첨자를 갖는 다른 R기에 관해 독립적으로 선택될 뿐 아니라, 같은 임의로 추가되는 동일한 R기의 종에 관해서도 독립적으로 선택된다는 것을 의미하는 것으로 이해되어야 한다. 예를 들어, 화학식 R1 n(OR2)4-nSi에서, n이 2 또는 3인 경우, 두 개 또는 세 개의 R1기는 서로 간에 또는 R2와 동일할 필요가 없다.
비스(tert-부틸아미노)실란은 다른 종류의 탄화수소와 사용하는데 적당한 아미노실란인 하나 이상의 전구물질의 비제한적 예들이다. 비스(tert-부틸아미노)실란은 화학식 (t-C4H9NH)2Si(H)2를 갖는다.
아래는 다른 종류의 광흡수제 (light absorber)와 사용하는데 적당한 임의의 Si-기반의 전구물질을 나타내는 화학식이다:
(a) 화학식 R1 n(OR2)p(O(O)CR3)4-(n+p)Si, 여기서 R1은 독립적으로 H 또는 C1 내지 C4 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2는 독립적으로 C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소 이며; R3은 독립적으로 H, C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이고; n은 0 내지 4이며; p는 0 내지 4이다 (예: 디에톡시메틸실란, 디메틸디메톡시실란, 디메틸디아세톡시실란, 메틸아세톡시-t-부톡시실란);
(b) 화학식 R1 n(OR2)p(O(O)CR4)3-n-pSi-O-SiR3 m(O(O)CR5)q(OR6)3-m-q, 여기서 R1 및 R3는 독립적으로 H 또는 C1 내지 C4 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2 및 R6은 독립적으로 C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; R4 및 R5는 독립적으로 H, C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이고; n은 0 내지 3이며; m은 0 내지 3이고; q는 0 내지 3이며; p는 0 내지 3이고, n+p ≤ 3이고 m+q ≤ 3이다 (예: 1,3-디메틸-1,3-디에톡시디실록산, 1,3-디메틸-1,3-디아세톡시디실록산, 1,3-디메틸-1-아세톡시-3-에톡시디실록산, 1,3-디메틸-1,3-디아세톡시-1,3-디에톡시디실록산);
(c) 화학식 R1 n(OR2)p(O(O)CR4)3-n-pSi-SiR3 m(O(O)CR5)q(OR6)3-m-q, 여기서 R1 및 R3는 독립적으로 H 또는 C1 내지 C4 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2 및 R6은 독립적으로 C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; R4 및 R5는 독립적으로 H, C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이고; n은 0 내지 3이며; m은 0 내지 3이고; q는 0 내지 3이며; p는 0 내지 3이고, n+p ≤ 3이고 m+q ≤ 3이다 (예: 1,2-디메틸-1,1,2,2-테트라에톡시디실란, 1,2-디메틸-1,1,2,2-테트라아세톡시디실란, 1,2-디메틸-1-아세톡시-2-에톡시디실란, 1,2-디메틸-1,2-디아세톡시-1,2-디에톡시디실란);
(d) 화학식 R1 n(OR2)p(O(O)CR4)3-n-pSi-R7-SiR3 m(O(O)CR5)q(OR6)3-m-q, 여기서 R1 및 R3은 독립적으로 H 또는 C1 내지 C4 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2, R6 및 R7은 독립적으로 C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며, 택일적으로, R7은 아민 또는 유기아민기이고; R4 및 R5는 독립적으로 H, C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; n은 0 내지 3이고; m은 0 내지 3이며; q는 0 내지 3이고; p는 0 내지 3이며, n+p ≤ 3이고 m+q ≤ 3이다;
(e) 화학식 (R1 n(OR2)p(O(O)CR3)3-(n+p)Si)tCH4-t, 여기서 R1은 독립적으로 H 또는 C1 내지 C4 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2는 독립적으로 C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; R3은 독립적으로 H, C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이고; n은 0 내지 3이며; p는 0 내지 3이고; t는 2 내지 4이며, n+p ≤4이다;
(f) 화학식 (R1 n(OR2)p(O(O)CR3)3-(n+p)Si)tNH3-t, 여기서 R1은 독립적으로 H 또는 C1 내지 C4 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2는 독립적으로 C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; R3는 독립적으로 H, C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이고; n은 0 내지 3이며; p는 0 내지 3이고; t는 1 내지 3이며, n+p ≤ 4이다;
(g) 화학식 (OSiR1R3)x의 고리형 실록산, 여기서 R1 및 R3은 독립적으로 H, C1 내지 C4 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; x는 2 내지 8의 정수이다 (예: 1,3,5,7-테트라메틸싸이클로테트라실록산, 옥타메틸싸이클로테트라실록산);
(h) 화학식 (NR1SiR1R3)x의 고리형 실라잔, 여기서 R1 및 R3은 독립적으로 H, C1 내지 C4 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; x는 2 내지 8의 정수이다;
(i) 화학식 (CR1R3SiR1R3)x의 고리형 카보실란, 여기서 R1 및 R3은 독립적으로 H, C1 내지 C4 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; x는 2 내지 8의 정수이다;
(k) 화학식 R1 n(OR2)p(NR3)4-(n+p)Si, 여기서 R1은 독립적으로 H 또는 C1 내지 C4 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2는 독립적으로 C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; R3는 독립적으로 H, C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이고; n은 0 내지 3이며; p는 0 내지 3이다;
(l) 화학식 R1 n(OR2)p(NR4)3-n-pSi-O-SiR3 m(NR5)q(OR6)3-m-q, 여기서 R1 및 R3은 독립적으로 H 또는 C1 내지 C4 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2 및 R6은 독립적으로 C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; R4 및 R5는 독립적으로 H, C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이고; n은 0 내지 3이며; m은 0 내지 3이고; q는 0 내지 3이며; p는 0 내지 3이고, n+p ≤ 3이며 m+q ≤ 3이다;
(m) 화학식 R1 n(OR2)p(NR4)3-n-pSi-SiR3 m(NR5)q(OR6)3-m-q, 여기서 R1 및 R3은 독립적으로 H 또는 C1 내지 C4 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2 및 R6은 독립적으로 C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; R4 및 R5는 독립적으로 H, C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이고; n은 0 내지 3이며; m은 0 내지 3이고; q는 0 내지 3이며; p는 0 내지 3이고, n+p ≤ 3이며 m+q ≤ 3이다;
(n) 화학식 R1 n(OR2)p(NR4)3-n-pSi-R7-SiR3 m(NR5)q(OR6)3-m-q, 여기서 R1 및 R3은 독립적으로 H 또는 C1 내지 C4 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2, R6 및 R7은 독립적으로 C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며, 택일적으로, R7은 아민 또는 유기아민기이고; R4 및 R5는 독립적으로 H, C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; n은 0 내지 3이며; m은 0 내지 3이고; q는 0 내지 3이며; p는 0 내지 3이고, n+p ≤ 3이며 m+q ≤ 3이다;
(o) 화학식 (R1 n(OR2)p(NR3)3-(n+p)Si)tCH4-t, 여기서 R1은 독립적으로 H 또는 C1 내지 C4 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부 가 플루오르화된 탄화수소이고; R2는 독립적으로 C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; R3은 독립적으로 H, C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이고; n은 0 내지 3이며; p는 0 내지 3이고; t는 1 내지 4이며, n+p ≤ 4이다;
(p) 화학식 (R1 n(OR2)p(NR3)3-(n+p)Si)tNH3-t, 여기서 R1은 독립적으로 H 또는 C1 내지 C4 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2는 독립적으로 C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; R3은 독립적으로 H, C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이고; n은 0 내지 3이며; p는 0 내지 3이고; t는 1 내지 3이며, n+p ≤ 4이다;
상기 전구물질은 광흡수제와 혼합될 수 있거나 광흡수 치환체가 부착될 수 있고, 이들 계열의 다른 분자 및/또는 동일한 계열의 분자와 혼합될 수 있다. 예: TEOS, 트리에톡시실란, 디-tert-부톡시실란, 실란, 디실란, 디-tert-부톡시디아세톡시실란, 등.
아래는 본 발명의 구체예에서 사용하기에 적당한 탄화수소의 비제한적 예들이며, 여기서 탄화수소는 유기실란 및 유기실록산으로 이루어진 군으로부터 선택되는 하나 이상의 전구물질과 종류가 다르다. 다시 말해, 아래의 화합물들은 본 발명에 따른 광흡수제처럼 사용하기에 적당하다:
1) 일반 화학식 CnH2n의 고리형 탄화수소, 여기서 n = 4 - 14, 여기서 고리형 구조에서 탄소의 수는 4개 내지 12개이고, 여기서 고리형 구조 상에는 복수의 단일 또는 가지형 탄화수소가 치환될 수 있다. 예들은 다음을 포함한다: 싸이클로헥산, 트리메틸싸이클로헥산, 1-메틸-4(1-메틸에틸)싸이클로헥산, 싸이클로옥탄, 메틸싸이클로옥탄, 싸이클로옥텐, 싸이클로옥타디엔, 싸이클로헵텐, 싸이클로펜텐, 싸이클로헥센, 및 1,5,9-싸이클로도데카트리엔.
2) 일반 화학식 CnH(2n+2)-2y의 선형 또는 가지형, 포화, 단일 또는 다중 불포화 탄화수소, 여기서 n = 2 - 20이고, 여기서 y = 0 - n이다. 예들은 다음을 포함한다: 에틸렌, 프로필렌, 아세틸렌, 네오헥산, 등.
3) 일반 화학식 CnH2n-2x의 단일 또는 다중 불포화 고리형 탄화수소, 여기서 x는 분자내에서 불포화된 곳의 수이고, n = 4 - 14이며, 여기서 고리형 구조에서 탄소의 수는 4개 내지 10개이고, 여기서 고리형 구조 상에는 복수의 단일 또는 가지형 탄화수소가 치환될 수 있다. 불포화는 엔도고리형 내부 또는 고리형 구조에 대한 탄화수소 치환체 중 하나에 위치될 수 있다. 예들은 싸이클로헥센, 비닐싸이클로헥산, 디메틸싸이클로헥센, t-부틸싸이클로헥센, α-테르핀, 피넨, 1,5-디메틸- 1,5-싸이클로옥타디엔, 비닐-싸이클로헥센, 등을 포함한다.
4) 일반 화학식 CnH2n-2의 바이싸이클릭 탄화수소, 여기서 n = 4 - 14이고, 여기서 바이싸이클릭 구조에서 탄소의 수는 4개 내지 12개이고, 여기서 고리형 구조 상에는 복수의 단일 또는 가지형 탄화수소가 치환될 수 있다. 예들은 노르보난, 스파이로-노난, 데카하이드로나프탈렌, 등을 포함한다.
5) 일반 화학식 CnH2n-(2+2x)의 다중 불포화 바이싸이클릭 탄화수소, 여기서 x는 분자내에서 불포화된 곳의 수이고, n = 4 - 14이며, 바이싸이클릭 구조에서 탄소의 수는 4개 내지 12개이고, 여기서 고리형 구조 상에는 복수의 단일 또는 가지형 탄화수소가 치환될 수 있다. 불포화는 엔도고리형 내부 또는 고리형 구조에 대한 탄화수소 치환체 중 하나에 위치될 수 있다. 예들은 캄펜, 노르보넨, 노르보나디엔, 등을 포함한다.
6) 일반 화학식 CnH2n-4의 트리싸이클릭 탄화수소, 여기서 n = 4 - 14이고, 여기서 트리싸이클릭 구조에서 탄소의 수는 4개 내지 12개이고, 여기서 고리형 구조 상에는 복수의 단일 또는 가지형 탄화수소가 치환될 수 있다. 예는 아다멘탄이다.
본 발명의 다른 구체예에서, 유기실란 및 유기실록산으로 이루어진 군으로부터 선택되는 하나 이상의 전구물질 및 (2) 탄화수소는 동일한 전구물질 분자의 일부이다. 따라서, 구조체-형성 전구물질 및 광흡수 전구물질은 서로 다른 분자일 필요는 없으며, 어떤 구체예에서, 광흡수제는 구조체-형성 전구물질의 일부이다 (예를 들어, 공유 결합되는). 그들에 결합되는 광흡수제를 함유한 전구물질은 여 기서 가끔 "광흡수 전구물질"로 인용된다. 예를 들어, 분자의 디에톡시실란 부분이 기본 OSG 구조체를 형성하고 거대한 페닐 치환체가 광흡수 종인 단일 종으로써 페닐메틸디에톡시실란을 사용할 수 있다. 망상 조직 형성에 도움을 줄 Si 종에 결합된 광흡수제를 함유하는 것은 증착 공정 동안 막으로 광흡수제의 혼입의 더욱 높은 효율을 달성하는데에 있어 장점이 될 수 있다. 또한, 증착 공정 동안 플라즈마에서 깨지는 적당한 결합이 Si-탄소 결합이기 때문에, 디페닐-디에톡시실란과 같은 전구물질 내 하나의 Si에 결합된 두 개의 광흡수제, 또는 1,4-비스(디에톡시실릴)싸이클로헥스-2,5-디엔과 같은 하나의 광흡수제에 결합된 두 Si를 갖는 것이 또한 장점이 될 수 있다. 이러한 방식으로, 플라즈마 내에서 하나의 Si-광흡수제 결합의 반응은 여전히 증착되는 막 내에 광흡수 특성의 혼입이라는 결과가 될 것이다.
아래는 광흡수 능력을 가진 Si-기반 전구물질의 비제한적인 예들이다. 아래의 예들에서, 광흡수 기능은 하나 이상의 R1, R3 또는 R7의 덕분이다:
a) 화학식 (OSiR1R3)x의 고리형 실록산, 여기서 R1 및 R3은 독립적으로 H, C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; x는 2 내지 8의 정수이다 (예: 1-네오헥실-1,3,5,7-테트라메틸싸이클로테트라실록산);
b) R1 n(OR2)p(NR3)4-(n+p)Si, 여기서 R1은 독립적으로 H, C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화 수소이고; R2는 독립적으로 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; R3은 독립적으로 H, C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이고; n은 0 내지 4이며; p는 0 내지 4이다 (예: 디메틸아미노-t-부톡시-네오-헥실실란, 및 디에톡시-네오-헥실실란);
c) R1 n(OR2)p(NR4)3-n-pSi-O-SiR3 m(NR5)q(OR6)3-m-q, 여기서 R1 및 R3은 독립적으로 H, C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2 및 R6은 독립적으로 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; R4 R5는 독립적으로 H, C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이고; n은 0 내지 3이며; m은 0 내지 3이고; p는 0 내지 3이며; q는 0 내지 3이다 (예: 1,3-디에틸아미노-1,3-디-t-부톡시-1-네오헥실디실록산, 및 1,3-디에톡시-1,3-디페닐디실록산);
d) R1 n(OR2)p(NR4)3-n-pSi-SiR3 m(NR5)q(OR6)3-m-q, 여기서 R1 및 R3은 독립적으로 H, C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2 및 R6은 독립적으로 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; R4 R5는 독립적으로 H, C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이고; n은 0 내지 3이며; m은 0 내지 3이고; p는 0 내지 3이며; q는 0 내지 3이다 (예: 1,2-디프로필아미노-1,2-디-t-부톡시-1-네오헥실디실란, 및 1,2-디에톡시-1-네오-헥실디실란);
e) 화학식 (OSi(R1)a(OR2)b(NR3)cx의 고리형 실록산, 여기서 R1, R2 및 R3은 독립적으로 H, C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; a, b, 및 c는 0 내지 2이며 a+b+c = 2이고; x는 2 내지 8의 정수이다 (예: 1,4-비스(디메톡시실릴)싸이클로헥산);
f) R1 n(OR2)p(O(O)CR3)4-(n+p)Si, 여기서 R1은 독립적으로 H 또는 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2 및 R3은 독립적으로 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소 이며; n은 0 내지 4이고; p는 0 내지 4이며, 하나 이상의 R1은 C3 이상의 탄화수소로 치환된다;
g) R1 n(OR2)p(O(O)CR4)3-n-pSi-O-SiR3 m(O(O)CR5)q(OR6)3-m-q, 여기서 R1 및 R3은 독립적으로 H 또는 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2, R4, R5 및 R6은 독립적으로 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; n은 0 내지 3이고; m은 0 내지 3이며; q는 0 내지 3이고; p는 0 내지 3이며, n+p ≤ 3이고 m+q ≤ 3이며, 하나 이상의 R1 및 R3은 C3 이상의 탄화수소로 치환된다;
h) R1 n(OR2)p(O(O)CR4)3-n-pSi-SiR3 m(O(O)CR5)q(OR6)3-m-q, 여기서 R1 및 R3은 독립적으로 H 또는 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2, R4, R5 및 R6은 독립적으로 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; n은 0 내지 3이고; m은 0 내지 3이며; q는 0 내지 3이고; p는 0 내지 3이며, n+p ≤ 3이고 m+q ≤ 3이며, 하나 이상의 R1 및 R3은 C3 이상의 탄화수소로 치환된다;
i) R1 n(OR2)p(O(O)CR4)3-n-pSi-R7-SiR3 m(O(O)CR5)q(OR6)3-m-q, 여기서 R1 및 R3은 독립적으로 H 또는 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2, R4, R5, R6, 및 R7은 독립적으로 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며, 택일적으로, R7은 아민 또는 유기아민기이고; n은 0 내지 3이며; m은 0 내지 3이고; q는 0 내지 3이며; p는 0 내지 3이고, n+p ≤ 3이며 m+q ≤ 3이고, 하나 이상의 R1, R3 및 R7은 C3 이상의 탄화수소로 치환된다;
j) (R1 n(OR2)p(O(O)CR3)3-(n+p)Si)tCH4-t, 여기서 R1은 독립적으로 H 또는 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2 및 R3은 독립적으로 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; n은 0 내지 3이고; p는 0 내지 3이며; t는 1 내지 4이고, n+p ≤ 4이며, 하나 이상의 R1은 C3 이상의 탄화수소로 치환된다;
k) (R1 n(OR2)p(O(O)CR3)3-(n+p)Si)tNH3-t, 여기서 R1은 독립적으로 H 또는 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2 및 R3은 독립적으로 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; n은 0 내지 3이고; p는 0 내지 3이며; t는 1 내지 3이고, n+p ≤ 4이며, 하나 이상의 R1은 C3 이상의 탄화수소로 치환된다;
l) 화학식 (OSiR1R3)x의 고리형 실록산, 여기서 R1 및 R3은 독립적으로 H 또는 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; x는 2 내지 8의 정수이며, 하나 이상의 R1 및 R3은 C3 이상의 탄화수소로 치환된다;
m) 화학식 (NR1SiR1R3)x의 고리형 실라잔, 여기서 R1 및 R3은 독립적으로 H 또는 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; x는 2 내지 8의 정수이며, 하나 이상의 R1 및 R3은 C3 이상의 탄화수소로 치환된다;
n) 화학식 (CR1R3SiR1R3)x의 고리형 카보실란, 여기서 R1 및 R3은 독립적으로 H 또는 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; x는 2 내지 8의 정수이며, 하나 이상의 R1 및 R3은 C3 이상의 탄화수소로 치환된다;
o) R1 n(OR2)p(NR3)4-(n+p)Si, 여기서 R1은 독립적으로 H 또는 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2 및 R3은 독립적으로 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; n은 0 내지 4이고; p는 0 내지 4이며; 하나 이상의 R1은 C3 이상의 탄화수소로 치환된다;
p) R1 n(OR2)p(NR4)3-n-pSi-O-SiR3 m(NR5)q(OR6)3-m-q, 여기서 R1 및 R3은 독립적으로 H 또는 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2, R4, R5 및 R6은 독립적으로 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; n은 0 내지 3이고; m은 0 내지 3이며; q는 0 내지 3이고; p는 0 내지 3이며, n+p ≤ 3이고, m+q ≤ 3이며, 하나 이상의 R1 및 R3은 C3 이상의 탄화수소로 치환된다;
q) R1 n(OR2)p(NR4)3-n-pSi-SiR3 m(NR5)q(OR6)3-m-q, 여기서 R1 및 R3은 독립적으로 H 또는 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2, R4, R5 및 R6은 독립적으로 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; n은 0 내지 3이고; m은 0 내지 3이며; q는 0 내지 3이고; p는 0 내지 3이며, n+p ≤ 3이고, m+q ≤ 3이며, 하나 이상의 R1 및 R3은 C3 이상의 탄화수소로 치환된다;
r) R1 n(OR2)p(NR4)3-n-pSi-R7-SiR3 m(NR5)q(OR6)3-m-q, 여기서 R1 및 R3은 독립적으로 H 또는 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2, R4, R5, R6, 및 R7은 독립적으로 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또 는 전부가 플루오르화된 탄화수소이며, 택일적으로, R7은 아민 또는 유기아민기이고; n은 0 내지 3이며; m은 0 내지 3이고; q는 0 내지 3이며; p는 0 내지 3이고, n+p ≤ 3이며 m+q ≤ 3이고, 하나 이상의 R1, R3 및 R7은 C3 이상의 탄화수소로 치환된다;
s) (R1 n(OR2)p(NR3)3-(n+p)Si)tCH4-t, 여기서 R1은 독립적으로 H 또는 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2 및 R3은 독립적으로 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; n은 0 내지 4이고; p는 0 내지 4이며; t는 1 내지 4이고, n+p ≤ 4이며, 하나 이상의 R1은 C3 이상의 탄화수소로 치환된다;
t) (R1 n(OR2)p(NR3)3-(n+p)Si)tNH3-t, 여기서 R1은 독립적으로 H 또는 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2 및 R3은 독립적으로 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; n은 0 내지 3이고; p는 0 내지 3이며; t는 1 내지 3이고, n+p ≤ 4이며, 하 나 이상의 R1은 C3 이상의 탄화수소로 치환된다;
u) 화학식 (OSi(R1)a(OR2)b(NR3)c)x의 고리형 실록산, 여기서 R1, R2 및 R3은 독립적으로 H 또는 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; x는 2 내지 8의 정수이며; a, b, 및 c는 0 내지 2이고 a+b+c = 2이며, 하나 이상의 R1, R2, 및 R3은 C3 이상의 탄화수소로 치환된다;
v) 화학식 (NR1Si(R1)a(OR2)b(NR3)c)x의 고리형 실라잔, 여기서 R1, R2 및 R3은 독립적으로 H 또는 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고, x는 2 내지 8의 정수이며; a, b, 및 c는 0 내지 2이고 a+b+c = 2이며, 하나 이상의 R1 및 R3은 C3 이상의 탄화수소로 치환되고;
w) 화학식 (CR1R3Si(OR2)b(NR3)c)x의 고리형 카보실란, 여기서 R1, R2, 및 R3은 독립적으로 H 또는 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고, x는 2 내지 8의 정수이며; b 및 c는 0 내지 2이고 b+c = 2이며, 하나 이상의 R1, R2, 및 R3은 C3 이상의 탄화수소로 치환된다.
광흡수제로서 작용하기 위해서는 하나 이상의 R1, R3 및 R7이 C3 이상의 탄화수소를 가지는 것이 바람직하며, 임의의 처리 후 공정이 적어도 광흡수제의 일부를 변경하는데 사용될 수 있다. 본 발명의 어떤 바람직한 구체예에서 화학식내 각각의 R1, R2, R3, R4, 및 R7은 수소 또는 C5 내지 C7 탄화수소기이다.
상기 전구물질은, 여기서 n 및/또는 m은 0 내지 3인 경우를 제외하고, 이들 동일한 계열의 다른 분자 및/또는 동일한 계열의 분자화 혼합될 수 있다.
상기 기재된 모든 구체예에서, 탄화수소 (즉, 광흡수 성분)는 실질적으로 반사방지 코팅으로부터 제거되지 않는다. 여기서 사용된 바와 같이, 표현 "실질적으로 반사방지 코팅으로부터 제거되지 않는다"는 본 발명의 특성을 나타내며, 여기서 탄화수소로부터의 탄소 종이 코팅에 반사방지 특성을 주는 단계에 참여하기 위해 존재하는 것이 바람직하다. 따라서, 반사방지층 (104)이 증착된 후 어떤 공정 조건으로 인해 일부 탄소가 부수적으로 제거될 수는 있지만, 탄소는 필수 파장에서 광을 흡수하기 위해 실질적으로 코팅 내에 존재한다.
본 발명의 바람직한 구체예에서, 반사방지층 (104)은 광흡수 특성 뿐 아니라, 개선된 내에칭성, 구조적 무결성, 기계적 특성, 열 안정성, 및 내화학성 (산 소, 수용성 산화 환경, 등에 대해)을 갖는, 예를 들어, 유기 중합 반사방지 물질과 관련된 박막이다.
본 발명의 바람직한 구체예에서, 반사방지층 (104)은 다음을 포함한다: (a) 약 1 내지 약 35 원자% 실리콘; (b) 약 1 내지 약 40 원자% 산소; (c) 약 10 내지 약 50 원자% 수소; 및 (d) 약 5 내지 약 80 원자% 탄소. 막은 또한 하나 이상의 물질 특성을 개선하기 위해 약 0.1 내지 50 원자 % 질소, 및/또는 0.1 내지 약 15 원자 % 플루오르를 포함할 수 있다. 보다 적은 부분의 다른 원소들이 본 발명의 어떤 막에 존재할 수 있다. 따라서, 본 발명의 막은 유기 반사방지 물질과 반대되는 합성 물질이며, 무기 기의 혼입에 의해 제공되는 구조적 무결성 및 막 특성이 결여된다.
본 발명의 반사방지 코팅은 막의 증착 동안 산화제의 사용을 필요로 하지 않는다. 유기 기를 산화시킬 수 있는 부분 (moiety)으로써 본 목적을 위해 정의되는 (예를 들어, O2, N2O, 오존, 과산화수소, NO, NO2, N2O4, 또는 이들의 혼합물), 기체 상에 첨가되는 산화제의 부재는 막 내에서 바람직한 광흡수 종의 유지를 촉진시킬 수 있다. 이것은 바람직한 특성, 예컨대 광흡수성 및 내에칭성을 제공하는데 필요한 바람직한 탄소의 양의 혼입을 허용한다.
본 발명의 반사방지 코팅은 또한 유기 (C-Fn) 또는 무기 플루오르 (예를 들어, Si-F)의 형태로 플루오르를 포함할 수 있다.
본 발명의 반사방지 코팅은 전자 장치를 제조하는데 사용되는 여러 가지 화 학적 공정과 양립하며, 다양한 물질, 예컨대 실리콘, SiO2, Si3N4, OSG, FSG, 실리콘 카바이드, 수소화된 실리콘 카바이드, 실리콘 나이트라이드, 수소화된 실리콘 나이트라이드, 실리콘 카보나이트라이드, 수소화된 실리콘 카보나이트라이드, 보로나이트라이드, 저 유전 상수 물질, 포토레지스트, 유기 중합체, 다공성 유기 및 무기 물질, 구리 및 알루미늄과 같은 금속, 및 확산 장벽층, 예컨대 제한되는 것은 아니지만 TiN, Ti(C)N TaN, Ta(C)N, Ta, W, WN 또는 W(C)N과 부착될 수 있다. 이러한 막은 통상적인 당김 (pull) 시험, 예컨대 ASTM D3359-95a 테이프 당김 시험을 충분히 통과하는 하나 이상의 앞의 물질에 부착될 수 있으며, 여기서 샘플은 식별할 수 있는 막의 제거가 없는 경우, 시험을 통과할 것이라고 생각된다.
바람직하게, 두께는 필요에 따라 변화될 수 있지만 반사방지 코팅 (104)은 약 0.002 내지 약 10 마이크론의 두께로 증착된다. 패턴화되지 않은 표면 상에 증착된 전면을 덮는 막은 적당히 가장자리 배제된 기판을 전면에 걸쳐 1 표준 편차로 2% 미만의 두께에서의 변화를 갖는 우수한 균일성을 갖는데, 여기서 예를 들어, 5mm 최대 가장자리의 기판은 균일성의 통계적 계산에 포함되지 않는다.
막의 흡수성은 특징적인 적용에 대한 막 특성을 맞추기 위해 임의의 처리 후 단계 뿐 아니라 막에 대한 화학 및 증착 조건의 변화에 의해 증가될 수 있다.
전구물질은 반응기에 다른 종류의 원천으로부터 분리되어 운반되거나 혼합물로서 운반될 수 있다. 전구물질은 다수의 수단, 바람직하게 공정 반응기로 액체의 운반을 허용하기 위해 적당한 밸브 및 피팅이 설치된, 가압성 (pressurizable) 스 테인레스 스틸의 사용에 의해 반응기 시스템으로 운반될 수 있다.
어떤 구체예에서, 다른 전구물질, 예를 들어, 유기실란 및/또는 유기실록산 및/또는 아미노실란의 혼합물이 조합에 사용되었다. 또한 다중의 다른 광흡수제의 조합, 및 유기실란 및/또는 유기실록산 및/또는 아미노실란과의 조합, 예를 들어, 광흡수제가 부착된 유기실란 및/또는 유기실록산 종을 사용하는 것도 본 발명의 범위 내이다. 이러한 구체예들은 최종 생성물에서 광흡수제와 Si의 비율을 조정하는 것을 촉진하고/거나 상기 구조체의 하나 이상의 중대한 특성을 강화한다. 예를 들어, 광흡수 기능성의 기본적 소스로써 디에톡시메틸실란 (DEMS)을 사용하는 증착은 막의 기계적 강도를 개선하기 위해 추가적인 유기실리콘, 예를 들어, 테트라에톡시실란 (TEOS)을 사용해야 한다. 유사한 예는 유기실리콘 페닐메틸디에톡시실란을 사용하는 반응에 첨가되는 DEMS의 사용이 될 수 있으며, 여기서 페닐기는 광흡수제로써 전구물질 작용기에 결합된다. 추가적인 예는 디페닐실란 및 광흡수제를 사용하는 반응에 디-tert-부톡시-디아세톡시실란을 첨가하는 것이다. 어떤 구체예에서, 둘 이하의 Si-O 결합을 가진 제 1 유기실리콘 전구물질과 셋 이상의 Si-O 결합을 가진 제 2 유기실리콘 전구물질의 혼합물이 신규한 막의 화학적 조성물과 맞추기 위해 제공된다.
구조체 형성 종 및 광흡수 종에 더하여, 추가적인 물질이 증착 공정 이전, 도중 및/또는 후에 진공 챔버 내로 부과될 수 있다. 이러한 물질들은, 예를 들어, 불활성 기체 (예를 들어, He, Ar, N2, Kr, Xe, 등., 보다 적은 휘발성 전구물질에 대한 운반 기체로서 사용될 수 있고/거나 증착되는 (as-deposited) 물질의 경화를 촉진할 수 있으며 좀더 안정된 최종 막을 제공하는) 및 반응성 물질, 예를 들어 기체 또는 액체 유기 물질, NH3, H2, CO2, 또는 CO를 포함한다. CO2는 바람직한 운반 기체이다.
기판 상에 반응을 위한 기체를 유도하고 막을 형성하기 위해 기체 시약에 에너지가 적용된다. 이러한 에너지는, 예를 들어, 열, 플라즈마, 펄스된 플라즈마, 헬리콘 플라즈마, 고밀도 플라즈마, 유도 결합 플라즈마, 및 원격 플라즈마 방법에 의해 제공될 수 있다. 제 2 rf 주파수 소스가 기판 표면에서 플라즈마 특성을 변경하기 위해 사용될 수 있다. 바람직하게, 막은 플라즈마 강화 화학증착법에 의해 형성된다. 특히 13.56 MHz의 주파수에서 용량 결합 플라즈마 (capacitively coupled plasma)를 생성하는데 바람직하다. 플라즈마 전력은 기판의 표면적을 기준으로 바람직하게 0.02 내지 7 watts/cm2, 좀더 바람직하게 0.3 내지 3 watts/cm2이다. OSG 전구물질 및 광흡수제에서 차례로 더 적은 분열을 일으킬 플라즈마에서 더욱 낮은 전자 온도에 대해 적은 이온화 에너지를 갖는 운반 기체를 사용하는 것이 유리할 수 있다. 이러한 형태의 적은 이온화 기체의 예들은 CO2, NH3, CO, CH4, Ar, Xe, Kr을 포함한다.
각 기체 시약에 대한 흐름 속도는 바람직하게 단일 200 mm 웨이퍼 당 10 내지 5000 sccm, 좀더 바람직하게 30 내지 1000 sccm의 범위이다. 개개의 속도는 막에서 바람직한 양의 이전 구조체 (structure-former) 및 이전 기공 (pore-former) 을 제공하기 위해 선택된다. 필요한 실제 흐름 속도는 웨이퍼 크기 및 챔버 배치에 의존할 수 있으며, 200 mm 웨이퍼 또는 단일 웨이퍼 챔버로 제한되는 것은 아니다.
반사방지 코팅 (104) 형성 후, 포토레지스트 층 (130)이 전도층 (101)과 접촉할 차후 형성된 전도층을 받기 위한 형성 영역을 경유하여 한정되도록 그것의 최상부 상에 패턴화될 수 있다. 포토레지스트 층 (130)은 통상적인 사진 석판 기술, 예컨대 포토레지스트의 층을 마스킹, 빛에 마스크된 층을 노출, 다음에 포토레지스트 층을 현상하는 기술을 사용하여 패턴화될 수 있다. 최종 구조체는 도 1C에 도시하였고, 그것은 반도체 장치의 제조 동안에 형성되는 구조체를 묘사하며, 상기 구조체는 다음을 포함한다: 상기 기판 (100)에 형성된 패턴화될 수 있는 층 (103); 패턴화될 수 있는 층 (103) 위에 형성된 반사방지 코팅 (104), 여기서 반사방지 코팅 (104)은 화학식 SivOwCxNuHyFz에 의해 나타내어지고, 여기서 v+w+x+u+y+z = 100%이며, v는 10 내지 35 원자%이고, w는 5 내지 65 원자%이며, x는 5 내지 80 원자%이고, u는 0 내지 50 원자 %이며, y는 10 내지 50 원자%이고 z는 0 내지 15 원자%이며; 반사방지 코팅 (104) 위에 포토레지스트 패턴 (130)이 형성되고, 여기서 반사방지 코팅은 (1) 유기실란, 유기실록산, 및 아미노실란으로 이루어진 군으로부터 선택되는 하나 이상의 전구물질; 및 (2) 탄화수소의 화학증착법에 의해 형성되며, 여기서 탄화수소는 실질적으로 반사방지 코팅으로부터 제거되지 않는다.
포토레지스트 층 (130)이 패턴화된 후, 바이어 (via, 107)는 장벽층 (102)에 내려진 다공성 유전층 (103)을 통해 에칭되며, 그것은 에칭 중단처럼 작용한다. 유전층을 통한 에칭을 위한 통상적인 공정 단계는, 예를 들어, 통상적인 비등방성 (anisotropic) 건조 에칭 공정을 통한 에칭이 사용될 수 있다. 등방성 또는 비등방성 형성 기체 회 (ash)는 다음에 포토레지스트를 제거하기 위한 적당한 온도 및 압력에서 적용될 수 있다. 바이어 세척 단계가 도 1D에 도시된 구조체를 제조하기 위해 따를 수 있다.
바이어 (107)가 에칭된 후, 남은 반사방지 코팅 (104) 및 포토레지스트 (130)의 일부는 제거되어야만 한다. 이것은 바람직하게 반사방지 코팅 (104) 및 포토레지스트 (130)의 제거 속도가 다공성 유전층 (103)의 그것보다 매우 더 높게 제공되는 공정을 사용하는 것에 의해 달성된다. 본 발명의 일부 구체예에 있어서, 남은 반사방지 코팅 (104) 및 포토레지스트 (130)의 일부는 다공성 유전층 (103)을 제거하는 것보다 매우 높은 속도에서 남은 반사방지 코팅 (104) 및 포토레지스트 (130)의 일부를 제거하는 건조 에칭 공정에 의해 제거된다.
본 발명의 바람직한 구체예에서, 사용될 수 있는 습식 에칭 화학물질 (wet etch chemicals)은, 예를 들어, 용매 및/또는 스트리퍼 포뮬레이션을 포함한다. 용매는, 예를 들어, 알코올 용매, 케톤 용매, 아미드 용매, 또는 에스터 용매가 될 수 있다. 어떤 구체예에서 용매는 초임계 유체, 예컨대 이산화탄소, 플루오로탄소, 설퍼 헥사플루오라이드, 알칸, 및 다른 적당한 다중-성분 조성물 등이 될 수 있다. 어떤 구체예에서, 본 발명에서 사용된 하나 이상의 용매는 상대적으로 낮은 끓는점, 즉 160℃ 미만을 갖는다. 이들 용매는 테트라하이드로푸란, 아세톤, 1,4- 디옥산, 1,3-디옥솔란, 에틸 아세테이트, 및 메틸 에틸 케톤을 포함하지만, 이들로 한정되는 것은 아니다. 본 발명에서 사용될 수 있지만 끓는점이 160℃를 초과하는 다른 용매는 디메틸포름아미드, 디메틸아세트아미드, N-메틸 피롤리돈, 에틸렌 카보네이트, 프로필렌 카보네이트, 글리세롤 및 유도체, 나프탈렌 및 치환된 형식, 아세트산 무수물, 프로피온산 및 프로피온산 무수물, 디메틸 설폰, 벤조페논, 디페닐 설폰, 페놀, m-크레졸, 디메틸 설폭사이드, 디페닐 에테르, 터페닐, 등을 포함한다. 바람직한 용매는 프로필렌 글리콜 프로필 에테르 (PGPE), 3-헵탄올, 2-메틸-1-펜탄올, 5-메틸-2-헥산올, 3-헥산올, 2-헵탄올, 2-헥산올, 2,3-디메틸-3-펜탄올, 프로필렌 글리콜 메틸 에테르 아세테이트 (PGMEA), 에틸렌 글리콜 n-부틸 에테르, 프로필렌 글리콜 n-부틸 에테르 (PGBE), 1-부톡시-2-프로판올, 2-메틸-3-펜탄올, 2-메톡시에틸 아세테이트, 2-부톡시에탄올, 2-에톡시에틸 아세토아세테이트, 1-펜탄올, 및 프로필렌 글리콜 메틸 에테르를 포함한다. 추가로 전형적인 용매는 락테이트, 파이러베이트, 및 디올을 포함한다. 추가의 전형적인 용매는 EP 제1,127,929호에 목록화된 용매들을 포함한다. 앞에 열거된 용매는 단독으로 사용될 수 있거나 둘 이상의 용매의 조합으로 사용될 수 있다.
습식 제거는 하나 이상의 스트리퍼 포뮬레이션을 사용하여 수행될 수 있다. 이들 포뮬레이션은 용매-기반, 수용액-기반, 아민-함유, 불소-함유, 버퍼되거나 그들의 조합이 될 수 있다. 특정 포뮬레이션의 선택은 제거되는 다공성 유전물질 및 다공성 희생 광흡수 물질의 동일성에 의존한다. 적당한 스트리퍼 포뮬레이션의 예들은 본 출원의 양수인에게 양도된 발표된 미국특허 제6,583,104호, 제6,677,286 호, 제6,627,546호, 제6,828,289호 및 미국특허출원공보 제2004/0266637호, 제2004/0063042호, 제2003/0130146호, 및 제2003/0148910호에 기재되어 있으며, 본원에서는 상기 특허출원의 모든 내용을 참고로 통합한다.
비록 본 발명의 반사방지 코팅의 장점이 유전물질 에칭에 관해 설명되었지만, 당업자는 본 발명의 반사방지 코팅이 다른 기판, 예를 들어, 실리콘, 알루미늄, 금속, 금속 산화물, 및 장벽 물질 에칭과 관련하여 사용될 수 있다는 것을 이해할 것이다.
본 발명은 아래의 실시예를 참조하여 더 자세하게 설명될 것이지만, 본 발명이 그것으로 제한되는 것으로 간주되지 않음을 이해하여야 한다.
실시예
모든 실험은 언도프된 (undoped) TEOS 공정 키트를 사용하여, 어드밴스 에너지 2000 rf 제너레이터가 설치된 200mm DxZ 챔버에서 어플라이드 머테리얼즈 프리시즌-5000 시스템으로 수행하였다. 방법은 아래의 기본 단계들을 포함한다: 초기 셋업 및 기체 흐름의 안정화, 증착 (deposition), 및 웨이퍼 제거전 챔버의 퍼지/배출. 두께, 굴절률, 및 흡광 계수는 SCI 막텍 2000 반사계 상에서 측정하였다.
실시예 1: BTBAS (아미노실란)
막을 비스 t-부틸아미노 실란 (BTBAS)을 사용하여 PECVD 기술에 의해 실리콘 웨이퍼 상에 증착시켰다. 웨이퍼를 150℃의 서셉터 (susceptor) 온도를 갖는 200 mm 어플라이드 머테리얼즈 DxZ PECVD 챔버에서 프로세스하였다. 증착 조건을 표 1 에 요약하였다. 한번 BTBAS (200 mgm) 및 N2 (750 sccm) 흐름 속도가 확립되면, 공정은 3.0 torr에서 안정화된다. 다음에 SivOwNxCyHz 막을 증착하기 위해 RF 전력 (13.56 MHz, 200W)을 120초 동안 적용하였다. 증착 후, 실리콘 웨이퍼를 PECVD 챔버로부터 제거하고 상기 챔버를 NF3 플라즈마를 사용하여 세척하였다. SivOwNxCyHz 막의 막 두께 (190 nm) 및 굴절률 (1.53)을 반사측정기 (reflectometry)를 사용하여 측정하였다. 막의 흡광도는 240-950 nm의 파장 범위에 걸쳐 흡광 계수 플로팅에 의해 도 2에 도시하였다.
표 1: BTBAS 실시예에 대한 증착 조건 및 막 특성
BTBAS (mgm) N2 (sccm) NH3 (sccm) P (torr) RF (W) T (C) d (nm) RI
BTBAS 200 750 0 3.0 200 150 190 1.53
BTBAS-NH3 400 200 500 2.5 400 150 816 1.49
실시예 2: BTBAS-NH 3
SivOwNxCyHz 막을 비스 t-부틸아미노 실란 (BTBAS) 및 암모니아 (NH3)를 사용하여 PECVD 기술에 의해 실리콘 웨이퍼 상에 증착시켰다. 웨이퍼를 150℃의 서셉터 (susceptor) 온도를 갖는 200 mm 어플라이드 머테리얼즈 DxZ PECVD 챔버에서 프로세스하였다. 증착 조건을 표 1에 요약하였다. 한번 BTBAS (200 mgm) 및 N2 (200 sccm), 및 NH3 (500 sccm) 흐름 속도가 확립되면, 공정은 2.5 torr에서 안정화된다. 다음에 SivOwNxCyHz 막을 증착하기 위해 RF 전력 (13.56 MHz, 400W)을 300초 동안 적 용하였다. 증착 후, 실리콘 웨이퍼를 PECVD 챔버로부터 제거하고 상기 챔버를 NF3 플라즈마를 사용하여 세척하였다. SivOwNxCyHz 막의 막 두께 (816 nm) 및 굴절률 (1.49)을 반사측정기를 사용하여 측정하였다. 막의 흡광도는 240-950 nm의 파장 범위에 걸쳐 흡광 계수 플로팅에 의해 도 2에 도시하였다.
실시예 3: DEMS 및 ATRP
표 2를 참조하면, 유기-무기 합성 물질을 α-테르핀 (ATRP) 및 디에톡시메틸실란 (DEMS)으로부터 PECVD를 통해 실리콘 웨이퍼 상에 공동 증착시켰다. 예를 들어, 두 번째 실행, A2를 참조하면, 공정 조건은 ATRP의 분당 (mgm) 540 밀리그람 흐름 및 60 mgm DEMS이었다. 200 sccm의 CO2의 운반 기체 흐름이 증착 챔버 내로 화학물질을 동행하기 위해 사용되었다. 추가로 공정 조건은 다음과 같다: 5 Torr의 챔버 압력, 400℃의 웨이퍼 척 온도, 0.35 인치의 웨이퍼 공간에 대한 샤워헤드 (showerhead) 내지 웨이퍼, 및 800 watts의 플라즈마 전력. 이들 막은 3000 cm-1 근처의 FT-IR 흡수를 도 3에서 보여주는 바와 같이 상당한 탄화수소 함량을 나타낸다. 또한 관찰되는 것은 강한 C=C 흡수이다 (~1600 cm-1). 이들 물질은 상업적 스핀-온 반사방지 코팅 물질에 관해 도 4에서 보여주는 바와 같은 흡광 계수 프로파일을 제공한다. UV 노출 후 측정된 굴절률 및 흡광 계수는 일반적으로 약 1.65 내지 1.72 증가한다.
이들 증착 조건은 전형적인 다공성 OSG 증착에 사용되는 것들보다 상당히 더 욱 적극적이다. 예를 들어, 본 발명에 따른 증착은 400℃, 5 torr, 및 800 W의 rf 전력에서 수행하였다; 다공성 OSG 막을 제조하기 위한 통상적인 증착 공정에서 온도는 300℃ 미만이 되고, 반응 압력은 약 8-10 torr가 되며, rf 전력은 약 500-600 W가 된다. 본 발명의 반사방지 코팅을 얻기 위한 반응 조건의 변형은 플라즈마를 상당히 더 활동적으로 하고, 결과적으로 탄소는 추가의 공정을 통해 실질적으로 유지된다.
표 2: DEMS + ATRP 실시예에 대한 증착 조건 및 막 특성
Figure 112008071246406-PAT00001
에칭 속도 시험은 35 mtorr 압력에서 26 sccm C4F8, 7 sccm O2, 168 sccm Ar, 1000 와트의 13.56 MHz rf 파워, 50 가우스를 사용하여 AMAT 마크 II RIE 챔버에서 수행하였다. 동일한 조건에서 SiO2 및 다공성 OSG (k=2.5) 막에 대한 > 300nm/min의 에칭 속도와 비교하여 UV 노출 전후의 막에 대한 에칭 속도는 ~ 120 nm/min이다. 반사방지 코팅의 IR 스펙트럼은 그것의 에칭 전 스펙트럼에 관해 변화되지 않는 에칭 후 스펙트럼이었다.
도 5 (맞춤 막 흡수력)는 공정 조건에서 변화를 통해 어떻게 막의 흡수 특성이 맞추어질 수 있는지를 나타낸다. 이 실시예에서는 단지 상대적인 전력 밀도, 또는 화학물질의 단위 흐름당 rf 전력이 조절된다. 이 파라미터는 화학물질의 흐름 속도를 변화시키는, 화학물질에 대한 체재 시간에서 변화를 계산에 넣고 있지 않음을 유의하라. 전력 밀도가 증가하는 만큼 흡광 계수 (@240nm)에서 선형적인 증가가 있는 것은, UV 광을 흡수하는 더욱 높은 경향을 갖는 물질을 나타낸다. 다시 말해, 더욱 활발한 증착 조건, 예를 들어, 더욱 높은 전력 밀도를 사용하는 것은, 공정 조건을 통해 막으로 혼입되는 탄소를 유지한다. 이것은 PECVD에 의한 다공성 유기실리케이트 유리 물질을 제조하기 위해 사용되는 공정인, 여기서 불안정한 탄소가 그것의 제거의 의도를 가지고 막으로 혼입되는 것과 비교된다. 예를 들어, 도 6은, 예를 들어, 유기실리케이트 유리 막으로부터 탄소를 제거하기 위해 통상적으로 사용되는 고-전력 UV로 노출하기 전후의 본 발명에 따른 반사방지 코팅 (이전의 A3)의 FTIR 스펙트럼을 도시한다. 도 6에서 볼 수 있는 바와 같이 알킬 탄소 내지 방향족 탄소의 변환 및 C=C 구조의 유지가 있다. UV 노출 이전의 도 6에서 도시된 막의 유전 상수는 3.65였고 UV 노출 이후의 도 6에서 도시된 막의 유전 상수는 3.75였다. 이것은 만약 있다면 막의 탄소 함유량에서 작은 변화를 나타낸다.
본 발명이 여러 가지 바람직한 구체예에 관하여 자세히 설명되었지만, 본 발명의 범위는 그들의 구체예보다 더 넓게 되도록 고려되며 아래의 청구항으로부터 확인되어야 한다.
도 1A 내지 도 1D는 특정의 단계후에 생성될 수 있는 반사 구조체를 사용하여 본 발명의 한 구체예에 따른 반도체 장치를 제조하는 단면도이다.
도 2는 본 발명에 따른 반사방지 코팅의 한 구체예의 흡광도를 예시하는 그래프이다.
도 3은 본 발명에 따른 반사방지 코팅에 대한 일련의 FTIR 스펙트럼을 도시하고 있다.
도 4는 본 발명에 따른 반사방지 코팅의 흡광도를 예시하는 그래프이다.
도 5는 본 발명에 따른 반사방지 코팅의 흡광도 대 rf 전력을 예시하는 그래프이다.
도 6은 UV 광에 대한 노출 전후의 본 발명에 따른 반사방지 코팅의 FTIR 스펙트럼을 비교하는 그래프이다.

Claims (24)

  1. 기판에서 특징부 (feature)를 형성하는 방법으로서, 아래의 단계들을 포함하는 방법:
    기판 상에 유전층을 형성시키는 단계;
    유전층 상에 반사방지 코팅을 형성시키는 단계;
    반사방지 코팅 위에 포토레지스트 패턴 (photoresist pattern)을 형성시키는 단계;
    패턴화된 포토레지스트를 통해 유전층을 에칭하는 단계; 및
    반사방지 코팅 및 포토레지스트를 제거하는 단계,
    여기서 반사방지 코팅은 화학식 SivOwCxNuHyFz에 의해 나타내어지고, 여기서 v+w+x+u+y+z = 100%이며, v는 1 내지 35 원자%이고, w는 1 내지 40 원자%이며, x는 5 내지 80 원자%이고, u는 0 내지 50 원자 %이며, y는 10 내지 50 원자%이고 z는 0 내지 15 원자%이며,
    여기서 반사방지 코팅은 (1) 유기실란, 유기실록산, 및 아미노실란으로 이루어진 군으로부터 선택되는 하나 이상의 전구물질; 및 (2) 탄화수소를 포함하는 조성물의 화학증착법 (chemical vapor deposition)에 의해 형성되며,
    여기서 탄화수소는 실질적으로 반사방지 코팅으로부터 제거되지 않는다.
  2. 제 1 항에 있어서, 유전층이 다공성인 방법.
  3. 제 1 항에 있어서, 반사방지 코팅이 하드마스크인 방법.
  4. 제 1 항에 있어서, 반사방지 코팅을 아미노실란 및 탄화수소를 포함하는 조성물의 화학증착법에 의해 형성하는 방법.
  5. 제 4 항에 있어서, 아미노실란이 비스(tert-부틸아미노)실란인 방법.
  6. 제 1 항에 있어서, 탄화수소가 하나 이상의 전구물질과 다른 방법.
  7. 제 6 항에 있어서, 하나 이상의 전구물질이 다음으로 이루어진 군으로부터 하나 이상 선택되는 방법:
    (a) 화학식 R1 n(OR2)p(O(O)CR3)4-(n+p)Si, 여기서 R1은 독립적으로 H 또는 C1 내지 C4 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2는 독립적으로 C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; R3은 독립적으로 H, C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불 포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이고; n은 0 내지 4이며; p는 0 내지 4이다;
    (b) 화학식 R1 n(OR2)p(O(O)CR4)3-n-pSi-O-SiR3 m(O(O)CR5)q(OR6)3-m-q, 여기서 R1 및 R3는 독립적으로 H 또는 C1 내지 C4 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2 및 R6은 독립적으로 C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; R4 및 R5는 독립적으로 H, C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이고; n은 0 내지 3이며; m은 0 내지 3이고; q는 0 내지 3이며; p는 0 내지 3이고, n+p ≤ 3이고 m+q ≤ 3이다;
    (c) 화학식 R1 n(OR2)p(O(O)CR4)3-n-pSi-SiR3 m(O(O)CR5)q(OR6)3-m-q, 여기서 R1 및 R3는 독립적으로 H 또는 C1 내지 C4 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2 및 R6은 독립적으로 C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; R4 및 R5는 독립적으로 H, C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이고; n은 0 내지 3이며; m은 0 내지 3이고; q는 0 내지 3이며; p는 0 내지 3이고, n+p ≤ 3이고 m+q ≤ 3이다;
    (d) 화학식 R1 n(OR2)p(O(O)CR4)3-n-pSi-R7-SiR3 m(O(O)CR5)q(OR6)3-m-q, 여기서 R1 및 R3은 독립적으로 H 또는 C1 내지 C4 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2, R6 및 R7은 독립적으로 C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며, 택일적으로, R7은 아민 또는 유기아민기이고; R4 및 R5는 독립적으로 H, C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; n은 0 내지 3이고; m은 0 내지 3이며; q는 0 내지 3이고; p는 0 내지 3이며, n+p ≤ 3이고 m+q ≤ 3이다;
    (e) 화학식 (R1 n(OR2)p(O(O)CR3)4-(n+p)Si)tCH4-t, 여기서 R1은 독립적으로 H 또는 C1 내지 C4 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2는 독립적으로 C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; R3은 독립적으로 H, C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이고; n은 0 내지 3이며; p는 0 내지 3이고; t는 2 내지 4이며, n+p ≤4이다;
    (f) 화학식 (R1 n(OR2)p(O(O)CR3)4-(n+p)Si)tNH3-t, 여기서 R1은 독립적으로 H 또는 C1 내지 C4 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2는 독립적으로 C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; R3는 독립적으로 H, C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이고; n은 0 내지 3이며; p는 0 내지 3이고; t는 1 내지 3이며, n+p ≤ 4이다;
    (g) 화학식 (OSiR1R3)x의 고리형 실록산, 여기서 R1 및 R3은 독립적으로 H, C1 내지 C4 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; x는 2 내지 8의 정수이다;
    (h) 화학식 (NR1SiR1R3)x의 고리형 실라잔, 여기서 R1 및 R3은 독립적으로 H, C1 내지 C4 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; x는 2 내지 8의 정수이다;
    (i) 화학식 (CR1R3SiR1R3)x의 고리형 카보실란, 여기서 R1 및 R3은 독립적으로 H, C1 내지 C4 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; x는 2 내지 8의 정수이다;
    (k) 화학식 R1 n(OR2)p(NR3)4-(n+p)Si, 여기서 R1은 독립적으로 H 또는 C1 내지 C4 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2는 독립적으로 C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; R3는 독립적으로 H, C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이고; n은 0 내지 3이며; p는 0 내지 3이다;
    (l) 화학식 R1 n(OR2)p(NR4)3-n-pSi-O-SiR3 m(NR5)q(OR6)3-m-q, 여기서 R1 및 R3은 독립적으로 H 또는 C1 내지 C4 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2 및 R6은 독립적으로 C1 내지 C6 선 형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; R4 및 R5는 독립적으로 H, C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이고; n은 0 내지 3이며; m은 0 내지 3이고; q는 0 내지 3이며; p는 0 내지 3이고, n+p ≤ 3이며 m+q ≤ 3이다;
    (m) 화학식 R1 n(OR2)p(NR4)3-n-pSi-SiR3 m(NR5)q(OR6)3-m-q, 여기서 R1 및 R3은 독립적으로 H 또는 C1 내지 C4 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2 및 R6은 독립적으로 C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; R4 및 R5는 독립적으로 H, C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이고; n은 0 내지 3이며; m은 0 내지 3이고; q는 0 내지 3이며; p는 0 내지 3이고, n+p ≤ 3이며 m+q ≤ 3이다;
    (n) 화학식 R1 n(OR2)p(NR4)3-n-pSi-R7-SiR3 m(NR5)q(OR6)3-m-q, 여기서 R1 및 R3은 독립적으로 H 또는 C1 내지 C4 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리 형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2, R6 및 R7은 독립적으로 C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며, 택일적으로, R7은 아민 또는 유기아민기이고; R4 및 R5는 독립적으로 H, C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; n은 0 내지 3이며; m은 0 내지 3이고; q는 0 내지 3이며; p는 0 내지 3이고, n+p ≤ 3이며 m+q ≤ 3이다;
    (o) 화학식 (R1 n(OR2)p(NR3)4-(n+p)Si)tCH4-t, 여기서 R1은 독립적으로 H 또는 C1 내지 C4 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2는 독립적으로 C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; R3은 독립적으로 H, C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이고; n은 0 내지 3이며; p는 0 내지 3이고; t는 1 내지 4이며, n+p ≤ 4이다;
    (p) 화학식 (R1 n(OR2)p(NR3)4-(n+p)Si)tNH3-t, 여기서 R1은 독립적으로 H 또는 C1 내지 C4 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2는 독립적으로 C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; R3은 독립적으로 H, C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이고; n은 0 내지 3이며; p는 0 내지 3이고; t는 1 내지 3이며, n+p ≤ 4이다.
  8. 제 7 항에 있어서, 하나 이상의 전구물질이 다음으로 이루어진 군으로부터 하나 이상 선택되는 방법:
    디에톡시메틸실란, 디메틸디메톡시실란, 디메틸디아세톡시실란, 메틸아세톡시-t-부톡시실란, 1,3-디메틸-1,3-디에톡시디실록산, 1,3-디메틸-1,3-디아세톡시디실록산, 1,3-디메틸-1-아세톡시-3-에톡시디실록산, 1,3-디메틸-1,3-디아세톡시-1,3-디에톡시디실록산, 1,2-디메틸-1,1,2,2-테트라에톡시디실란, 1,2-디메틸-1,1,2,2-테트라아세톡시디실란, 1,2-디메틸-1-아세톡시-2-에톡시디실란, 1,2-디메틸-1,2-디아세톡시-1,2-디에톡시디실란, 1,3,5,7-테트라메틸싸이클로테트라실록산, 및 옥타메틸싸이클로테트라실록산.
  9. 제 7 항에 있어서, 탄화수소가 다음으로 이루어진 군으로부터 선택되는 하나 이상 선택되는 방법:
    싸이클로헥산, 트리메틸싸이클로헥산, 1-메틸-4(1-메틸에틸)싸이클로헥산, 싸이클로옥탄, 메틸싸이클로옥탄, 싸이클로옥텐, 싸이클로옥타디엔, 싸이클로헵텐, 싸이클로펜텐, 싸이클로헥센, 및 1,5,9-싸이클로도데카트리엔, 에틸렌, 프로필렌, 아세틸렌, 네오헥산, 싸이클로헥센, 비닐싸이클로헥산, 디메틸싸이클로헥센, t-부틸싸이클로헥센, α-테르핀, 피넨, 1,5-디메틸-1,5-싸이클로옥타디엔, 비닐-싸이클로헥센, 노르보난, 스파이로-노난, 데카하이드로나프탈렌, 캄펜, 노르보넨, 노르보나디엔, 및 아다멘탄.
  10. 제 1 항에 있어서, 탄화수소 및 하나 이상의 전구물질이 동일한 분자인 방법.
  11. 제 10 항에 있어서, 하나 이상의 전구물질이 다음으로 이루어진 군으로부터 하나 이상 선택되는 방법:
    a) 화학식 (OSiR1R3)x의 고리형 실록산, 여기서 R1 및 R3은 독립적으로 H, C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; x는 2 내지 8의 정수이다;
    b) R1 n(OR2)p(NR3)4-(n+p)Si, 여기서 R1은 독립적으로 H, C1 내지 C12 선형 또는 가 지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2는 독립적으로 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; R3은 독립적으로 H, C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이고; n은 0 내지 4이며; p는 0 내지 4이다;
    c) R1 n(OR2)p(NR4)3-n-pSi-O-SiR3 m(NR5)q(OR6)3-m-q, 여기서 R1 및 R3은 독립적으로 H, C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2 및 R6은 독립적으로 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; R4 R5는 독립적으로 H, C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이고; n은 0 내지 3이며; m은 0 내지 3이고; p는 0 내지 3이며; q는 0 내지 3이다;
    d) R1 n(OR2)p(NR4)3-n-pSi-SiR3 m(NR5)q(OR6)3-m-q, 여기서 R1 및 R3은 독립적으로 H, C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전 부가 플루오르화된 탄화수소이고; R2 및 R6은 독립적으로 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; R4 R5는 독립적으로 H, C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이고; n은 0 내지 3이며; m은 0 내지 3이고; p는 0 내지 3이며; q는 0 내지 3이다;
    e) 화학식 (OSi(R1)a(OR2)b(NR3)cx의 고리형 실록산, 여기서 R1, R2 및 R3은 독립적으로 H, C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; a, b, 및 c는 0 내지 2이며 a+b+c = 2이고; x는 2 내지 8의 정수이다;
    f) R1 n(OR2)p(O(O)CR3)4-(n+p)Si, 여기서 R1은 독립적으로 H 또는 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2 및 R3은 독립적으로 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; n은 0 내지 4이고; p는 0 내지 4이며, 하나 이상의 R1은 C3 이상의 탄화수소로 치환된다;
    g) R1 n(OR2)p(O(O)CR4)3-n-pSi-O-SiR3 m(O(O)CR5)q(OR6)3-m-q, 여기서 R1 및 R3은 독립적으로 H 또는 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2, R4, R5 및 R6은 독립적으로 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; n은 0 내지 3이고; m은 0 내지 3이며; q는 0 내지 3이고; p는 0 내지 3이며, n+p ≤ 3이고 m+q ≤ 3이며, 하나 이상의 R1 및 R3은 C3 이상의 탄화수소로 치환된다;
    h) R1 n(OR2)p(O(O)CR4)3-n-pSi-SiR3 m(O(O)CR5)q(OR6)3-m-q, 여기서 R1 및 R3은 독립적으로 H 또는 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2, R4, R5 및 R6은 독립적으로 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; n은 0 내지 3이고; m은 0 내지 3이며; q는 0 내지 3이고; p는 0 내지 3이며, n+p ≤ 3이고 m+q ≤ 3이며, 하나 이상의 R1 및 R3은 C3 이상의 탄화수소로 치환된다;
    i) R1 n(OR2)p(O(O)CR4)3-n-pSi-R7-SiR3 m(O(O)CR5)q(OR6)3-m-q, 여기서 R1 및 R3은 독립적으로 H 또는 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2, R4, R5, R6, 및 R7은 독립적으로 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며, 택일적으로, R7은 아민 또는 유기아민기이고; n은 0 내지 3이며; m은 0 내지 3이고; q는 0 내지 3이며; p는 0 내지 3이고, n+p ≤ 3이며 m+q ≤ 3이고, 하나 이상의 R1, R3 및 R7은 C3 이상의 탄화수소로 치환된다;
    j) (R1 n(OR2)p(O(O)CR3)3-(n+p)Si)tCH4-t, 여기서 R1은 독립적으로 H 또는 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2 및 R3은 독립적으로 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; n은 0 내지 3이고; p는 0 내지 3이며; t는 1 내지 4이고, n+p ≤ 4이며, 하나 이상의 R1은 C3 이상의 탄화수소로 치환된다;
    k) (R1 n(OR2)p(O(O)CR3)3-(n+p)Si)tNH3-t, 여기서 R1은 독립적으로 H 또는 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2 및 R3은 독립적으로 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; n은 0 내지 3이고; p는 0 내지 3이며; t는 1 내지 3이고, n+p ≤ 4이며, 하나 이상의 R1은 C3 이상의 탄화수소로 치환된다;
    l) 화학식 (OSiR1R3)x의 고리형 실록산, 여기서 R1 및 R3은 독립적으로 H 또는 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; x는 2 내지 8의 정수이며, 하나 이상의 R1 및 R3은 C3 이상의 탄화수소로 치환된다;
    m) 화학식 (NR1SiR1R3)x의 고리형 실라잔, 여기서 R1 및 R3은 독립적으로 H 또는 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; x는 2 내지 8의 정수이며, 하나 이상의 R1 및 R3은 C3 이상의 탄화수소로 치환된다;
    n) 화학식 (CR1R3SiR1R3)x의 고리형 카보실란, 여기서 R1 및 R3은 독립적으로 H 또는 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; x는 2 내지 8의 정수이며, 하나 이상의 R1 및 R3은 C3 이상의 탄화수소로 치환된다;
    o) 화학식 R1 n(OR2)p(NR3)4-(n+p)Si, 여기서 R1은 독립적으로 H 또는 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2 및 R3은 독립적으로 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; n은 0 내지 4이고; p는 0 내지 4이며; 하나 이상의 R1은 C3 이상의 탄화수소로 치환된다;
    p) 화학식 R1 n(OR2)p(NR4)3-n-pSi-O-SiR3 m(NR5)q(OR6)3-m-q, 여기서 R1 및 R3은 독립적으로 H 또는 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2, R4, R5 및 R6은 독립적으로 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또 는 전부가 플루오르화된 탄화수소이며; n은 0 내지 3이고; m은 0 내지 3이며; q는 0 내지 3이고; p는 0 내지 3이며, n+p ≤ 3이고, m+q ≤ 3이며, 하나 이상의 R1 및 R3은 C3 이상의 탄화수소로 치환된다;
    q) 화학식 R1 n(OR2)p(NR4)3-n-pSi-SiR3 m(NR5)q(OR6)3-m-q, 여기서 R1 및 R3은 독립적으로 H 또는 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2, R4, R5 및 R6은 독립적으로 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; n은 0 내지 3이고; m은 0 내지 3이며; q는 0 내지 3이고; p는 0 내지 3이며, n+p ≤ 3이고, m+q ≤ 3이며, 하나 이상의 R1 및 R3은 C3 이상의 탄화수소로 치환된다;
    r) 화학식 R1 n(OR2)p(NR4)3-n-pSi-R7-SiR3 m(NR5)q(OR6)3-m-q, 여기서 R1 및 R3은 독립적으로 H 또는 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2, R4, R5, R6, 및 R7은 독립적으로 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며, 택일적으로, R7은 아민 또는 유기아민기이고; n은 0 내지 3이며; m은 0 내지 3이고; q는 0 내지 3이며; p는 0 내지 3이고, n+p ≤ 3이며 m+q ≤ 3이고, 하나 이상의 R1, R3 및 R7은 C3 이상의 탄화수소로 치환된다;
    s) 화학식 (R1 n(OR2)p(NR3)3-(n+p)Si)tCH4-t, 여기서 R1은 독립적으로 H 또는 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2 및 R3은 독립적으로 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; n은 0 내지 4이고; p는 0 내지 4이며; t는 1 내지 4이고, n+p ≤ 4이며, 하나 이상의 R1은 C3 이상의 탄화수소로 치환된다;
    t) 화학식 (R1 n(OR2)p(NR3)3-(n+p)Si)tNH3-t, 여기서 R1은 독립적으로 H 또는 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2 및 R3은 독립적으로 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; n은 0 내지 3이고; p는 0 내지 3이며; t는 1 내지 3이고, n+p ≤ 4이 며, 하나 이상의 R1은 C3 이상의 탄화수소로 치환된다;
    u) 화학식 (OSi(R1)a(OR2)b(NR3)c)x의 고리형 실록산, 여기서 R1, R2 및 R3은 독립적으로 H 또는 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; x는 2 내지 8의 정수이며; a, b, 및 c는 0 내지 2이고 a+b+c = 2이며, 하나 이상의 R1, R2, 및 R3은 C3 이상의 탄화수소로 치환된다;
    v) 화학식 (NR1Si(R1)a(OR2)b(NR3)c)x의 고리형 실라잔, 여기서 R1, R2 및 R3은 독립적으로 H 또는 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고, x는 2 내지 8의 정수이며; a, b, 및 c는 0 내지 2이고 a+b+c = 2이며, 하나 이상의 R1 및 R3은 C3 이상의 탄화수소로 치환되고;
    w) 화학식 (CR1R3Si(OR2)b(NR3)c)x의 고리형 카보실란, 여기서 R1, R2, 및 R3은 독립적으로 H 또는 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고, x는 2 내지 8의 정수이며; b 및 c는 0 내지 2이고 b+c = 2이며, 하나 이상의 R1, R2, 및 R3은 C3 이상의 탄화수소로 치환된다.
  12. 제 11 항에 있어서, 하나 이상의 전구물질이 다음으로 이루어진 군으로부터 하나 이상 선택되는 방법:
    1-네오헥실-1,3,5,7-테트라메틸싸이클로테트라실록산, 디메틸아미노-t-부톡시-네오-헥실실란, 디에톡시-네오-헥실실란, 1,3-디에틸아미노-1,3-디-t-부톡시-1-네오헥실디실록산, 1,3-디에톡시-1,3-디페닐디실록산, 1,2-디프로필아미노-1,2-디-t-부톡시-1-네오헥실디실란, 1,2-디에톡시-1-네오-헥실디실란, 및 1,4-비스(디메톡시실릴)싸이클로헥산.
  13. 반도체 장치의 제조 동안에 형성된 구조체로서, 아래를 포함하는 구조체:
    기판 상에 형성된 패턴화될 수 있는 층;
    패턴화될 수 있는 층 위에 형성된 반사방지 코팅; 및
    반사방지 코팅 위에 형성된 포토레지스트 패턴,
    여기서 반사방지 코팅은 화학식 SivOwCxNuHyFz에 의해 나타내어지고, 여기서 v+w+x+u+y+z = 100%이며, v는 1 내지 35 원자%이고, w는 1 내지 40 원자%이며, x는 5 내지 80 원자%이고, u는 0 내지 50 원자 %이며, y는 10 내지 50 원자%이고 z는 0 내지 15 원자%이며,
    여기서 반사방지 코팅은 (1) 유기실란, 유기실록산, 및 아미노실란으로 이루어진 군으로부터 선택되는 하나 이상의 전구물질; 및 (2) 탄화수소의 화학증착법에 의해 형성되며, 여기서 탄화수소는 실질적으로 반사방지 코팅으로부터 제거되지 않는다.
  14. 제 13 항에 있어서, 유전층이 다공성인 구조체.
  15. 제 13 항에 있어서, 반사방지 코팅이 하드마스크인 구조체.
  16. 제 13 항에 있어서, 반사방지 코팅을 아미노실란 및 탄화수소를 포함하는 조성물의 화학증착법에 의해 형성하는 구조체.
  17. 제 16 항에 있어서, 아미노실란이 비스(tert-부틸아미노)실란인 구조체.
  18. 제 13 항에 있어서, 탄화수소가 하나 이상의 전구물질과 다른 구조체.
  19. 제 18 항에 있어서, 하나 이상의 전구물질이 다음으로 이루어진 군으로부터 하나 이상 선택되는 구조체:
    (a) 화학식 R1 n(OR2)p(O(O)CR3)4-(n+p)Si, 여기서 R1은 독립적으로 H 또는 C1 내 지 C4 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2는 독립적으로 C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; R3은 독립적으로 H, C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이고; n은 0 내지 4이며; p는 0 내지 4이다;
    (b) 화학식 R1 n(OR2)p(O(O)CR4)3-n-pSi-O-SiR3 m(O(O)CR5)q(OR6)3-m-q, 여기서 R1 및 R3는 독립적으로 H 또는 C1 내지 C4 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2 및 R6은 독립적으로 C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; R4 및 R5는 독립적으로 H, C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이고; n은 0 내지 3이며; m은 0 내지 3이고; q는 0 내지 3이며; p는 0 내지 3이고, n+p ≤ 3이고 m+q ≤ 3이다;
    (c) 화학식 R1 n(OR2)p(O(O)CR4)3-n-pSi-SiR3 m(O(O)CR5)q(OR6)3-m-q, 여기서 R1 및 R3 는 독립적으로 H 또는 C1 내지 C4 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2 및 R6은 독립적으로 C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; R4 및 R5는 독립적으로 H, C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이고; n은 0 내지 3이며; m은 0 내지 3이고; q는 0 내지 3이며; p는 0 내지 3이고, n+p ≤ 3이고 m+q ≤ 3이다;
    (d) 화학식 R1 n(OR2)p(O(O)CR4)3-n-pSi-R7-SiR3 m(O(O)CR5)q(OR6)3-m-q, 여기서 R1 및 R3은 독립적으로 H 또는 C1 내지 C4 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2, R6 및 R7은 독립적으로 C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며, 택일적으로, R7은 아민 또는 유기아민기이고; R4 및 R5는 독립적으로 H, C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; n은 0 내지 3이고; m은 0 내지 3이며; q는 0 내지 3이고; p는 0 내지 3이며, n+p ≤ 3 이고 m+q ≤ 3이다;
    (e) 화학식 (R1 n(OR2)p(O(O)CR3)3-(n+p)Si)tCH4-t, 여기서 R1은 독립적으로 H 또는 C1 내지 C4 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2는 독립적으로 C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; R3은 독립적으로 H, C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이고; n은 0 내지 3이며; p는 0 내지 3이고; t는 2 내지 4이며, n+p ≤4이다;
    (f) 화학식 (R1 n(OR2)p(O(O)CR3)3-(n+p)Si)tNH3-t, 여기서 R1은 독립적으로 H 또는 C1 내지 C4 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2는 독립적으로 C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; R3는 독립적으로 H, C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이고; n은 0 내지 3이며; p는 0 내지 3이고; t는 1 내지 3이며, n+p ≤ 4이다;
    (g) 화학식 (OSiR1R3)x의 고리형 실록산, 여기서 R1 및 R3은 독립적으로 H, C1 내지 C4 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; x는 2 내지 8의 정수이다;
    (h) 화학식 (NR1SiR1R3)x의 고리형 실라잔, 여기서 R1 및 R3은 독립적으로 H, C1 내지 C4 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; x는 2 내지 8의 정수이다;
    (i) 화학식 (CR1R3SiR1R3)x의 고리형 카보실란, 여기서 R1 및 R3은 독립적으로 H, C1 내지 C4 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; x는 2 내지 8의 정수이다;
    (k) 화학식 R1 n(OR2)p(NR3)4-(n+p)Si, 여기서 R1은 독립적으로 H 또는 C1 내지 C4 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2는 독립적으로 C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; R3는 독립적으로 H, C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이고; n은 0 내지 3이며; p는 0 내지 3이다;
    (l) 화학식 R1 n(OR2)p(NR4)3-n-pSi-O-SiR3 m(NR5)q(OR6)3-m-q, 여기서 R1 및 R3은 독립적으로 H 또는 C1 내지 C4 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2 및 R6은 독립적으로 C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; R4 및 R5는 독립적으로 H, C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이고; n은 0 내지 3이며; m은 0 내지 3이고; q는 0 내지 3이며; p는 0 내지 3이고, n+p ≤ 3이며 m+q ≤ 3이다;
    (m) 화학식 R1 n(OR2)p(NR4)3-n-pSi-SiR3 m(NR5)q(OR6)3-m-q, 여기서 R1 및 R3은 독립적으로 H 또는 C1 내지 C4 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2 및 R6은 독립적으로 C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; R4 및 R5는 독립적으로 H, C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화 된 탄화수소이고; n은 0 내지 3이며; m은 0 내지 3이고; q는 0 내지 3이며; p는 0 내지 3이고, n+p ≤ 3이며 m+q ≤ 3이다;
    (n) 화학식 R1 n(OR2)p(NR4)3-n-pSi-R7-SiR3 m(NR5)q(OR6)3-m-q, 여기서 R1 및 R3은 독립적으로 H 또는 C1 내지 C4 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2, R6 및 R7은 독립적으로 C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며, 택일적으로, R7은 아민 또는 유기아민기이고; R4 및 R5는 독립적으로 H, C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; n은 0 내지 3이며; m은 0 내지 3이고; q는 0 내지 3이며; p는 0 내지 3이고, n+p ≤ 3이며 m+q ≤ 3이다;
    (o) 화학식 (R1 n(OR2)p(NR3)3-(n+p)Si)tCH4-t, 여기서 R1은 독립적으로 H 또는 C1 내지 C4 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2는 독립적으로 C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화 수소이며; R3은 독립적으로 H, C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이고; n은 0 내지 3이며; p는 0 내지 3이고; t는 1 내지 4이며, n+p ≤ 4이다;
    (p) 화학식 (R1 n(OR2)p(NR3)3-(n+p)Si)tNH3-t, 여기서 R1은 독립적으로 H 또는 C1 내지 C4 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2는 독립적으로 C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; R3은 독립적으로 H, C1 내지 C6 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이고; n은 0 내지 3이며; p는 0 내지 3이고; t는 1 내지 3이며, n+p ≤ 4이다.
  20. 제 19 항에 있어서, 하나 이상의 전구물질이 다음으로 이루어진 군으로부터 하나 이상 선택되는 구조체:
    디에톡시메틸실란, 디메틸디메톡시실란, 디메틸디아세톡시실란, 메틸아세톡시-t-부톡시실란, 1,3-디메틸-1,3-디에톡시디실록산, 1,3-디메틸-1,3-디아세톡시디실록산, 1,3-디메틸-1-아세톡시-3-에톡시디실록산, 1,3-디메틸-1,3-디아세톡시-1,3-디에톡시디실록산, 1,2-디메틸-1,1,2,2-테트라에톡시디실란, 1,2-디메틸- 1,1,2,2-테트라아세톡시디실란, 1,2-디메틸-1-아세톡시-2-에톡시디실란, 1,2-디메틸-1,2-디아세톡시-1,2-디에톡시디실란, 1,3,5,7-테트라메틸싸이클로테트라실록산, 및 옥타메틸싸이클로테트라실록산.
  21. 제 20 항에 있어서, 탄화수소가 다음으로 이루어진 군으로부터 하나 이상 선택되는 구조체:
    싸이클로헥산, 트리메틸싸이클로헥산, 1-메틸-4(1-메틸에틸)싸이클로헥산, 싸이클로옥탄, 메틸싸이클로옥탄, 싸이클로옥텐, 싸이클로옥타디엔, 싸이클로헵텐, 싸이클로펜텐, 싸이클로헥센, 및 1,5,9-싸이클로도데카트리엔, 에틸렌, 프로필렌, 아세틸렌, 네오헥산, 싸이클로헥센, 비닐싸이클로헥산, 디메틸싸이클로헥센, t-부틸싸이클로헥센, α-테르핀, 피넨, 1,5-디메틸-1,5-싸이클로옥타디엔, 비닐-싸이클로헥센, 노르보난, 스파이로-노난, 데카하이드로나프탈렌, 캄펜, 노르보넨, 노르보나디엔, 및 아다멘탄.
  22. 제 13 항에 있어서, 탄화수소 및 하나 이상의 전구물질이 동일한 분자인 구조체.
  23. 제 22 항에 있어서, 하나 이상의 전구물질이 다음으로 이루어진 군으로부터 하나 이상 선택되는 구조체:
    a) 화학식 (OSiR1R3)x의 고리형 실록산, 여기서 R1 및 R3은 독립적으로 H, C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; x는 2 내지 8의 정수이다;
    b) R1 n(OR2)p(NR3)4-(n+p)Si, 여기서 R1은 독립적으로 H, C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2는 독립적으로 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; R3은 독립적으로 H, C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이고; n은 0 내지 4이며; p는 0 내지 4이다;
    c) R1 n(OR2)p(NR4)3-n-pSi-O-SiR3 m(NR5)q(OR6)3-m-q, 여기서 R1 및 R3은 독립적으로 H, C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2 및 R6은 독립적으로 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; R4 R5는 독립적으로 H, C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이고; n은 0 내지 3이며; m은 0 내지 3이고; p는 0 내지 3이며; q는 0 내지 3이다;
    d) R1 n(OR2)p(NR4)3-n-pSi-SiR3 m(NR5)q(OR6)3-m-q, 여기서 R1 및 R3은 독립적으로 H, C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2 및 R6은 독립적으로 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; R4 R5는 독립적으로 H, C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이고; n은 0 내지 3이며; m은 0 내지 3이고; p는 0 내지 3이며; q는 0 내지 3이다;
    e) 화학식 (OSi(R1)a(OR2)b(NR3)cx의 고리형 실록산, 여기서 R1, R2 및 R3은 독립적으로 H, C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; a, b, 및 c는 0 내지 2이며 a+b+c = 2이고; x는 2 내지 8의 정수이다;
    f) R1 n(OR2)p(O(O)CR3)4-(n+p)Si, 여기서 R1은 독립적으로 H 또는 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오 르화된 탄화수소이고; R2 및 R3은 독립적으로 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; n은 0 내지 4이고; p는 0 내지 4이며, 하나 이상의 R1은 C3 이상의 탄화수소로 치환된다;
    g) R1 n(OR2)p(O(O)CR4)3-n-pSi-O-SiR3 m(O(O)CR5)q(OR6)3-m-q, 여기서 R1 및 R3은 독립적으로 H 또는 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2, R4, R5 및 R6은 독립적으로 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; n은 0 내지 3이고; m은 0 내지 3이며; q는 0 내지 3이고; p는 0 내지 3이며, n+p ≤ 3이고 m+q ≤ 3이며, 하나 이상의 R1 및 R3은 C3 이상의 탄화수소로 치환된다;
    h) R1 n(OR2)p(O(O)CR4)3-n-pSi-SiR3 m(O(O)CR5)q(OR6)3-m-q, 여기서 R1 및 R3은 독립적으로 H 또는 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2, R4, R5 및 R6은 독립적으로 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; n은 0 내지 3이고; m은 0 내지 3이며; q는 0 내지 3이고; p는 0 내지 3이며, n+p ≤ 3이고 m+q ≤ 3이며, 하나 이상의 R1 및 R3은 C3 이상의 탄화수소로 치환된다;
    i) R1 n(OR2)p(O(O)CR4)3-n-pSi-R7-SiR3 m(O(O)CR5)q(OR6)3-m-q, 여기서 R1 및 R3은 독립적으로 H 또는 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2, R4, R5, R6, 및 R7은 독립적으로 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며, 택일적으로, R7은 아민 또는 유기아민기이고; n은 0 내지 3이며; m은 0 내지 3이고; q는 0 내지 3이며; p는 0 내지 3이고, n+p ≤ 3이며 m+q ≤ 3이고, 하나 이상의 R1, R3 및 R7은 C3 이상의 탄화수소로 치환된다;
    j) (R1 n(OR2)p(O(O)CR3)3-(n+p)Si)tCH4-t, 여기서 R1은 독립적으로 H 또는 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플 루오르화된 탄화수소이고; R2 및 R3은 독립적으로 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; n은 0 내지 3이고; p는 0 내지 3이며; t는 1 내지 4이고, n+p ≤ 4이며, 하나 이상의 R1은 C3 이상의 탄화수소로 치환된다;
    k) (R1 n(OR2)p(O(O)CR3)3-(n+p)Si)tNH3-t, 여기서 R1은 독립적으로 H 또는 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2 및 R3은 독립적으로 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; n은 0 내지 3이고; p는 0 내지 3이며; t는 1 내지 3이고, n+p ≤ 4이며, 하나 이상의 R1은 C3 이상의 탄화수소로 치환된다;
    l) 화학식 (OSiR1R3)x의 고리형 실록산, 여기서 R1 및 R3은 독립적으로 H 또는 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; x는 2 내지 8의 정수이며, 하나 이상의 R1 및 R3은 C3 이상의 탄화수소로 치환된다;
    m) 화학식 (NR1SiR1R3)x의 고리형 실라잔, 여기서 R1 및 R3은 독립적으로 H 또는 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; x는 2 내지 8의 정수이며, 하나 이상의 R1 및 R3은 C3 이상의 탄화수소로 치환된다;
    n) 화학식 (CR1R3SiR1R3)x의 고리형 카보실란, 여기서 R1 및 R3은 독립적으로 H 또는 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; x는 2 내지 8의 정수이며, 하나 이상의 R1 및 R3은 C3 이상의 탄화수소로 치환된다;
    o) 화학식 R1 n(OR2)p(NR3)4-(n+p)Si, 여기서 R1은 독립적으로 H 또는 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2 및 R3은 독립적으로 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; n은 0 내지 4이고; p는 0 내지 4이며; 하나 이상의 R1은 C3 이상의 탄화수소로 치환된다;
    p) 화학식 R1 n(OR2)p(NR4)3-n-pSi-O-SiR3 m(NR5)q(OR6)3-m-q, 여기서 R1 및 R3은 독립적으로 H 또는 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2, R4, R5 및 R6은 독립적으로 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; n은 0 내지 3이고; m은 0 내지 3이며; q는 0 내지 3이고; p는 0 내지 3이며, n+p ≤ 3이고, m+q ≤ 3이며, 하나 이상의 R1 및 R3은 C3 이상의 탄화수소로 치환된다;
    q) 화학식 R1 n(OR2)p(NR4)3-n-pSi-SiR3 m(NR5)q(OR6)3-m-q, 여기서 R1 및 R3은 독립적으로 H 또는 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2, R4, R5 및 R6은 독립적으로 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; n은 0 내지 3이고; m은 0 내지 3이며; q는 0 내지 3이고; p는 0 내지 3이며, n+p ≤ 3이고, m+q ≤ 3이며, 하나 이상의 R1 및 R3은 C3 이상의 탄화수소로 치환된다;
    r) 화학식 R1 n(OR2)p(NR4)3-n-pSi-R7-SiR3 m(NR5)q(OR6)3-m-q, 여기서 R1 및 R3은 독립적으로 H 또는 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2, R4, R5, R6, 및 R7은 독립적으로 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며, 택일적으로, R7은 아민 또는 유기아민기이고; n은 0 내지 3이며; m은 0 내지 3이고; q는 0 내지 3이며; p는 0 내지 3이고, n+p ≤ 3이며 m+q ≤ 3이고, 하나 이상의 R1, R3 및 R7은 C3 이상의 탄화수소로 치환된다;
    s) 화학식 (R1 n(OR2)p(NR3)3-(n+p)Si)tCH4-t, 여기서 R1은 독립적으로 H 또는 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2 및 R3은 독립적으로 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; n은 0 내지 4이고; p는 0 내지 4이며; t는 1 내지 4이고, n+p ≤ 4이며, 하나 이상의 R1은 C3 이상의 탄화수소로 치환된다;
    t) 화학식 (R1 n(OR2)p(NR3)3-(n+p)Si)tNH3-t, 여기서 R1은 독립적으로 H 또는 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; R2 및 R3은 독립적으로 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 방향족, 일부 또는 전부가 플루오르화된 탄화수소이며; n은 0 내지 3이고; p는 0 내지 3이며; t는 1 내지 3이고, n+p ≤ 4이며, 하나 이상의 R1은 C3 이상의 탄화수소로 치환된다;
    u) 화학식 (OSi(R1)a(OR2)b(NR3)c)x의 고리형 실록산, 여기서 R1, R2 및 R3은 독립적으로 H 또는 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고; x는 2 내지 8의 정수이며; a, b, 및 c는 0 내지 2이고 a+b+c = 2이며, 하나 이상의 R1, R2, 및 R3은 C3 이상의 탄화수소로 치환된다;
    v) 화학식 (NR1Si(R1)a(OR2)b(NR3)c)x의 고리형 실라잔, 여기서 R1, R2 및 R3은 독립적으로 H 또는 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고, x는 2 내지 8의 정수이며; a, b, 및 c는 0 내지 2이고 a+b+c = 2이며, 하나 이상의 R1 및 R3은 C3 이상의 탄화수소로 치환되고;
    w) 화학식 (CR1R3Si(OR2)b(NR3)c)x의 고리형 카보실란, 여기서 R1, R2, 및 R3은 독립적으로 H 또는 C1 내지 C12 선형 또는 가지형, 포화, 단일 또는 다중 불포화, 고리형, 일부 또는 전부가 플루오르화된 탄화수소이고, x는 2 내지 8의 정수이며; b 및 c는 0 내지 2이고 b+c = 2이며, 하나 이상의 R1, R2, 및 R3은 C3 이상의 탄화수소로 치환된다.
  24. 제 23 항에 있어서, 하나 이상의 전구물질이 다음으로 이루어진 군으로부터 하나 이상 선택되는 구조체:
    1-네오헥실-1,3,5,7-테트라메틸싸이클로테트라실록산, 디메틸아미노-t-부톡시-네오-헥실실란, 디에톡시-네오-헥실실란, 1,3-디에틸아미노-1,3-디-t-부톡시-1-네오헥실디실록산, 1,3-디에톡시-1,3-디페닐디실록산, 1,2-디프로필아미노-1,2-디-t-부톡시-1-네오헥실디실란, 1,2-디에톡시-1-네오-헥실디실란, 및 1,4-비스(디메톡시실릴)싸이클로헥산.
KR1020080100310A 2007-10-12 2008-10-13 반사방지 코팅 KR20090037841A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US97958507P 2007-10-12 2007-10-12
US60/979,585 2007-10-12
US12/244,426 2008-10-02
US12/244,426 US20090096106A1 (en) 2007-10-12 2008-10-02 Antireflective coatings

Publications (1)

Publication Number Publication Date
KR20090037841A true KR20090037841A (ko) 2009-04-16

Family

ID=40263513

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080100310A KR20090037841A (ko) 2007-10-12 2008-10-13 반사방지 코팅

Country Status (5)

Country Link
US (1) US20090096106A1 (ko)
EP (1) EP2048700A3 (ko)
JP (1) JP2009117817A (ko)
KR (1) KR20090037841A (ko)
TW (1) TW200916967A (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20110113472A (ko) * 2010-04-09 2011-10-17 한국생산기술연구원 하드마스크용 화합물 및 이를 포함하는 하드마스크 조성물

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110146787A1 (en) * 2008-05-28 2011-06-23 Sebastien Allen Silicon carbide-based antireflective coating
US20100051096A1 (en) * 2008-08-26 2010-03-04 Sixtron Advanced Materials, Inc. Silicon carbonitride antireflective coating
US20100186811A1 (en) * 2008-08-26 2010-07-29 Sixtron Advanced Materials, Inc. Silicon Carbonitride Antireflective Coating
FR2949775B1 (fr) 2009-09-10 2013-08-09 Saint Gobain Performance Plast Substrat de protection pour dispositif collecteur ou emetteur de rayonnement
FR2949776B1 (fr) 2009-09-10 2013-05-17 Saint Gobain Performance Plast Element en couches pour l'encapsulation d'un element sensible
FR2973939A1 (fr) 2011-04-08 2012-10-12 Saint Gobain Element en couches pour l’encapsulation d’un element sensible
CN102899633B (zh) * 2012-09-27 2014-05-21 东方电气集团(宜兴)迈吉太阳能科技有限公司 一种选择性发射极电池掩膜的制备方法
US9356357B2 (en) * 2013-01-11 2016-05-31 Sabic Global Technologies B.V. Methods and compositions for destructive interference
EP2770373A1 (en) * 2013-02-20 2014-08-27 Imec Conformal anti-reflective coating
WO2015105350A1 (en) 2014-01-08 2015-07-16 Dnf Co.,Ltd. Novel cyclodisilazane derivative, method for preparing the same and silicon-containing thin film using the same
WO2015127459A1 (en) 2014-02-24 2015-08-27 Tokyo Electron Limited Methods and techniques to use with photosensitized chemically amplified resist chemicals and processes
KR20160018271A (ko) * 2014-08-08 2016-02-17 삼성전자주식회사 방사선 검출기
WO2016049154A1 (en) 2014-09-23 2016-03-31 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Carbosilane substituted amine precursors for deposition of si-containing films and methods thereof
TWI716333B (zh) 2015-03-30 2021-01-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 碳矽烷與氨、胺類及脒類之觸媒去氫耦合
US10048594B2 (en) 2016-02-19 2018-08-14 Tokyo Electron Limited Photo-sensitized chemically amplified resist (PS-CAR) model calibration
US10429745B2 (en) 2016-02-19 2019-10-01 Osaka University Photo-sensitized chemically amplified resist (PS-CAR) simulation
TWI724141B (zh) 2016-03-23 2021-04-11 法商液態空氣喬治斯克勞帝方法硏究開發股份有限公司 形成含矽膜之組成物及其製法與用途
US10551743B2 (en) 2016-05-13 2020-02-04 Tokyo Electron Limited Critical dimension control by use of photo-sensitized chemicals or photo-sensitized chemically amplified resist
KR102177192B1 (ko) 2016-05-13 2020-11-10 도쿄엘렉트론가부시키가이샤 광 작용제의 사용에 의한 임계 치수 제어
US9748175B1 (en) * 2016-11-18 2017-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive structure in semiconductor structure and method for forming the same
US11105960B2 (en) 2017-12-19 2021-08-31 Canon Kabushiki Kaisha Optical element and method of producing the element, and optical instrument
KR102540963B1 (ko) 2017-12-27 2023-06-07 삼성전자주식회사 미세 패턴 형성 방법 및 기판 처리 장치
US11245065B1 (en) 2018-03-22 2022-02-08 Facebook Technologies, Llc Electroactive polymer devices, systems, and methods
US10962791B1 (en) 2018-03-22 2021-03-30 Facebook Technologies, Llc Apparatuses, systems, and methods for fabricating ultra-thin adjustable lenses
US10914871B2 (en) 2018-03-29 2021-02-09 Facebook Technologies, Llc Optical lens assemblies and related methods
US11043373B2 (en) * 2018-07-31 2021-06-22 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect system with improved low-k dielectrics
EP3845683A4 (en) 2018-08-31 2021-11-17 Lg Chem, Ltd. PROCESS FOR THE PRODUCTION OF FILM WITH DECORATIVE ELEMENTS
KR102507549B1 (ko) * 2018-08-31 2023-03-07 주식회사 엘지화학 장식 부재의 제조방법 및 장식 부재
WO2021034567A1 (en) 2019-08-16 2021-02-25 Tokyo Electron Limited Method and process for stochastic driven defectivity healing

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4896004A (en) 1987-10-09 1990-01-23 White Consolidated Industries, Inc. Low-profile range control switch
KR0134942B1 (ko) * 1993-06-11 1998-06-15 이다가끼 유끼오 비정질 경질 탄소막 및 그 제조 방법
JPH10190031A (ja) * 1996-12-20 1998-07-21 Tdk Corp 太陽電池およびその製造方法
US6020458A (en) * 1997-10-24 2000-02-01 Quester Technology, Inc. Precursors for making low dielectric constant materials with improved thermal stability
US6124820A (en) 1997-11-20 2000-09-26 National Semiconductor Corporation Error correction architecture for pipeline analog to digital converters
US6303523B2 (en) * 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6159871A (en) * 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6054206A (en) * 1998-06-22 2000-04-25 Novellus Systems, Inc. Chemical vapor deposition of low density silicon dioxide films
US6316167B1 (en) * 2000-01-10 2001-11-13 International Business Machines Corporation Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof
US6635583B2 (en) * 1998-10-01 2003-10-21 Applied Materials, Inc. Silicon carbide deposition for use as a low-dielectric constant anti-reflective coating
US6171945B1 (en) * 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
WO2000040680A2 (en) * 1999-01-06 2000-07-13 Union Carbide Chemicals & Plastics Technology Corporation Aqueous cleaning compositions
US6828289B2 (en) * 1999-01-27 2004-12-07 Air Products And Chemicals, Inc. Low surface tension, low viscosity, aqueous, acidic compositions containing fluoride and organic, polar solvents for removal of photoresist and organic and inorganic etch residues at room temperature
KR100307629B1 (ko) * 1999-04-30 2001-09-26 윤종용 하이드로 카본계의 가스를 이용한 반사방지막의 형성 및 적용방법
US6312793B1 (en) * 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US6875687B1 (en) * 1999-10-18 2005-04-05 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
US6936405B2 (en) * 2000-02-22 2005-08-30 Brewer Science Inc. Organic polymeric antireflective coatings deposited by chemical vapor deposition
KR100610406B1 (ko) * 2000-02-22 2006-08-09 브레우어 사이언스 인코포레이션 화학적 증착에 의해 증착된 유기 중합체 반사 방지 코팅
EP1127929B1 (en) 2000-02-28 2009-04-15 JSR Corporation Composition for film formation, method of film formation, and silica-based film
US6441491B1 (en) * 2000-10-25 2002-08-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
SG98468A1 (en) * 2001-01-17 2003-09-19 Air Prod & Chem Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6583048B2 (en) * 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US20030022800A1 (en) * 2001-06-14 2003-01-30 Peters Darryl W. Aqueous buffered fluoride-containing etch residue removers and cleaners
DE10131680A1 (de) * 2001-06-29 2003-01-23 Voith Paper Patent Gmbh Auftragsvorrichtung
US6627546B2 (en) * 2001-06-29 2003-09-30 Ashland Inc. Process for removing contaminant from a surface and composition useful therefor
US6943142B2 (en) * 2002-01-09 2005-09-13 Air Products And Chemicals, Inc. Aqueous stripping and cleaning composition
US6846515B2 (en) * 2002-04-17 2005-01-25 Air Products And Chemicals, Inc. Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
US6852474B2 (en) * 2002-04-30 2005-02-08 Brewer Science Inc. Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition
US7307343B2 (en) * 2002-05-30 2007-12-11 Air Products And Chemicals, Inc. Low dielectric materials and methods for making same
US7122880B2 (en) * 2002-05-30 2006-10-17 Air Products And Chemicals, Inc. Compositions for preparing low dielectric materials
US6677286B1 (en) * 2002-07-10 2004-01-13 Air Products And Chemicals, Inc. Compositions for removing etching residue and use thereof
US7166419B2 (en) * 2002-09-26 2007-01-23 Air Products And Chemicals, Inc. Compositions substrate for removing etching residue and use thereof
US6855645B2 (en) * 2002-12-30 2005-02-15 Novellus Systems, Inc. Silicon carbide having low dielectric constant
TWI240959B (en) * 2003-03-04 2005-10-01 Air Prod & Chem Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US6869542B2 (en) * 2003-03-12 2005-03-22 International Business Machines Corporation Hard mask integrated etch process for patterning of silicon oxide and other dielectric materials
US8137764B2 (en) * 2003-05-29 2012-03-20 Air Products And Chemicals, Inc. Mechanical enhancer additives for low dielectric films
JP4700929B2 (ja) * 2003-06-03 2011-06-15 信越化学工業株式会社 反射防止膜材料、これを用いた反射防止膜及びパターン形成方法
US20050067702A1 (en) * 2003-09-30 2005-03-31 International Business Machines Corporation Plasma surface modification and passivation of organo-silicate glass films for improved hardmask adhesion and optimal RIE processing
US20050287747A1 (en) * 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
US7238560B2 (en) * 2004-07-23 2007-07-03 Cree, Inc. Methods of fabricating nitride-based transistors with a cap layer and a recessed gate
JP4540447B2 (ja) * 2004-10-27 2010-09-08 シャープ株式会社 太陽電池および太陽電池の製造方法
US20060183055A1 (en) * 2005-02-15 2006-08-17 O'neill Mark L Method for defining a feature on a substrate
JP2006242974A (ja) * 2005-02-28 2006-09-14 Sony Corp 反射防止膜及び露光方法
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7601652B2 (en) * 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20110113472A (ko) * 2010-04-09 2011-10-17 한국생산기술연구원 하드마스크용 화합물 및 이를 포함하는 하드마스크 조성물

Also Published As

Publication number Publication date
EP2048700A2 (en) 2009-04-15
TW200916967A (en) 2009-04-16
EP2048700A3 (en) 2010-11-03
JP2009117817A (ja) 2009-05-28
US20090096106A1 (en) 2009-04-16

Similar Documents

Publication Publication Date Title
KR20090037841A (ko) 반사방지 코팅
KR100767255B1 (ko) 기판 상에 피쳐를 한정하는 방법
US7470454B2 (en) Non-thermal process for forming porous low dielectric constant films
KR100767246B1 (ko) 화학 증착 필름의 침착 속도를 강화시키는 방법
KR100930672B1 (ko) 실리콘계 하드마스크 조성물 및 이를 이용한 반도체집적회로 디바이스의 제조방법
US9293361B2 (en) Materials and methods of forming controlled void
KR100984195B1 (ko) 유전체 필름의 물성을 강화하기 위한 활성 화학 공정
TWI397606B (zh) 生孔劑、生孔性前驅物及使用其提供具有低介電常數之多孔性有機矽石玻璃膜的方法
US20050260420A1 (en) Low dielectric materials and methods for making same
US20030162412A1 (en) Low-dielectric silicon nitride film and method of forming the same, semiconductor device and fabrication process thereof
US8283260B2 (en) Process for restoring dielectric properties
JP2005019980A (ja) 低誘電率有機ケイ酸塩ガラス膜の作製方法
KR20070085174A (ko) 리소그래피 공정용 탑 코트
US7830012B2 (en) Material for forming exposure light-blocking film, multilayer interconnection structure and manufacturing method thereof, and semiconductor device
EP1420439B1 (en) Non-thermal process for forming porous low dielectric constant films
EP2584593A2 (en) Formation method for silicon oxynitride film, and substrate having silicon oxynitride film manufactured using same
CN101441415A (zh) 抗反射涂层
WO2020257550A1 (en) Compositions and methods using same for deposition of silicon-containing film

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application