JP2006237603A - 基材上に特徴を画定する方法 - Google Patents

基材上に特徴を画定する方法 Download PDF

Info

Publication number
JP2006237603A
JP2006237603A JP2006037889A JP2006037889A JP2006237603A JP 2006237603 A JP2006237603 A JP 2006237603A JP 2006037889 A JP2006037889 A JP 2006037889A JP 2006037889 A JP2006037889 A JP 2006037889A JP 2006237603 A JP2006237603 A JP 2006237603A
Authority
JP
Japan
Prior art keywords
porous
light absorbing
absorbing material
dielectric layer
sacrificial light
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2006037889A
Other languages
English (en)
Inventor
Mark Leonard O'neill
マーク レオナルド オニール
Scott Jeffrey Weigel
スコット ジェフリー ウェイゲル
David B Rennie
バリー レニー デイビッド
David Allen Roberts
アレン ロバーツ デイビッド
Eugene Joseph Karwacki Jr
ユージーン ジョセフ カーワッキ,ジュニア
Dougall James E Mac
エドワード マクドウガル ジェイムス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Air Products and Chemicals Inc
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of JP2006237603A publication Critical patent/JP2006237603A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61HPHYSICAL THERAPY APPARATUS, e.g. DEVICES FOR LOCATING OR STIMULATING REFLEX POINTS IN THE BODY; ARTIFICIAL RESPIRATION; MASSAGE; BATHING DEVICES FOR SPECIAL THERAPEUTIC OR HYGIENIC PURPOSES OR SPECIFIC PARTS OF THE BODY
    • A61H3/00Appliances for aiding patients or disabled persons to walk about
    • A61H3/06Walking aids for blind persons
    • A61H3/066Installations on the floor, e.g. special surfaces, to guide blind persons
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • EFIXED CONSTRUCTIONS
    • E01CONSTRUCTION OF ROADS, RAILWAYS, OR BRIDGES
    • E01CCONSTRUCTION OF, OR SURFACES FOR, ROADS, SPORTS GROUNDS, OR THE LIKE; MACHINES OR AUXILIARY TOOLS FOR CONSTRUCTION OR REPAIR
    • E01C15/00Pavings specially adapted for footpaths, sidewalks or cycle tracks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1042Formation and after-treatment of dielectrics the dielectric comprising air gaps
    • H01L2221/1047Formation and after-treatment of dielectrics the dielectric comprising air gaps the air gaps being formed by pores in the dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Rehabilitation Therapy (AREA)
  • Physical Education & Sports Medicine (AREA)
  • Veterinary Medicine (AREA)
  • Pain & Pain Management (AREA)
  • Epidemiology (AREA)
  • Animal Behavior & Ethology (AREA)
  • General Health & Medical Sciences (AREA)
  • Public Health (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【課題】半導体基材に特徴を形成するための改善された方法を提供する。
【解決手段】本方法は、基材上に多孔質の誘電体層を形成する工程;当該多孔質の誘電体層の第1の部分を除去して第1のエッチング領域を形成する工程;当該第1のエッチング領域を、前記多孔質の誘電体層と類似のドライエッチ特性を有する多孔質の犠牲光吸収材料で満たす工程;当該多孔質の犠牲光吸収材料の一部と前記多孔質の誘電体層の第2の部分を除去して第2のエッチング領域を形成する工程;及び前記多孔質の犠牲光吸収材料のエッチ速度が前記多孔質の誘電体層のエッチ速度よりも高いプロセスを用いることにより、当該多孔質の犠牲光吸収材料の残りの部分を除去する工程を含む。
【選択図】図2

Description

本発明は半導体デバイスの製造方法に関する。
より速い性能に関する要件を満たすため、集積回路デバイスの特徴の特性寸法は小さくなり続けている。特徴のサイズがより小さいデバイスを製造することは、半導体製作において従来使用されているプロセスの多くで新しい課題をもたらす。超大規模の集積半導体の配線に関連した高密度及び性能に関する要件が高くなることで、それに応じて相互接続技術の変更が必要となる。特にサブミクロンのビアコンタクト及びトレンチが小型化のために高いアスペクト比を課されている場合には、このような高い要件は、低RC(抵抗容量)の相互接続パターンの提供によって満足させることが困難であると分かっている。構成要素の密度が増大し相互接続の断面積が小さくなることによる悪影響を改善しようとする方法としては、典型的な酸化物絶縁体よりも誘電率が低い絶縁材料(「低k材料」)を使用すること、及び典型的なアルミニウム(Al)導体よりも導電率が高い導電性材料を使用することがある。銅は、典型的な現代の相互接続(IC)におけるオンチップ導体として使用するための主要材料になっている。
しかしながら、銅(Cu)は、正確なパターニング及びエッチングに対して問題がある。例えば、Cuは揮発性の塩化物又はフッ化物を容易には形成しないので、塩素及び/又はフッ素の化学作用に基づく典型的なプラズマエッチングが実用的でないほど遅くなる。したがって、銅層がフォトレジストのパターニングされた層の下で選択的にエッチングされるCuのサブトラクティブパターニングは、主として「ダマシン」又は「デュアルダマシン」パターニングで置き換えられている。結果として得られるICの構造又は特徴は、ダマシン又はデュアルダマシンの構造又は特徴と呼ばれる。
フォトレジスト層の下に位置する1つ又は複数の表面からの暴露放射線の反射の結果として、ICにおける特徴のパターニング及び製作で問題が生じることがある。例えば、フォトレジスト層の内部で生じる入射放射線と反射放射線の干渉により、不均一なフォトレジストの暴露と不正確なパターニングが起こる。さらには、暴露放射線は不均一な反射率を有する表面の形状及び領域から反射する場合があり、その結果として、フォトマスクの下に位置し暴露されることが望ましくない領域においてフォトレジストが暴露される。両方の場合において、ICの特徴の正確でかつ再現性のある製作という課題に加えて、特徴の限界寸法(CD)の変動が生じ得る。
放射線の反射によって生じる製作上の問題を排除又は低減するための通常の方法は、反射防止コーティングを使用することである。例えば、裏面反射防止コーティング(「BARC」)は、パターニングされるべき表面の上に位置するフォトレジスト層の下に通常適用される。BARC層はフォトレジスト層を通過する放射線を吸収するよう設計することができ、この機構によって下地表面からの反射の有害な作用を低減又は排除することができる。さらに、BARC層は、暴露放射線の波長において、入射放射線と反射放射線の間で弱め合う干渉が生じるようにBARCの材料及び厚さを選択して設計することができる。吸収と弱め合う干渉の両作用は、同じBARC層において利用することができる。
ダマシンパターニングは、第1のエッチング領域(例えば、ビア又はトレンチ)を、この領域が誘電体層内に形成された後、犠牲光吸収材料(「SLAM」)で満たす工程を含むことができる。このSLAMは、例えば、誘電体層と類似のドライエッチ特性と、リソグラフィーの際に基材が光を吸収できるようにする光吸収特性とを有する染色されたスピンオングラス(「SOG」)を含むことができる。第1のエッチング領域がSLAMで満たされた後、第2のエッチング領域が誘電体層内に形成される。SLAMの大部分は、第2のエッチング領域が形成されたときに除去することができる。SLAMの残りの部分は、その後のウェットエッチ工程で除去される。次に、Cu又は他の相互接続材料のブランケット堆積(必要に応じて1つ又は複数のバリア/接着層が先に堆積される)を実施することができる。堆積される金属は、絶縁体中のパターニングされた特徴を典型的に満たし、特徴間のフィールド領域をコーティングする。フィールド領域上の金属コーティングは、化学機械平坦化(「CMP」)又は他の技術によって除去することができ、更なるコーティング又は他の処理のために絶縁体中の金属で満たされた特徴を露出させることができる。したがって、Cu又は他の金属中に直接パターンをエッチングする必要なく、相互接続のパターンが1つ又は複数の絶縁層に作り出される。
多孔質の誘電体層が最近注目されている。SLAM(BARC材料を含む)を有する多孔質の誘電体材料を使用することで他の問題が生じる。例えば、当技術分野で現在用いられている高密度の誘電体材料に対して多孔質の誘電体層を使用することに関する問題は、ドライエッチ速度及び化学的な適合性が材料の特性に依存しているため、多孔質の誘電体と現行技術のSLAMに関するエッチ速度の選択性が適切にバランスされないことである。
したがって、とりわけ、多孔質の誘電体にダマシン及びデュアルダマシンの特徴を作り出すことのできる新規のSLAMに関するニーズが当技術分野で存在している。
基材に特徴を形成する方法が本明細書で開示される。本方法は、基材上に多孔質の誘電体層を形成する工程;当該多孔質の誘電体層の第1の部分を除去して第1のエッチング領域を形成する工程;当該第1のエッチング領域を、前記多孔質の誘電体層と類似のドライエッチ特性を有する多孔質の犠牲光吸収材料で満たす工程;当該多孔質の犠牲光吸収材料の一部と前記多孔質の誘電体層の第2の部分を除去して第2のエッチング領域を形成する工程;及び前記多孔質の犠牲光吸収材料のエッチ速度が前記多孔質の誘電体層のエッチ速度よりも高いプロセスを用いることにより、当該多孔質の犠牲光吸収材料の残りの部分を除去する工程を含む。
半導体デバイスを形成する方法が説明される。本発明の1つの実施態様においては、本方法は以下の工程を含む。まず、多孔質の誘電体層が半導体ウェハ上に形成され、そしてパターニングされてエッチングされるべき領域が画定される。この多孔質の誘電体層の第1の部分を除去することにより第1のエッチング領域が形成される。この第1のエッチング領域は、多孔質の誘電体層と類似のドライエッチ特性を有する多孔質の犠牲光吸収材料で満たされる。次いで、フォトレジスト層が堆積され、そしてパターニングされてエッチングされるべき第2の領域が画定される。第2のパターンがエッチングされるべき第2の領域を画定する。この第2の領域は、多孔質の犠牲光吸収材料の一部と多孔質の誘電体層の第2の部分を除去することにより形成される。次いで、得られた物品は、例えばウェットエッチ溶液にさらされ、多孔質の犠牲光吸収材料の残りの部分が除去される。
図1A〜1Hは、本発明の方法の好ましい実施態様を示している。この実施態様においては、第1の導電層101が任意選択で基材100の上に形成される。基材100は、集積回路を作製する際、その上に導電層を形成できる任意の表面であることができる。したがって、基材100は、例えば、トランジスタ、キャパシタ、抵抗器、拡散接合体、ゲート電極、ローカル相互接続などのようなシリコンウェハ上に形成される能動及び受動デバイスを含むことができる。基材100はまた、このような能動及び受動デバイスを、それらの上部に形成される1つ又は複数の導電層から分離する絶縁材料を含むこともでき、予め形成された導電層を含むこともできる。
基材100に含めることのできる好適な材料としては、半導体材料、例えば、ヒ化ガリウム(「GaAs」)、シリコン、及びシリコン含有組成物、例えば、結晶シリコン、ポリシリコン、アモルファスシリコン、エピタキシャルシリコン、二酸化ケイ素(「SiO2」)、シリコンガラス、窒化ケイ素、溶融シリカ、ガラス、石英、ホウケイ酸ガラス、及びそれらの組み合わせが挙げられるがそれらに限定されない。他の好適な材料としては、クロム、モリブデン、並びに半導体、集積回路、フラットパネルディスプレイ及びフレキシブルディスプレイ用途で通常使用される他の金属が挙げられる。基材100は、追加の層、例えば、シリコン、SiO2、有機ケイ酸塩ガラス(OSG)、フッ素化ケイ酸塩ガラス(FSG)、炭窒化ホウ素、炭化ケイ素、水素化炭化ケイ素、窒化ケイ素、水素化窒化ケイ素、炭窒化ケイ素、水素化炭窒化ケイ素、窒化ホウ素、有機−無機複合材料、フォトレジスト、有機ポリマー、多孔質の有機及び無機の材料及び複合体、金属酸化物、例えば、酸化アルミニウム及び酸化ゲルマニウムを有することができる。なお更なる層は、ゲルマノケイ酸塩、アルミノケイ酸塩、銅及びアルミニウム、並びに拡散バリア材料、例えば、限定されないがTiN、Ti(C)N、TaN、Ta(C)N、Ta、W又はWNであることもできる。
導電層101は、半導体デバイスのための導電層を形成するのに通常用いられる材料から作製できる。好ましい実施態様においては、導電層101は銅を含み、通常の銅電気めっき法によって形成される。銅が好ましいが、半導体デバイスを作製するのに使用できる他の導電性材料も代わりに使用することができる。導電層101は、それが堆積された後、化学機械研磨(「CMP」)工程により平坦化することができる。
導電層101を基材100の上に形成した後、バリア層102が導電層101の上に典型的に形成される。バリア層102は、典型的には許容できない量の銅又は他の金属が誘電体層103に拡散するのを防ぐよう作用する。バリア層102はまた、その後のビア及びトレンチのエッチ工程により導電層101が次の洗浄工程にさらされるのを防ぐためのエッチストップとしても作用する。バリア層102は、気密性の誘電体材料、例えば、シリコン、SiO2、有機ケイ酸塩ガラス(OSG)、炭窒化ホウ素、フッ素化ケイ酸塩ガラス(FSG)、炭化ケイ素、水素化炭化ケイ素、窒化ケイ素、水素化窒化ケイ素、炭窒化ケイ素、水素化炭窒化ケイ素、窒化ホウ素、有機−無機複合材料、有機及び無機の材料及び複合体、金属酸化物、例えば、酸化アルミニウム、酸化ゲルマニウム、及びそれらの組み合わせから作製されることが好ましい。
化学気相成長法を用いてバリア層102を形成することができる。バリア層102は、その拡散阻止及びエッチストップ機能を実行するのに十分な厚さでなければならないが、バリア層102と誘電体層103の組み合わせから生じる全体的な誘電特性に不利に影響を与えるほど厚くてはいけない。図1Aは、導電層101とバリア層102が基材100の上に形成された後に得られる構造の断面図を示している。
図1Bを参照すると、多孔質の誘電体層103は、バリア層102の上部に形成されている。本発明の方法においては、多孔質の誘電体層103は、相互接続のネットワークを形成及び維持できる1つ又は複数の化合物を含む膜形成組成物を堆積することによって形成される。これらの膜の例としては、SiO2、有機ケイ酸塩ガラス(OSG)、フッ素化ケイ酸塩ガラス(FSG)、炭窒化ホウ素、炭化ケイ素、水素化炭化ケイ素、窒化ケイ素、水素化窒化ケイ素、炭窒化ケイ素、水素化炭窒化ケイ素、窒化ホウ素、有機−無機複合材料、フォトレジスト、有機ポリマー、多孔質の有機及び無機の材料及び複合体、金属酸化物、例えば、酸化アルミニウム及び酸化ゲルマニウム、ダイヤモンドライクカーボン、ホウケイ酸ガラス(Si:O:B:H)又はリンをドープしたホウケイ酸ガラス(Si:O:B:H:P)及びこれらの組み合わせが挙げられるがそれらに限定されない。
本発明の好ましい実施態様においては、多孔質の誘電体層103はシリカ材料を含む。本明細書で用いられる「シリカ」という用語は、ケイ素(Si)原子、酸素(O)原子、及び場合により追加の置換体、例えば、限定されないが、C、H、B、N、P若しくはハライド原子などの他の元素;アルキル基;又はアリール基を有する材料である。他の実施態様においては、多孔質の誘電体層103は、例えば、限定されないが、Al、Ti、V、In、Sn、Zn、Ga及びそれらの組み合わせなどの他の元素を含有することができる。幾つかの好ましい実施態様においては、誘電体層103は、式Sivwxyzによって表され、式中、v+w+x+y+z=100原子%、vが10〜35原子%であり、wが10〜65原子%であり、xが5〜30原子%であり、yが10〜50原子%であり、zが0〜15原子%であるOSG化合物を含むことができる。
さらに図1Bを参照すると、多孔質の誘電体層103は、細孔105の存在によって特徴付けられる。このような実施態様においては、細孔105は、膜形成組成物が、シリカ源と、1つ又は複数のエネルギー源にさらすことにより容易かつ好ましくは実質的に除去できる少なくとも1つのポロゲンとを含む場合に形成される。「ポロゲン」は、得られた膜内に空隙容量を生成するのに用いられる試薬である。ポロゲンが本発明のプロセスを通じて変化しないか否かにかかわらず、本明細書で用いられる「ポロゲン」という用語は、それが本明細書で記載されるプロセス全体を通じてどのような形態で見出されようと、細孔形成試薬(又は細孔形成置換体)及びそれらの誘導体を包含するものである。ポロゲンとして使用するのに好適な化合物としては、炭化水素材料、不安定な有機基、溶媒、分解性ポリマー、界面活性剤、デンドリマー、多分岐ポリマー、ポリオキシアルキレン化合物、CとHを含む化合物、又はそれらの組み合わせが挙げられるがそれらに限定されない。幾つかの実施態様においては、ポロゲンはC1〜C13の炭化水素化合物を含む。
細孔105を形成する際、誘電体層103が作製される堆積されたままの材料を、典型的には1つ又は複数のエネルギー源にさらして膜を硬化し及び/又はその中に含まれるポロゲンの少なくとも一部をそれが存在する場合には除去する。例示的なエネルギー源としては、電離放射線源、例えば、α粒子、β粒子、γ線、X線、電子ビーム源のエネルギー;非電離放射線源、例えば、紫外(10〜400nm)、可視(400〜750nm)、赤外(750〜105nm)、マイクロ波(>106)及び高周波(>106)の波長のエネルギー;又はそれらの2種以上を挙げることができるがそれらに限定されない。なお更なるエネルギー源としては、熱エネルギーとプラズマエネルギーが挙げられる。そのエネルギー源に応じて、暴露工程は、高圧下、大気圧下又は減圧下で実施することができる。その環境は、不活性(例えば、窒素、CO2、希ガス(He、Ar、Ne、Kr、Xe)など)、酸化性(例えば、酸素、空気、希釈酸素環境、濃縮酸素環境、オゾン、一酸化二窒素など)又は還元性(希釈若しくは濃縮された水素、炭化水素(飽和、不飽和、直鎖若しくは分枝芳香族)など)であることができる。暴露工程の温度は100〜500℃であることができる。幾つかの実施態様においては、温度は0.1〜100℃/分の速度で昇温することができる。合計の処理時間は0.01分〜12時間が好ましい。
細孔105がポロゲンの選択的除去及び/又は膜格子構造の完全化のための光硬化により形成される実施態様においては、このようなプロセスは以下の条件下で行われる。即ち、その環境は、不活性(例えば、窒素、CO2、希ガス(He、Ar、Ne、Kr、Xe)など)、酸化性(例えば、酸素、空気、希釈酸素環境、濃縮酸素環境、オゾン、一酸化二窒素など)又は還元性(例えば、希釈若しくは濃縮された炭化水素、水素など)であることができる。温度は周囲温度から500℃が好ましい。波長は、IR、可視、UV又は深UV(波長<200nm)が好ましい。合計の硬化時間は0.01分〜12時間が好ましい。
細孔105がポロゲンの選択的除去及び/又は膜格子構造の完全化のためのマイクロ波後処理により形成される実施態様においては、このようなプロセスは以下の条件下で行われる。即ち、その環境は、不活性(例えば、窒素、CO2、希ガス(He、Ar、Ne、Kr、Xe)など)、酸化性(例えば、酸素、空気、希釈酸素環境、濃縮酸素環境、オゾン、一酸化二窒素など)又は還元性(例えば、希釈若しくは濃縮された炭化水素、水素など)であることができる。温度は周囲温度から500℃が好ましい。合計の硬化時間は0.01分〜12時間が好ましい。
細孔105が有機ケイ酸塩膜からの細孔形成剤若しくは特定の化学種の選択的除去及び/又は膜特性の改善のための電子ビーム後処理により形成される実施態様においては、このようなプロセスは以下の条件下で行われる。即ち、その環境は、真空、不活性(例えば、窒素、CO2、希ガス(He、Ar、Ne、Kr、Xe)など)、酸化性(例えば、酸素、空気、希釈酸素環境、濃縮酸素環境、オゾン、一酸化二窒素など)又は還元性(例えば、希釈若しくは濃縮された炭化水素、水素など)であることができる。温度は周囲温度から500℃が好ましい。電子密度及びエネルギーは変更可能である。合計の硬化時間は0.001分〜12時間が好ましく、連続又はパルスであることができる。電子ビームの一般的な使用に関する追加の指針は、S.ChattopadhyayらのJournal of Materials Science,36(2001)4323−4330;G.KlosterらのProceedings of IITC,June 3−5,2002,SF,CA;並びに米国特許第6,207,555号明細書、同第6,204,201号明細書及び同第6,132,814号明細書などの刊行物において入手することができる。
多孔質の誘電体層103は、種々の異なる方法を用いて膜形成組成物から基材100(導電層101を含む)の少なくとも一部の上に膜として典型的に形成される。これらの方法は、それら自体で又は組み合わせて使用することができる。膜を形成するのに使用できる方法の幾つかの例としては、熱化学気相成長、プラズマ化学気相成長(「PECVD」)、高密度PECVD、光アシストCVD、プラズマ光アシスト(「PPECVD」)、原子層堆積(ALD)、低温化学気相成長、化学アシスト気相成長、熱フィラメント化学気相成長、液体ポリマー前駆体のCVD、超臨界流体からの堆積、又は輸送重合(「TP」)が挙げられる。米国特許第6,171,945号明細書、同第6,054,206号明細書、同第6,054,379号明細書、同第6,159,871号明細書、及び国際公開第99/41423号パンフレットは、膜を形成するのに使用できる幾つかの典型的なCVD法を提供している。化学気相成長法のほかに、多孔質の誘電体層103を適用するのに使用できる他の方法としては、例えば、非接触堆積法などがある。非接触堆積法は、典型的には接触マスク又はシャッターを必要とせずに膜を形成することができる。非接触堆積法としては、例えば、浸漬、ロール塗、ブラッシング、吹付け、押出し、スピンオン堆積、エアナイフ、印刷、及びそれらの組み合わせが挙げられる。更なる例示的な堆積法としては、振動非接触誘導塗布力、重力誘導塗布力、湿潤誘導塗布力、スロット押出し及びそれらの組み合わせが挙げられる。
1つの特定の実施態様においては、多孔質の誘電体層103は、スピンオン堆積法により堆積される。簡単に言えば、膜形成組成物が基材上に分配され、その中に含まれる溶媒が蒸発してコーティングされた基材が形成される。さらに遠心力を用いて確実に組成物が基材上に均一に堆積されるようにする。別の利点は、存在する如何なる隙間にも組成物が効率的に満たされることである。
多孔質の誘電体層103がスピンオン堆積法により堆積される実施態様においては、膜は、とりわけ、少なくとも1つのシリカ源、任意選択でポロゲン、任意選択で触媒、及び水を含む組成物から典型的に形成される。幾つかの実施態様においては、組成物は、さらに任意選択で溶媒を含むことができる。簡単に言えば、組成物を基材上に分配し、次いで溶媒と水を蒸発させることにより膜を形成することができる。任意の残留する溶媒、水及び存在する場合にはポロゲンは、コーティングされた基材を、1つ又は複数のエネルギー源に低誘電率の膜を生成するのに十分な時間さらすことによって一般に除去される。スピンオン堆積された材料及び膜並びにそれらの製造方法は、米国特許出願公開第2004/0048960号明細書及び同第2003/0224156号明細書において見出され、これらの特許文献はその参照により全体として本明細書に含まれ、また、本願の譲受人に譲渡されている。
下記のシリカ源は、スピンオン堆積法又はCVD法において本発明で使用するのに好適である。したがって、下記のシリカ源の少なくとも1つは、例えば、任意選択でポロゲン、任意選択で溶媒及び任意選択で水とともに堆積されて多孔質の誘電体層103を形成する組成物を典型的に形成する。下記の化学式及び本明細書を通じてすべての化学式において、「独立して」という用語は、対象のR基が、異なる上付き文字を有する他のR基に対して独立して選択されるだけでなく、同じR基の任意の追加の種に対しても独立して選択されることを意味すると解されるべきである。例えば、式RaSi(OR14-aにおいて、「a」が2である場合に、これら2つのR基は、互いに同一であるか又はR1と同一である必要はない。さらに、下記の式において、「一価の有機基」という用語は、一重C結合を介してSi又はOなどの所定の元素に結合した有機基、即ち、Si−C又はO−Cに関する。一価の有機基の例としては、アルキル基、アリール基、不飽和アルキル基、及び/又はアルコキシ、エステル、酸、カルボニル若しくはアルキルカルボニル官能基で置換された不飽和アルキル基が挙げられる。アルキル基は、例えば、メチル、エチル、プロピル、ブチル又はペンチル基などの1〜5個の炭素原子を有する直鎖、分枝又は環状のアルキル基であることができる。一価の有機基として好適なアリール基の例としては、フェニル、メチルフェニル、エチルフェニル及びフルオロフェニルが挙げられる。幾つかの実施態様においては、アルキル基中の1つ又は複数の水素は、ハライド原子(即ち、フッ素)又は酸素原子などの追加の原子で置換されて、カルボニル又はエーテル官能基を与えることができる。
幾つかの好ましい実施態様においては、シリカ源は以下の式、即ち、RaSi(OR14-a(式中、Rは独立して水素原子、フッ素原子又は一価の有機基を表し;R1は独立して一価の有機基を表し;aは1〜2の整数である)で表すことができる。RaSi(OR14-aで表される化合物の具体的な例としては、メチルトリメトキシシラン、メチルトリエトキシシラン、メチルトリ−n−プロポキシシラン、メチルトリ−イソ−プロポキシシラン、メチルトリ−n−ブトキシシラン、メチルトリ−sec−ブトキシシラン、メチルトリ−tert−ブトキシシラン、メチルトリフェノキシシラン、エチルトリメトキシシラン、エチルトリエトキシシラン、エチルトリ−n−プロポキシシラン、エチルトリ−イソ−プロポキシシラン、エチルトリ−n−ブトキシシラン、エチルトリ−sec−ブトキシシラン、エチルトリ−tert−ブトキシシラン、エチルトリフェノキシシラン、n−プロピルトリメトキシシラン、n−プロピルトリエトキシシラン、n−プロピルトリ−n−プロポキシシラン、n−プロピルトリ−イソ−プロポキシシラン、n−プロピルトリ−n−ブトキシシラン、n−プロピルトリ−sec−ブトキシシラン、n−プロピルトリ−tert−ブトキシシラン、n−プロピルトリフェノキシシラン、イソプロピルトリメトキシシラン、イソプロピルトリエトキシシラン、イソプロピルトリ−n−プロポキシシラン、イソプロピルトリイソプロポキシシラン、イソプロピルトリ−n−ブトキシシラン、イソプロピルトリ−sec−ブトキシシラン、イソプロピルトリ−tert−ブトキシシラン、イソプロピルトリフェノキシシラン、n−ブチルトリメトキシシラン、n−ブチルトリエトキシシラン、n−ブチルトリ−n−プロポキシシラン、n−ブチルトリイソプロポキシシラン、n−ブチルトリ−n−ブトキシシラン、n−ブチルトリ−sec−ブトキシシラン、n−ブチルトリ−tert−ブトキシシラン、n−ブチルトリフェノキシシラン;sec−ブチルトリメトキシシラン、sec−ブチルトリエトキシシラン、sec−ブチルトリ−n−プロポキシシラン、sec−ブチルトリイソプロポキシシラン、sec−ブチルトリ−n−ブトキシシラン、sec−ブチルトリ−sec−ブトキシシラン、sec−ブチルトリ−tert−ブトキシシラン、sec−ブチルトリフェノキシシラン、tert−ブチルトリメトキシシラン、tert−ブチルトリエトキシシラン、tert−ブチルトリ−n−プロポキシシラン、tert−ブチルトリイソプロポキシシラン、tert−ブチルトリ−n−ブトキシシラン、tert−ブチルトリ−sec−ブトキシシラン、tert−ブチルトリ−tert−ブトキシシラン、tert−ブチルトリフェノキシシラン、イソブチルトリメトキシシラン、イソブチルトリエトキシシラン、イソブチルトリ−n−プロポキシシラン、イソブチルトリイソプロポキシシラン、イソブチルトリ−n−ブトキシシラン、イソブチルトリ−sec−ブトキシシラン、イソブチルトリ−tert−ブトキシシラン、イソブチルトリフェノキシシラン、n−ペンチルトリメトキシシラン、n−ペンチルトリエトキシシラン、n−ペンチルトリ−n−プロポキシシラン、n−ペンチルトリイソプロポキシシラン、n−ペンチルトリ−n−ブトキシシラン、n−ペンチルトリ−sec−ブトキシシラン、n−ペンチルトリ−tert−ブトキシシラン、n−ペンチルトリフェノキシシラン;sec−ペンチルトリメトキシシラン、sec−ペンチルトリエトキシシラン、sec−ペンチルトリ−n−プロポキシシラン、sec−ペンチルトリイソプロポキシシラン、sec−ペンチルトリ−n−ブトキシシラン、sec−ペンチルトリ−sec−ブトキシシラン、sec−ペンチルトリ−tert−ブトキシシラン、sec−ペンチルトリフェノキシシラン、tert−ペンチルトリメトキシシラン、tert−ペンチルトリエトキシシラン、tert−ペンチルトリ−n−プロポキシシラン、tert−ペンチルトリイソプロポキシシラン、tert−ペンチルトリ−n−ブトキシシラン、tert−ペンチルトリ−sec−ブトキシシラン、tert−ペンチルトリ−tert−ブトキシシラン、tert−ペンチルトリフェノキシシラン、イソペンチルトリメトキシシラン、イソペンチルトリエトキシシラン、イソペンチルトリ−n−プロポキシシラン、イソペンチルトリイソプロポキシシラン、イソペンチルトリ−n−ブトキシシラン、イソペンチルトリ−sec−ブトキシシラン、イソペンチルトリ−tert−ブトキシシラン、イソペンチルトリフェノキシシラン、ネオ−ペンチルトリメトキシシラン、ネオ−ペンチルトリエトキシシラン、ネオ−ペンチルトリ−n−プロポキシシラン、ネオ−ペンチルトリイソプロポキシシラン、ネオ−ペンチルトリ−n−ブトキシシラン、ネオ−ペンチルトリ−sec−ブトキシシラン、ネオ−ペンチルトリ−ネオ−ブトキシシラン、ネオ−ペンチルトリフェノキシシラン、フェニルトリメトキシシラン、フェニルトリエトキシシラン、フェニルトリ−n−プロポキシシラン、フェニルトリイソプロポキシシラン、フェニルトリ−n−ブトキシシラン、フェニルトリ−sec−ブトキシシラン、フェニルトリ−tert−ブトキシシラン、フェニルトリフェノキシシラン、δ−トリフルオロプロピルトリメトキシシラン、δ−トリフルオロプロピルトリエトキシシラン、ジメチルジメトキシシラン、ジメチルジエトキシシラン、ジメチルジ−n−プロポキシシラン、ジメチルジイソプロポキシシラン、ジメチルジ−n−ブトキシシラン、ジメチルジ−sec−ブトキシシラン、ジメチルジ−tert−ブトキシシラン、ジメチルジフェノキシシラン、ジエチルジメトキシシラン、ジエチルジエトキシシラン、ジエチルジ−n−プロポキシシラン、ジエチルジイソプロポキシシラン、ジエチルジ−n−ブトキシシラン、ジエチルジ−sec−ブトキシシラン、ジエチルジ−tert−ブトキシシラン、ジエチルジフェノキシシラン、ジ−n−プロピルジメトキシシラン、ジ−n−プロピルジエトキシシラン、ジ−n−プロピルジ−n−プロポキシシラン、ジ−n−プロピルジイソプロポキシシラン、ジ−n−プロピルジ−n−ブトキシシラン、ジ−n−プロピルジ−sec−ブトキシシラン、ジ−n−プロピルジ−tert−ブトキシシラン、ジ−n−プロピルジフェノキシシラン、ジイソプロピルジメトキシシラン、ジイソプロピルジエトキシシラン、ジイソプロピルジ−n−プロポキシシラン、ジイソプロピルジイソプロポキシシラン、ジイソプロピルジ−n−ブトキシシラン、ジイソプロピルジ−sec−ブトキシシラン、ジイソプロピルジ−tert−ブトキシシラン、ジイソプロピルジフェノキシシラン、ジ−n−ブチルジメトキシシラン、ジ−n−ブチルジエトキシシラン、ジ−n−ブチルジ−n−プロポキシシラン、ジ−n−ブチルジイソプロポキシシラン、ジ−n−ブチルジ−n−ブトキシシラン、ジ−n−ブチルジ−sec−ブトキシシラン、ジ−n−ブチルジ−tert−ブトキシシラン、ジ−n−ブチルジフェノキシシラン、ジ−sec−ブチルジメトキシシラン、ジ−sec−ブチルジエトキシシラン、ジ−sec−ブチルジ−n−プロポキシシラン、ジ−sec−ブチルジイソプロポキシシラン、ジ−sec−ブチルジ−n−ブトキシシラン、ジ−sec−ブチルジ−sec−ブトキシシラン、ジ−sec−ブチルジ−tert−ブトキシシラン、ジ−sec−ブチルジフェノキシシラン、ジ−tert−ブチルジメトキシシラン、ジ−tert−ブチルジエトキシシラン、ジ−tert−ブチルジ−n−プロポキシシラン、ジ−tert−ブチルジイソプロポキシシラン、ジ−tert−ブチルジ−n−ブトキシシラン、ジ−tert−ブチルジ−sec−ブトキシシラン、ジ−tert−ブチルジ−tert−ブトキシシラン、ジ−tert−ブチルジフェノキシシラン、ジフェニルジメトキシシラン、ジフェニルジエトキシシラン、ジフェニルジ−n−プロポキシシラン、ジフェニルジイソプロポキシシラン、ジフェニルジ−n−ブトキシシラン、ジフェニルジ−sec−ブトキシシラン、ジフェニルジ−tert−ブトキシシラン、ジフェニルジフェノキシシラン、メチルネオペンチルジメトキシシラン、メチルネオペンチルジエトキシシラン、メチルジメトキシシラン、エチルジメトキシシラン、n−プロピルジメトキシシラン、イソプロピルジメトキシシラン、n−ブチルジメトキシシラン、sec−ブチルジメトキシシラン、tert−ブチルジメトキシシラン、イソブチルジメトキシシラン、n−ペンチルジメトキシシラン、sec−ペンチルジメトキシシラン、tert−ペンチルジメトキシシラン、イソペンチルジメトキシシラン、ネオペンチルジメトキシシラン、ネオヘキシルジメトキシシラン、シクロヘキシルジメトキシシラン、フェニルジメトキシシラン、メチルジエトキシシラン、エチルジエトキシシラン、n−プロピルジエトキシシラン、イソプロピルジエトキシシラン、n−ブチルジエトキシシラン、sec−ブチルジエトキシシラン、tert−ブチルジエトキシシラン、イソブチルジエトキシシラン、n−ペンチルジエトキシシラン、sec−ペンチルジエトキシシラン、tert−ペンチルジエトキシシラン、イソペンチルジエトキシシラン、ネオペンチルジエトキシシラン、ネオヘキシルジエトキシシラン、シクロヘキシルジエトキシシラン、フェニルジエトキシシラン、トリメトキシシラン、トリエトキシシラン、トリ−n−プロポキシシラン、トリイソプロポキシシラン、トリ−n−ブトキシシラン、トリ−sec−ブトキシシラン、トリ−tert−ブトキシシラン、トリフェノキシシラン、アリルトリメトキシシラン、アリルトリエトキシシラン、ビニルトリメトキシシラン、ビニルトリエトキシシラン、(3−アクリルオキシプロピル)トリメトキシシラン、アリルトリメトキシシラン、アリルトリエトキシシラン、ビニルトリメトキシシラン、ビニルトリエトキシシラン、及び(3−アクリルオキシプロピル)トリメトキシシランが挙げられる。上記化合物のうち、好ましい化合物は、メチルトリメトキシシラン、メチルトリエトキシシラン、メチルトリ−n−プロポキシシラン、メチルトリイソプロポキシシラン、エチルトリメトキシシラン、エチルトリエトキシシラン、ジメチルジメトキシシラン、ジメチルジエトキシシラン、ジエチルジメトキシシラン、及びジエチルジエトキシシランである。
シリカ源はまた、式Si(OR24を有する化合物であることもでき、式中、R2は独立して一価の有機基を表す。Si(OR24で表される化合物の具体的な例としては、テトラメトキシシラン、テトラエトキシシラン、テトラ−n−プロポキシシラン、テトライソプロポキシシラン、テトラ−n−ブトキシシラン、テトラ−sec−ブトキシシラン、テトラ−tert−ブトキシシラン、テトラアセトキシシラン、及びテトラフェノキシシランが挙げられる。上記のうち、幾つかの好ましい化合物としては、テトラメトキシシラン、テトラエトキシシラン、テトラ−n−プロポキシシラン、テトライソプロポキシシラン、又はテトラフェノキシシランを挙げることができる。
シリカ源はまた、式R3 b(R4O)3-bSi−(R7)−Si(OR53-c6 c(式中、R3及びR6は独立して水素原子、フッ素原子若しくは一価の有機基であり;R4及びR5は独立して一価の有機基であり;b及びcは同じか又は異なることができ、それぞれ0〜2の数であり;R7は酸素原子、フェニレン基、ビフェニル、ナフタレン基若しくは−(CH2n−で表される基であり、式中、nは1〜6の整数であり;又はそれらの組み合わせである)を有する化合物であることもできる。R7が酸素原子であるこれら化合物の具体的な例としては、ヘキサメトキシジシロキサン、ヘキサエトキシジシロキサン、ヘキサフェノキシジシロキサン、1,1,1,3,3−ペンタメトキシ−3−メチルジシロキサン、1,1,1,3,3−ペンタエトキシ−3−メチルジシロキサン、1,1,1,3,3−ペンタメトキシ−3−フェニルジシロキサン、1,1,1,3,3−ペンタエトキシ−3−フェニルジシロキサン、1,1,3,3−テトラメトキシ−1,3−ジメチルジシロキサン、1,1,3,3−テトラエトキシ−1,3−ジメチルジシロキサン、1,1,3,3−テトラメトキシ−1,3−ジフェニルジシロキサン、1,1,3,3−テトラエトキシ−1,3−ジフェニルジシロキサン、1,1,3−トリメトキシ−1,3,3−トリメチルジシロキサン、1,1,3−トリエトキシ−1,3,3−トリメチルジシロキサン、1,1,3−トリメトキシ−1,3,3−トリフェニルジシロキサン、1,1,3−トリエトキシ−1,3,3−トリフェニルジシロキサン、1,3−ジメトキシ−1,1,3,3−テトラメチルジシロキサン、1,3−ジエトキシ−1,1,3,3−テトラメチルジシロキサン、1,3−ジメトキシ−1,1,3,3−テトラフェニルジシロキサン、及び1,3−ジエトキシ−1,1,3,3−テトラフェニルジシロキサンが挙げられる。これらのうち、好ましい化合物は、ヘキサメトキシジシロキサン、ヘキサエトキシジシロキサン、ヘキサフェノキシジシロキサン、1,1,3,3−テトラメトキシ−1,3−ジメチルジシロキサン、1,1,3,3−テトラエトキシ−1,3−ジメチルジシロキサン、1,1,3,3−テトラメトキシ−1,3−ジフェニルジシロキサン、1,3−ジメトキシ−1,1,3,3−テトラメチルジシロキサン、1,3−ジエトキシ−1,1,3,3−テトラメチルジシロキサン、1,3−ジメトキシ−1,1,3,3−テトラフェニルジシロキサン;1,3−ジエトキシ−1,1,3,3−テトラフェニルジシロキサンである。R7が−(CH2n−で表される基であるこれら化合物の具体的な例としては、ビス(トリメトキシシリル)メタン、ビス(トリエトキシシリル)メタン、ビス(トリフェノキシシリル)メタン、ビス(ジメトキシメチルシリル)メタン、ビス(ジエトキシメチルシリル)メタン、ビス(ジメトキシフェニルシリル)メタン、ビス(ジエトキシフェニルシリル)メタン、ビス(メトキシジメチルシリル)メタン、ビス(エトキシジメチルシリル)メタン、ビス(メトキシジフェニルシリル)メタン、ビス(エトキシジフェニルシリル)メタン、1,2−ビス(トリメトキシシリル)エタン、1,2−ビス(トリエトキシシリル)エタン、1,2−ビス(トリフェノキシシリル)エタン、1,2−ビス(ジメトキシメチルシリル)エタン、1,2−ビス(ジエトキシメチルシリル)エタン、1,2−ビス(ジメトキシフェニルシリル)エタン、1,2−ビス(ジエトキシフェニルシリル)エタン、1,2−ビス(メトキシジメチルシリル)エタン、1,2−ビス(エトキシジメチルシリル)エタン、1,2−ビス(メトキシジフェニルシリル)エタン、1,2−ビス(エトキシジフェニルシリル)エタン、1,3−ビス(トリメトキシシリル)プロパン、1,3−ビス(トリエトキシシリル)プロパン、1,3−ビス(トリフェノキシシリル)プロパン、1,3−ビス(ジメトキシメチルシリル)プロパン、1,3−ビス(ジエトキシメチルシリル)プロパン、1,3−ビス(ジメトキシフェニルシリル)プロパン、1,3−ビス(ジエトキシフェニルシリル)プロパン、1,3−ビス(メトキシジメチルシリル)プロパン、1,3−ビス(エトキシジメチルシリル)プロパン、1,3−ビス(メトキシジフェニルシリル)プロパン、及び1,3−ビス(エトキシジフェニルシリル)プロパンが挙げられる。これらのうち、好ましい化合物は、ビス(トリメトキシシリル)メタン、ビス(トリエトキシシリル)メタン、ビス(ジメトキシメチルシリル)メタン、ビス(ジエトキシメチルシリル)メタン、ビス(ジメトキシフェニルシリル)メタン、ビス(ジエトキシフェニルシリル)メタン、ビス(メトキシジメチルシリル)メタン、ビス(エトキシジメチルシリル)メタン、ビス(メトキシジフェニルシリル)メタン、及びビス(エトキシジフェニルシリル)メタンである。
本発明の幾つかの好ましい実施態様においては、式RaSi(OR14-aのR1;式Si(OR24のR2;並びに式R3 b(R4O)3-bSi−(R7)−Si(OR53-c6 cのR4及び/又はR5は、それぞれ独立して以下の式、即ち、
Figure 2006237603
の一価の有機基であることができ、式中、nは0〜4の整数である。これらの化合物の具体的な例としては、テトラアセトキシシラン、メチルトリアセトキシシラン、エチルトリアセトキシシラン、n−プロピルトリアセトキシシラン、イソプロピルトリアセトキシシラン、n−ブチルトリアセトキシシラン、sec−ブチルトリアセトキシシラン、tert−ブチルトリアセトキシシラン、イソブチルトリアセトキシシラン、n−ペンチルトリアセトキシシラン、sec−ペンチルトリアセトキシシラン、tert−ペンチルトリアセトキシシラン、イソペンチルトリアセトキシシラン、ネオペンチルトリアセトキシシラン、フェニルトリアセトキシシラン、ジメチルジアセトキシシラン、ジエチルジアセトキシシラン、ジ−n−プロピルジアセトキシシラン、ジイソプロピルジアセトキシシラン、ジ−n−ブチルジアセトキシシラン、ジ−sec−ブチルジアセトキシシラン、ジ−tert−ブチルジアセトキシシラン、ジフェニルジアセトキシシラン、トリアセトキシシランが挙げられる。これらの化合物のうち、テトラアセトキシシラン及びメチルトリアセトキシシランが好ましい。
シリカ源の他の例は、例えば、米国特許第6,258,407号明細書で与えられるようなフッ素化シラン又はフッ素化シロキサンを含むことができる。
シリカ源の別の例は、脱離によってSi−H結合を生成する化合物を含むことができる。
本発明の他の実施態様においては、シリカ源は、好ましくはSi原子に結合した少なくとも1つのカルボン酸エステルを有することができる。これらのシリカ源の例としては、テトラアセトキシシラン、メチルトリアセトキシシラン、エチルトリアセトキシシラン、及びフェニルトリアセトキシシランが挙げられる。シリカ源がカルボキシレート基を結合した少なくとも1つのSi原子を有する少なくとも1つのシリカ源に加えて、組成物は、Si原子に結合したカルボキシレートを必ずしも有さない場合がある追加のシリカ源をさらに含むことができる。
シリカ源はまた、直鎖、環状若しくは分枝のシロキサン;直鎖、環状若しくは分枝のカルボシラン;直鎖、環状若しくは分枝のシラザン;又はそれらの混合物であることもできる。
CVD法を用いて、例えば、誘電体層103が堆積される本発明の実施態様においては、層はガス状試薬を用いて堆積される。「ガス状試薬」という用語は、試薬を記載するのに本明細書で時に使用されるが、この用語は、ガスとして直接反応器に送られるか、気化された液体、昇華された固体として送られるか及び/又は不活性のキャリヤーガスによって反応器に運ばれる試薬を包含するものである。本発明の好ましい実施態様においては、材料はPECVD法によって形成される。このような方法では、ガス状試薬は、典型的には減圧チャンバーなどの反応チャンバーに流され、プラズマエネルギーによりガス状試薬にエネルギーが与えられ、基材の少なくとも一部の上に膜が形成される。これらの実施態様においては、膜は、少なくとも1つのシリカ含有前駆体ガスと、少なくとも1つのプラズマ重合可能な有機前駆体又はポロゲンガスとを含む混合ガスの共堆積あるいは逐次堆積により形成することができる。幾つかの実施態様においては、適用されるプラズマエネルギーは、0.02〜7W/cm2、より好ましくは0.3〜3W/cm2であることができる。各ガス状試薬の流量は10〜5000sccmであることができる。本発明のPECVD法に関する堆積の際の減圧チャンバーの圧力値は、0.01〜600torr、より好ましくは1〜10torrであることができる。幾つかの実施態様においては、堆積は、100〜425℃、200〜425℃又は200〜300℃の温度で行われる。しかしながら、プラズマエネルギー、流量、圧力及び温度などのプロセスパラメータは、基材の表面積、用いられる前駆体、PECVD法において用いられる設備などの多数の因子に応じて変更することができると解される。
多孔質の誘電体層103がSi、C、O、H及びFから本質的になるCVD法の1つの実施態様においては、基材100を減圧チャンバー内に用意し;有機シランと有機シロキサンからなる群より選択された少なくとも1つのシリカ含有前駆体ガスと、任意選択でフッ素提供前駆体ガスと、少なくとも1つのポロゲンとを含むガス状試薬を減圧チャンバーに導入し;チャンバー内のガス状試薬にエネルギーを加えてガス状試薬の反応を誘発し基材上に膜を形成することによって多孔質の誘電体層103が形成される。好適なポロゲン前駆体及び他のケイ素含有前駆体の例は、米国特許第6,726,770号明細書、同第6,583,048号明細書、及び同第6,846,515号明細書に見出され、これらの特許文献はその参照により全体として本明細書に含まれ、また、本願の譲受人に譲渡されている。他の好適なポロゲン前駆体は、米国特許出願公開第2002/0180051号明細書、米国特許第6,441,491号明細書、及び同第6,437,443号明細書に見出され、これらの特許文献はその参照により全体として本明細書に含まれる。
有機シラン及び有機シロキサンなどのシリカ含有前駆体は、化学気相成長において好ましい。好適な有機シラン及び有機シロキサンとしては、例えば、(a)式R11 nSiR12 4-nで表されるアルキルシランであって、式中nが1〜3の整数、R11及びR12が独立して少なくとも1つの分枝若しくは直鎖のC1〜C8アルキル基(例えばメチル、エチル)、C3〜C8の置換若しくは非置換シクロアルキル基(例えばシクロブチル、シクロヘキシル)、C3〜C10の部分不飽和アルキル基(例えばプロペニル、ブタジエニル)、C6〜C12の置換若しくは非置換芳香族(例えばフェニル、トリル)、対応する直鎖、分枝、環状、部分不飽和のアルキル若しくは芳香族含有アルコキシ基(例えばメトキシ、エトキシ、フェノキシ)、あるいはR12が水素化物であるアルキルシラン(例えばメチルシラン、ジメチルシラン、トリメチルシラン、テトラメチルシラン、フェニルシラン、メチルフェニルシラン、シクロヘキシルシラン、tert−ブチルシラン、エチルシラン、ジエチルシラン、テトラエトキシシラン、ジメチルジエトキシシラン、ジメチルジメトキシシラン、ジメチルエトキシシラン、メチルジエトキシシラン、トリエトキシシラン、トリメチルフェノキシシラン及びフェノキシシラン)と;(b)式R11(R12 2SiO)nSiR12 3で表される直鎖有機シロキサン(式中nは1〜10の整数)又は式(R12SiO)nで表される環状有機シロキサン(式中nは2〜10の整数)であって、R11及びR12が上で規定された通りである、直鎖有機シロキサン又は環状有機シロキサン(例えば1,3,5,7−テトラメチルシクロテトラシロキサン、オクタメチルシクロテトラシロキサン、ヘキサメチルシクロトリシロキサン、ヘキサメチルジシロキサン、1,1,2,2−テトラメチルジシロキサン及びオクタメチルトリシロキサン)と;(c)式R12(SiR1112n12で表される直鎖有機シランオリゴマー(式中nは2〜10の整数)又は式(SiR12nで表される環状有機シラン(式中nは3〜10の整数)であって、R11及びR12が上で規定された通りである、直鎖有機シランオリゴマー又は環状有機シラン(例えば1,2−ジメチルジシラン、1,1,2,2−テトラメチルジシラン、1,2−ジメチル−1,1,2,2−ジメトキシジシラン、ヘキサメチルジシラン、オクタメチルトリシラン、1,2,3,4,5,6−ヘキサフェニルヘキサシラン、1,2−ジメチル−1,2−ジフェニルジシラン及び1,2−ジフェニルジシラン)とが挙げられる。幾つかの実施態様においては、有機シラン/有機シロキサンは、環状アルキルシラン、環状アルコキシシランであるか、又は1,2−ジシラノエタン、1,3−ジシラノプロパン、ジメチルシラシクロブタン、1,2−ビス(トリメチルシロキシ)シクロブテン、1,1−ジメチル−1−シラ−2,6−ジオキサシクロヘキサン、1,1−ジメチル−1−シラ−2−オキサシクロヘキサン、1,2−ビス(トリメチルシロキシ)エタン、1,4−ビス(ジメチルシリル)ベンゼン、若しくは1,3−(ジメチルシリル)シクロブタンなど、1対のSi原子の間に少なくとも1つのアルコキシ若しくはアルキル架橋を含有する。幾つかの実施態様においては、有機シラン/有機シロキサンは、エポキシド、カルボン酸塩、アルキン、ジエン、フェニルエチニル、歪んだ環状基、及び有機シラン/有機シロキサンに立体障害をもたらし若しくはそれを歪ませることのできるC4〜C10の基からなる群より選択された反応性側鎖基を含有し、例えば、トリメチルシリルアセチレン、1−(トリメチルシリル)−1,3−ブタジエン、トリメチルシリルシクロペンタジエン、トリメチルシリルアセテート及びジ−tert−ブトキシジアセトキシシランである。
シリカ含有前駆体はまた、直鎖、環状若しくは分枝のシロキサン;直鎖、環状若しくは分枝のカルボシラン;直鎖、環状若しくは分枝のシラザン;又はそれらの混合物であることもできる。
本発明の他の好ましい実施態様においては、組成物はフッ素提供前駆体ガスをさらに含む。CVD堆積される膜のための好ましいフッ素提供前駆体ガスは、任意のF−C結合(即ち、炭素に結合されたフッ素)が乏しく、それによって最終的に膜になることができる。例示的なフッ素提供ガスとしては、例えば、SiF4、NF3、F2、HF、SF6、ClF3、BF3、BrF3、SF4、NF2Cl、FSiH3、F2SiH2、F3SiH、有機フルオロシラン及びそれらの混合物が挙げられる。追加の好ましいフッ素提供ガスとしては、少なくとも1つのSi−F結合があるように、ケイ素置換基の少なくとも1つがフッ素原子と置換されるという条件で、前述のアルキルシラン、アルコキシシラン、直鎖及び環状有機シロキサン、直鎖及び環状有機シランオリゴマー、環状又は架橋有機シラン、並びに反応性側鎖基を有する有機シランが挙げられる。より具体的には、好適なフッ素提供ガスとしては、例えば、フルオロトリメチルシラン、ジフルオロジメチルシラン、メチルトリフルオロシラン、フルオロトリエトキシシラン、1,2−ジフルオロ−1,1,2,2−テトラメチルジシラン又はジフルオロジメトキシシランが挙げられる。
多孔質の誘電体層103を形成した後、フォトレジスト層130をその上部にパターニングし、例えば、その後形成される導電層であって、導電層101に接触する導電層を受け入れるためのビア形成領域を画定することができる。フォトレジスト層130は、例えば、フォトレジスト層をマスクし、そのマスクされた層を光にさらし、次いでフォトレジスト層を現像する通常のフォトリソグラフィー法によってパターニングすることができる。得られる構造を図1Bに示す。本明細書で記載される実施態様はフォトレジスト層の使用を伴っているが、当業者であれば、他のパターニング技術を使用でき、したがってフォトレジスト層が任意選択であるとわかるであろう。デバイスの製造者が利用できる他のパターニング技術としては、例えば、暴露媒体として可視光又は紫外光を使用する光リソグラフィー、X線リソグラフィー、電子リソグラフィー及び印刷が挙げられる。
フォトレジスト層130がパターニングされた後、ビア107が多孔質の誘電体層103を貫通してバリア層102までエッチングされる。このバリア層102はエッチストップとして作用する。誘電体層を貫通してエッチングするための通常のプロセス工程、例えば、通常の異方性ドライエッチプロセスを用いてビアをエッチングすることができる。次いで、等方性又は異方性のフォーミングガスアッシュを適切な温度及び圧力で適用してフォトレジストを除去することができる。ビア洗浄工程が続いて行われ、図1Cに示される構造を生成することができる。
ビア107が多孔質の誘電体層103を貫通して形成された後、多孔質の犠牲光吸収材料104を形成する犠牲光吸収材料をビア107に入れ、図1Dに示される構造を生成する。多孔質の犠牲光吸収材料104は反射防止的であることが好ましい。好ましい実施態様においては、多孔質の犠牲光吸収材料104は、多孔質の誘電体層103と類似のドライエッチ特性を有するが、多孔質の誘電体層103をウェットエッチングできる速度よりも有意に速い速度でウェットエッチングできる。このようなドライエッチ特性により、誘電体層をエッチングしてトレンチを形成するのと同時に、多孔質の犠牲光吸収材料104の大部分を除去することが可能となるはずである。ウェットエッチに対する多孔質の犠牲光吸収材料104の選択性が高いために、有意な量の多孔質の誘電体層103を同時に除去することなく、デバイス表面並びにビア107の内部から多孔質の犠牲光吸収材料104を除去することが可能となる。
本発明の好ましい実施態様においては、多孔質の犠牲光吸収材料104を形成するのに用いられる犠牲光吸収材料は、先に規定したポロゲンを含む多孔質の誘電体層103を形成することにおいて使用するのに好適な上記材料のいずれかを含む。本発明のより好ましい実施態様においては、多孔質の犠牲光吸収材料104と多孔質の誘電体層103の組成は類似している。例えば、有機ケイ酸塩に基づいた誘電体を用いて多孔質の誘電体層103を形成する場合には、有機ケイ酸塩に基づいた犠牲光吸収材料を用いて多孔質の犠牲光吸収材料104を形成する。
多孔質の犠牲光吸収材料104を形成するのに用いられる犠牲光吸収材料は、多孔質の誘電体層103について先に記載したのと同じ方法で堆積させることができる。本発明の幾つかの実施態様においては、多孔質の犠牲光吸収材料104は、当該材料の約500〜約3000Åのコーティングを物品表面に堆積するスピンオン法により堆積される。デバイスの表面には薄い層しか残らないが、このようなスピンコーティング法により、犠牲光吸収組成物がビア107を完全に満たす。さらには、ポロゲンを含有する犠牲光吸収組成物がビア107を均一に満たす。このような均一に満たす特性により空隙の形成が最小限に抑えられる。空隙が形成されると、充填の保全性が脅かされ及び/又は下地の層がトレンチを形成するのに用いられるエッチ化学に望ましくないほど長時間にわたってさらされる可能性がある。
好ましい実施態様においては、多孔質の犠牲光吸収材料104は、250nm以下(好ましくは248nm以下)、193nm以下、超紫外線以下、及び157nm以下の波長において光吸収性である。幾つかの用途では、多孔質の犠牲光吸収材料104は、436nm及び365nm(G−Iライン)の波長において光吸収性であることが好ましい。
好ましい実施態様においては、多孔質の犠牲光吸収材料104は、以下の光吸収添加剤、即ち、染料、飽和若しくは不飽和の有機成分、光活性化合物(例えば、光酸発生剤(PAG)、光塩基発生剤(PBG)及び/又は光増感剤)及び/又は他の添加剤のうち1つ又は複数を含む。本明細書で用いられる「光活性化合物」という用語は、電離放射線源にさらすことにより、相互に作用するか、吸収するか及び/又は影響を受ける化合物を言うものである。好適なPAGとしては、ハロゲン化トリアジン、オニウム塩、スルホン化エステル、ジアリールヨードニウム塩、トリアジン、ヨードニウム塩、スルホニウム塩、ジアゾメタン及び/又はハロゲン化スルホニルオキシジカルボキシイミドが挙げられるがそれらに限定されない。PAGの1つの具体例は、弱求核性アニオンを有するオニウム塩である。このようなアニオンの例は、2価〜7価の金属又は非金属、例えば、アンチモン、スズ、鉄、ビスマス、アルミニウム、ガリウム、インジウム、チタン、ジルコニウム、スカンジウム、クロム、ハフニウム、銅、ホウ素、リン及びヒ素のハロゲン錯体アニオンである。好適なオニウム塩の例としては、周期表のVA族とB族、IIA族とB族及びIのジアリール−ジアゾニウム塩及びオニウム塩、例えば、ハロニウム塩、四級アンモニウム、ホスホニウム及びアルソニウム塩、芳香族スルホニウム塩、並びにスルホキソニウム塩又はセレニウム塩が挙げられるがそれらに限定されない。好適なオニウム塩の例は、米国特許第4,442,197号明細書、同第4,603,101号明細書及び同第4,624,912号明細書に記載されており、その参照によりすべて本明細書に含まれる。オニウム塩の具体例としては、トリフェニルスルホニウムパーフルオロブタンスルホン酸若しくはナノフレート[Ph3S]+[C49SO3-、ビス(4−tert−ブチルフェニル)ヨードニウムトリフルオロメタンスルホン酸若しくはトリフレート、又はジフェニルヨードニウム−9,10−ジメトキシアントラセン−2−スルホン酸が挙げられる。他の実施態様においては、PAGはスルホン化エステルである。膜形成組成物の光酸発生剤として有用なスルホン化エステルはスルホニルオキシケトンを含む。好適なスルホン化エステルとしては、ベンゾイントシラート、t−ブチルフェニルα−(p−トルエンスルホニルオキシ)−アセテート、及びt−ブチルα−(p−トルエンスルホニルオキシ)アセテートが挙げられるがそれらに限定されない。このようなスルホン化エステルは、the Journal of Photopolymer Science and Technology,vol.4,No.3,337−340(1991)に記載されており、その参照により本明細書に含まれる。他の実施態様においては、PAGは非イオン性化合物である。好適な非イオン性PAGの例としては、N−ヒドロキシフタルイミドトリフレート、2−(4−メトキシスチリル)−4,6−ビス(トリクロロメチル)−1,3,5−トリアジン、及びN−ヒドロキシ−5−ノルボルネン−2,3−ジカルボキシイミドナノフレートが挙げられるがそれらに限定されない。好適なPBGの幾つかの例としては、2−ニトロベンジルシクロヘキサンカルバメート及びトリフェニルスルホニウムヒドロキシドが挙げられるがそれらに限定されない。本発明で使用するのに好適な光増感剤の例は、米国特許第4,442,197号明細書、同第4,250,053号明細書、同第4,371,605号明細書、及び同第4,491,628号明細書に開示されており、これらの特許文献はその参照により本明細書に含まれる。使用できる光増感剤の具体例としては、イソプロピル−9H−チオキサンテン−9−オン(ITX)、アントラセンカルボニトリル、アントラセンメタノール、アントラキノンジスルホン酸の二ナトリウム塩、ピレン及びペリレンが挙げられる。光吸収添加剤の他の例は、例えば、米国特許第6,965,097号明細書及び同第6,969,753号明細書に見出すことができ、これらの特許文献はその参照により本明細書に含まれる。
本発明の幾つかの実施態様においては、多孔質の誘電体層103又は多孔質の犠牲光吸収材料104はまた、最終材料の特性を高めるために化学的に処理することもできる。膜の化学処理としては、例えば、フッ素化(HF、SiF4、NF3、F2、COF2、CO22など)、酸化(H22、O3など)、化学乾燥、メチル化又は他の化学処理の使用を挙げることができる。このような処理で用いられる化学物質は、固体、液体、気体及び/又は超臨界流体の状態であることができる。幾つかの実施態様においては、超臨界流体の処理によって膜を処理することができる。この流体は、二酸化炭素、水、一酸化二窒素、エチレン、SF6及び/又は他のタイプの化学物質であることができる。他の化学物質を超臨界流体に添加してプロセスを向上させることができる。化学物質は、不活性(例えば、窒素、CO2、希ガス(He、Ar、Ne、Kr、Xe)など)、酸化性(例えば、酸素、オゾン、一酸化二窒素など)又は還元性(例えば、希釈又は濃縮された炭化水素、水素など)であることができる。温度は周囲温度から500℃が好ましい。化学物質としては、界面活性剤のようなより大きな化学種を挙げることもできる。合計の暴露時間は0.01分〜12時間が好ましい。
多孔質の誘電体層103と多孔質の犠牲光吸収材料104のいずれか又は両方がプラズマ照射又はプラズマ処理を受ける実施態様においては、このような照射は以下の条件下で行われる。即ち、その環境は、不活性(窒素、CO2、希ガス(He、Ar、Ne、Kr、Xe)など)、酸化性(例えば、酸素、空気、希釈酸素環境、濃縮酸素環境、オゾン、一酸化二窒素など)又は還元性(例えば、希釈又は濃縮された水素、炭化水素(飽和、不飽和、直鎖若しくは分枝芳香族)など)であることができる。プラズマ電力は0〜5000Wが好ましい。温度は周囲温度から500℃が好ましい。圧力は10mtorrから大気圧が好ましい。合計の処理時間は0.01分〜12時間が好ましい。
ビア107を多孔質の犠牲光吸収材料104で満たした後、フォトレジスト層136を多孔質の犠牲光吸収材料104の上部に適用し、次いでパターニングしてトレンチの形成領域を画定することができる。得られる構造を図1Eに示す。このフォトレジストのパターニング工程に続いて、トレンチ106を多孔質の誘電体層103中にエッチングして図1Fに示す構造を形成する。
本発明の好ましい実施態様においては、多孔質の誘電体層103と多孔質の犠牲光吸収材料104はドライ法(例えば、反応性イオンエッチング、プラズマエッチングなど)によりエッチングされて図1Fに示す構造が形成される。エッチングプロセスは、所望の深さを有するトレンチを形成するのに十分な時間適用される。トレンチ106をエッチングするのに選択されるエッチ化学は、多孔質の犠牲光吸収材料104を、多孔質の誘電体層103を除去するのとほぼ同じ速度で以って、あるいは僅かにより速い速度で以って除去することが好ましい。トレンチ106は、ビア107をエッチングするために先に使用したのと同じ設備及びエッチ化学によりエッチングすることができる。トレンチのエッチ工程の後、ビア107の底部に残っている多孔質の犠牲光吸収材料104の一部109の存在もまた、トレンチのエッチプロセスの際にバリア層102が導電層101を保護するのに役立つ。
本発明の幾つかの実施態様においては、前記の除去は、プラズマ反応性イオンエッチプロセスを用いて行われることが好ましい。このようなプロセスでは、1つ又は複数の反応性ガスが、活性種を形成するのに十分な1つ又は複数のエネルギー源にさらされ、次いで当該活性種が材料と反応してそれを基材から除去する。反応性ガスは、その場プラズマ、遠隔プラズマ、遠隔熱/触媒活性化、その場熱加熱、電子付着及び光活性化など、しかしそれらに限定されない1つ又は複数のエネルギー源により活性化されて反応性種を形成することができる。これらのエネルギー源は、単独で又は組み合わせて使用することができる。好適な除去プロセス及び反応性ガスの例としては、米国特許出願公開第2005/011483号明細書、同第2005/0011859号明細書、同第2004/0129671号明細書、及び同第2004/0011380号明細書に記載されているものが挙げられ、これらの特許文献は、その参照により全体として本明細書に含まれ、また、本願の譲受人に譲渡されている。
反応性ガスは、ハロゲン含有ガス(例えば、フッ素含有ガス、塩素含有ガス、臭素含有ガス又はそれらの組み合わせ)、酸素含有ガス及びそれらの混合物であることができる。上記のガスに加えて、不活性な希釈ガス又はキャリヤーガスを添加することもできる。フッ素含有反応性ガスの例としては、HF(フッ化水素酸)、NF3(三フッ化窒素)、SF6(六フッ化硫黄)、FNO(フッ化ニトロシル)、C333(フッ化シアヌル)、C222(フッ化オキサリル)、パーフルオロカーボン、例えば、CF4、C26、C38、C48など、ハイドロフルオロカーボン、例えば、CHF3及びC37Hなど、オキシフルオロカーボン、例えば、C48O(パーフルオロテトラヒドロフラン)など、酸素化ハイドロフルオロカーボン、例えば、CH3OCF3(HFE−143a)、ハイポフルオライト、例えば、CF3−OF(フルオロキシトリフルオロメタン(FTM))及びFO−CF2−OF(ビス−ジフルオロキシ−ジフルオロメタン(BDM))など、フルオロパーオキシド、例えば、CF3−O−O−CF3(ビス−トリフルオロ−メチル−パーオキシド(BTMP))、F−O−O−Fなど、フルオロトリオキシド、例えば、CF3−O−O−O−CF3など、フルオロアミン、例えば、CF5N(パーフルオロメチルアミン)、フルオロニトリル、例えば、C23N(パーフルオロアセトニトリル)、C36N(パーフルオロプロピオニトリル)、並びにCF3NO(トリフルオロニトロシルメタン)及びCOF2(フッ化カルボニル)が挙げられる。不活性な希釈ガスの例としては、窒素、CO2、ヘリウム、ネオン、アルゴン、クリプトン及びキセノンが挙げられる。プロセスガス中に存在できる不活性な希釈ガスの量は0%〜99.9%であることができる。例示的な酸素含有ガスとしては、酸素(O2)、オゾン(O3)、一酸化炭素(CO)、二酸化炭素(CO2)、二酸化窒素(NO2)、一酸化二窒素(N2O)及びそれらの混合物が挙げられるがそれらに限定されない。還元性のエッチプロセスを用いることもでき、このようなプロセスにおいては、例えば、水素、アンモニア、ヘリウム、窒素及びそれらの混合物などの化合物が用いられる。
熱加熱活性化においては、基材は、抵抗加熱器又は強力なランプのいずれかによって加熱される。反応性ガスは、反応性ラジカル及び原子に熱分解され、続いて材料の少なくとも一部が揮発される。さらに、温度を上げることにより、反応活性化エネルギー障壁を乗り越えかつ反応速度を高めるためのエネルギー源を提供することができる。熱活性化について、基材は、少なくとも100℃、少なくとも300℃、又は少なくとも500℃に加熱することができる。圧力範囲は、一般には10mTorr〜760Torr、又は1Torr〜760Torrである。
その場プラズマ活性化については、少なくとも0.2W/cm2、少なくとも0.5W/cm2、又は少なくとも1W/cm2のRF出力密度を有する13.56MHzのRF電源でプラズマを発生させることができる。同様に、13.56MHzよりも低いRF周波数でその場プラズマを操作して接地したチャンバー壁及び/又はその中に含まれる装備品の洗浄を強化することができるか、又は13.56MHzよりも高いRF周波数でその場プラズマを操作してプラズマの特性を向上させることができる。操作圧力は、一般には2.5mTorr〜100Torr、5mTorr〜50Torr、又は10mTorr〜20Torrの範囲にある。任意選択で、熱及びプラズマ増強を組み合わせることもできる。
幾つかの実施態様においては、遠隔プラズマ源、遠隔熱活性化源、遠隔触媒活性化源、又は熱及び触媒活性化を組み合わせた供給源などしかしそれらに限定されない遠隔活性化源が、揮発性生成物を生成するためその場プラズマに加えて用いられる。遠隔プラズマ洗浄においては、プロセスガスを堆積チャンバーの外部で活性化して反応性種を形成し、この反応性種をプロセスチャンバーに導入して材料の少なくとも一部を揮発させる。RF又はマイクロ波源のいずれでも、遠隔プラズマ源を発生させることができる。加えて、遠隔プラズマ発生反応性種と除去されるべき物質との反応は、反応器を加熱することにより活性化/向上させることができる。遠隔プラズマ発生反応性種と除去されるべき物質との反応は、プロセスガス中に含まれる酸素及びフッ素含有源を解離するのに十分な温度に反応器を加熱することにより活性化及び/又は向上させることができる。除去されるべき物質とのエッチングプロセスを活性化するのに必要とされる具体的な温度は、プロセスガスの製法に依存している。
あるいはまた、反応性ガスの分子を強力な紫外線(UV)放射により解離して反応性ラジカル及び原子を形成することができる。UV放射はまた、望ましくない材料における強い化学結合の切断を促進するため、除去されるべき物質の除去速度を高めることができる。
遠隔熱活性化においては、反応性ガスは、まず、プロセスチャンバー外部の加熱領域に流される。ここで、ガスは、基材を収容しているチャンバーの外部の容器内で高温に接触することにより解離する。その代わりとなるアプローチとしては、反応性ガスを解離するための触媒変換器の使用、又はプロセスガス中の水素及びフッ素源の活性化を容易にするための熱加熱と接触分解の組み合わせの使用が挙げられる。
他の実施態様においては、反応性ガスの分子は、光子への強力な暴露により解離して反応性種を形成することができる。例えば、紫外線、深紫外線及び真空紫外線放射は、除去されるべき物質における強い化学結合を切断して、プロセスガス中の水素及びフッ素源を解離し、それによって望ましくない物質の除去速度を高めることを促進させることができる。本明細書に記載されるエッチングプロセスに対する活性化及び向上の他の手段もまた用いることができる。例えば、光子誘起化学反応を使用して反応性種を発生させ、エッチング反応を向上させることができる。
エッチングプロセスに対する活性化及び向上の他の手段もまた用いることができる。例えば、光子誘起化学反応を遠隔又はその場のいずれかで使用して反応性種を発生させ、エッチング反応を向上させることができる。さらに、反応性ガスの接触転化を使用してプロセスチャンバーを洗浄するための反応性種を形成することもできる。
さらに図1Eと図1Fを参照すると、誘電体層103と同様のドライエッチ特性を有する多孔質の犠牲光吸収材料をビア107に満たすことにより、トレンチのリソグラフィープロセスは、ビアのない表面と同様、実質的に「孔のない」表面に対して効果的に適用される。適切なシリカ含有材料、多孔質の犠牲光吸収材料104のための適切な光吸収化合物、及び適切なエッチ化学を選択することにより、トレンチ106は、多孔質の犠牲光吸収材料104が除去される速度とほぼ同じ速度で以って多孔質の誘電体層103中にエッチングすることができる。このようなプロセスはトレンチがエッチングされるときに多孔質の誘電体層103の下に位置するエッチングされた特徴を保護するので、優れたトレンチ及びビアのプロファイルを作り出す。
トレンチ106がエッチングされた後、フォトレジスト136の残りの部分を除去することができる。典型的には、低温、低圧のアッシング工程を用いてフォトレジスト136を除去する。他の方法においては、フォトレジスト層136は、多孔質の誘電体層103と多孔質の犠牲光吸収材料104を除去するのに適した上記方法のいずれかによって除去することができる。フォトレジスト層136を除去することにより、図1Gに示す構造が生成される。
同様に、トレンチ106がエッチングされた後、多孔質の犠牲光吸収材料104の残りの部分109を除去しなければならない。これは、多孔質の誘電体層103の除去速度よりも有意に高い多孔質の犠牲光吸収材料104の除去速度を提供するプロセスを用いることにより達成されることが好ましい。本発明の幾つかの実施態様においては、多孔質の犠牲光吸収材料104の残りの部分109は、当該多孔質の犠牲光吸収材料104の残りの部分109を、多孔質の誘電体層103を除去するよりも有意に速い速度で以って除去するドライエッチプロセスにより除去される。
本発明の好ましい実施態様においては、使用できるウェットエッチ用化学物質としては、例えば、溶媒及び/又は剥離剤の配合物が挙げられる。溶媒は、例えば、アルコール溶媒、ケトン溶媒、アミド溶媒又はエステル溶媒であることができる。幾つかの実施態様においては、溶媒は、超臨界流体、例えば、二酸化炭素、フルオロカーボン、六フッ化硫黄、アルカン、及び他の好適な多成分組成物などであることができる。幾つかの実施態様においては、本発明で用いられる1つ又は複数の溶媒は、比較的低い沸点、即ち、160℃未満の沸点を有する。これらの溶媒としては、テトラヒドロフラン、アセトン、1,4−ジオキサン、1,3−ジオキソラン、酢酸エチル、及びメチルエチルケトンが挙げられるがそれらに限定されない。本発明で使用できるが160℃を超える沸点を有する他の溶媒としては、ジメチルホルムアミド、ジメチルアセトアミド、N−メチルピロリドン、炭酸エチレン、炭酸プロピレン、グリセロール及びその誘導体、ナフタレン及びその置換体、無水酢酸、プロピオン酸及び無水プロピオン酸、ジメチルスルホン、ベンゾフェノン、ジフェニルスルホン、フェノール、m−クレゾール、ジメチルスルホキシド、ジフェニルエーテル、テルフェニルなどが挙げられる。好ましい溶媒としては、プロピレングリコールプロピルエーテル(PGPE)、3−ヘプタノール、2−メチル−1−ペンタノール、5−メチル−2−ヘキサノール、3−ヘキサノール、2−ヘプタノール、2−ヘキサノール、2,3−ジメチル−3−ペンタノール、プロピレングリコールメチルエーテルアセテート(PGMEA)、エチレングリコールn−ブチルエーテル、プロピレングリコールn−ブチルエーテル(PGBE)、1−ブトキシ−2−プロパノール、2−メチル−3−ペンタノール、2−メトキシエチルアセテート、2−ブトキシエタノール、2−エトキシエチルアセトアセテート、1−ペンタノール、及びプロピレングリコールメチルエーテルが挙げられる。なお更なる例示的な溶媒としては、乳酸エステル、ピルビン酸エステル及びジオールが挙げられる。さらに例示的な溶媒としては、欧州特許第1,127,929号明細書に記載されている溶媒が挙げられる。上に列挙される溶媒は、単独で又は2つ以上の溶媒を組み合わせて使用することができる。
ウェット除去は、1つ又は複数の剥離剤配合物を用いて行うことができる。これらの配合物は、溶媒ベース、水ベース、アミン含有、フッ化物含有、緩衝剤添加、又はそれらを組み合わせたものであることができる。特定の配合物の選択は、除去されるべき多孔質の誘電体と多孔質の犠牲光吸収材料の性質に依存している。好適な剥離剤配合物の例としては、米国特許第6,583,104号明細書、同第6,677,286号明細書、同第6,627,546号明細書及び同第6,828,289号明細書、並びに米国特許出願公開第2004/0266637号明細書、同第2004/0063042号明細書、同第2003/0130146号明細書及び同第2003/0148910号明細書に記載されているものが挙げられ、これらの特許文献はその参照により全体として本明細書に含まれ、また、本願の譲受人に譲渡されている。
好ましい実施態様においては、残りの部分109、即ち、多孔質の犠牲光吸収材料の除去速度は、多孔質の誘電体層103の除去速度の少なくとも5倍又は少なくとも10倍でなければならない。しかしながら、画定されるべき特徴の幾何学的形状に応じて、多孔質の犠牲光吸収材料の除去速度が、多孔質の誘電体層103の除去速度と実質的に同じであるか、あるいは多孔質の誘電体層103の除去速度よりも大きいか又はそれよりも小さい追加の除去工程を加えることが望ましい場合がある。
ウェットエッチ工程の後、ビア107と導電層101を隔てるバリア層102の部分を除去して、図1Hに示すように導電層101を露出させることができる。バリア層の除去工程に続いて、トレンチ106とビア107を第2の導電層(図示せず)で満たすことができる。
1つの特定の実施態様においては、本明細書に記載される方法は、多孔質の犠牲光吸収材料として多孔質のBARC材料を含む特徴を画定するのに使用することができる。図2は、「層」とも称される多孔質のBARC材料に関連する本明細書に記載される方法の1つの実施態様の例を与えている。図2について述べると、第1工程201において、例えば、多孔質の低k材料であることができる第1の材料が基材上に堆積される。次の工程202において、第1の材料が第1のパターニングされたフォトレジストで覆われ、例えば、ドライエッチ(例えば、反応性イオンエッチ(RIE))であることができる予備的な除去工程203を実施して覆われていない第1の材料が除去される。次の工程204において、例えば、多孔質のBARCのような第2の材料の層が適用され、第1の材料又は多孔質の低k材料中のパターニングされた孔が満たされる。特徴のアスペクト比が高い幾つかの実施態様においては、第2の材料は、それが隙間を効率的に埋めるよう選択される。次いで、基材が第2のパターニングされたフォトレジストで覆われる(工程205)。例えば、RIEエッチなどの除去工程206を実施し、マスクされていない多孔質のBARC材料と多孔質の低k材料の部分が除去される。次いで、例えば、ウェットエッチなどの除去工程207を実施し、層間の多孔質誘電体に影響を及ぼすことなく多孔質のBARC材料が除去される。この特定の実施態様においては、本明細書に記載される方法の最終的な結果208は、明確な界面を有する鮮明なビア及びトレンチパターンなどの特徴を備えたパターニングされた第1の材料である。この点について、本方法では、例えば、これら特定の特徴を達成するために、ビアとトレンチのレベル間にエッチストップ層を埋め込む必要性を排除することができる。
除去工程206において、多孔質のBARC材料と多孔質の低k材料はエッチング剤により除去される。除去工程206は、例えば、反応性ガスを含むドライエッチプロセスにより減圧チャンバーにおいて行うことができる。除去工程206の際、多孔質のBARC材料の第1の除去工程における除去速度は、多孔質の低k材料の除去速度と実質的に同じ、即ち、それの3倍未満又は2倍未満でなければならない。除去工程206では、多孔質のBARCと多孔質の低k材料は、多孔質の低k材料のある特定の深さを除去するために実質的に同じ除去速度又はドライエッチ速度を有することが好ましい。
さらに図2について言えば、除去工程207により、下地の第1の材料又は多孔質の低k材料に影響を及ぼすことなく多孔質のBARC層が除去される。除去工程207では、多孔質のBARC材料の除去速度は、多孔質の低k材料の除去速度の少なくとも5倍又は少なくとも10倍でなければならない。1つの特定の実施態様においては、第2の除去工程はウェットエッチにより行われることが好ましい。この実施態様では、多孔質の低k材料よりも多孔質のBARC材料のウェットエッチ化学の選択性が高いため、多孔質の低k材料に影響を及ぼすことなく多孔質のBARC材料を選択的に除去することができる。他の実施態様においては、基材及び画定されるべき特徴の幾何学的形状に応じて、多孔質のBARC材料の第2の除去速度は、多孔質の低k材料の少なくとも5倍未満又は少なくとも10倍未満であることが望ましい場合がある。
幾つかの好ましい実施態様においては、スピンオン堆積された材料、例えば、MESOELK(商標)がBARC材料として使用でき、PECVD堆積された多孔質の低k材料又はPDEMS(商標)が、シリカ系材料内に複雑な構造を必要とするマイクロエレクトロニクス用途又は他の用途のための相互接続構造を現像する際に使用する低k材料として使用できる。図2に示される実施態様においては、多孔質の低k材料は、例えば、シリカ含有前駆体ガスのジエトキシメチルシラン(DEMS)と有機ポロゲンから堆積される複合材料で生成された多孔質の有機ケイ酸塩であることができる。この実施態様においては、PECVD材料は多孔質の構造を示す。同様に、スピンオン堆積により生成されるMESOELK(商標)材料は、PDEMS(商標)と同様のドライエッチ速度と、エッチング剤が適切に適合された場合にPDEMS(商標)よりも有意に高いウェットエッチ速度とを有することが示されている。MESOELK(商標)材料は、官能基化されたアルコキシシラン前駆体、触媒、ポロゲン及び溶媒を含む膜形成流体として、米国特許第6,818,289号明細書で一般的に記載されている。当該特許文献はその参照により全体として本明細書に含まれる。好ましくは、光吸収化合物が官能基化されたアルコキシシラン前駆体、触媒、ポロゲン及び溶媒に添加される。
特定の理論に束縛されることを望むものではないが、PDEMS(商標)とMESOELK(商標)の両組成物は、結果としてこれら2つの材料において同様のドライエッチ速度であると考えられる。しかしながら、これら2つの材料の細孔は異なる構造を有する。MESOELK(商標)は連続気泡の多孔質構造を有するが、PDEMS(商標)はより分離した細孔構造を有することができる。このような相違のために、ウェットエッチプロセスにさらされたときに異なる除去速度を得ることができる。上に記載したとおり、PDEMS(商標)とMESOELK(商標)は、使用できる具体的な材料の2つの例に過ぎない。
1つの特定の実施態様においては、本明細書に記載される方法は、第2の材料の選択及び堆積により高アスペクト比を有する特徴を提供する。この実施態様では、第2の材料は特定の表面張力及び粘度を有し、それによって高アスペクト比のビアを埋めることができる。
例1〜3では、各膜の厚さ及び屈折率は、SCI Filmtek 2000 Reflectometerにより測定した。誘電率は、低抵抗率のp型ウェハ(<0.02Ω・cm)上でHgプローブ法を使用して測定した。機械的性質は、MTS Nano Indenterを使用して測定した。
以下の幾つかの例では、UV照射は、Fusion UV model F305紫外線ランプにより実施した。UV照射される膜は、シールされたエンドキャップを有する2インチ直径の石英ガラス管に入れた。真空又は不活性な雰囲気を必要とする例では、UV照射の前に3回のポンプ及びパージサイクルを実施し、存在する任意の酸素をプロセス管から確実に除去した。照射時間は0〜30分の間で変化させた。
例4〜5では、各膜の厚さは、Sentech Instruments GmbH製の角度可変分光エリプソメーター、Model SE 800を使用して分光エリプソメトリーにより測定し、SpectraRayソフトウェアにより計算した。膜厚は、400〜800nmの波長範囲においてBruggemannなどの各種モデルを使用して測定をシミュレートすることにより約1以下の平均自乗誤差で以って得た。厚さの値について、シミュレートされた厚さの値とプロフィロメトリーにより測定した実際の膜厚値との間の誤差は一般に2%未満であった。それぞれの例示的な膜4〜5の誘電率は、ASTM規格D150−98によって測定した。各膜のキャパシタンス−電圧は、Solartron Model SI 1260 Frequency AnalyzerとMSI Electronics Model Hg 401単接点水銀プローブにより1MHzで得た。キャパシタンスの測定値と水銀電極の面積(A)の誤差は1%未満であった。基材(ウェハ)のキャパシタンス(CSi)、バックグラウンドキャパシタンス(Cb)及び合計キャパシタンス(CT)を+20〜−20Vの間で測定し、薄膜試料のキャパシタンス(Cs)を以下の式(1)
Figure 2006237603
により計算した。各膜の誘電率は、以下の式(2)(式中、dは膜厚であり、Aは水銀電極の面積であり、ε0は真空の誘電率である)
Figure 2006237603
により計算した。膜の誘電率の合計誤差は6%未満であると予想された。
[例1、2及び3:PECVD堆積された膜]
Advance Energy 200高周波発生器を備えた200mm DxZ減圧チャンバーのApplied Materials Precision−500システムとドープされていないTEOSのプロセスキットとを使用して例示的なPECVD膜を堆積した。このPECVDプロセスは、以下の基本的な工程、即ち、ガス流堆積の初期セットアップ及び安定化、並びにウェハを取り出す前のチャンバーのパージ/排気を伴う。例示的な膜1は、前駆体のジエトキシメチルシラン(DEMS)をキャリヤーガスとしての二酸化炭素とともに使用して堆積した。例示的な膜2は、前駆体のDEMSとポロゲンとしてのαテルピネン(ATP)をキャリヤーガスとしての二酸化炭素とともに使用して堆積した。例示的な膜3では、DEMSとATPを含有する堆積されたままの膜を1torr未満の圧力で10分間UV光に暴露し、その中に含まれているATPを少なくとも部分的に除去した。膜の温度は、暴露の間約400℃に達した。
[例4:スピンオン堆積された約2.2の誘電率を有する膜]
シリカ源であるオルトケイ酸テトラエチル(TEOS)22.5gとメチルトリエトキシシラン(MTES)22.5gを一緒に混合する。このシリカ源にプロピレングリコールプロピルエーテル(PGPE)100gと9.7gのTriton X−114を添加して完全に混合する。別の容器において、0.1Mの硝酸(HNO3)24gと2.4wt%のテトラメチルアンモニウムヒドロキシド(TMAH)1gを合わせて完全に混合する。シリカ源溶液を混合しながら、HNO3/TMAH溶液を添加する。溶液全体を1時間混合する。典型的には、次いでこの溶液を室温で1日間熟成させた後、0.2ミクロンのテフロン(登録商標)フィルターで濾過する。次いで、この溶液をSiウェハ上に堆積して500rpmで7秒間回転させ、続いて40秒間にわたって1800rpmまで加速させる。次いで、この方法により調製した膜を空気中90℃で90秒間、180℃で90秒間、及び400℃で3分間硬化する。
[例5:スピンオン堆積された約1.9の誘電率を有する膜]
オルトケイ酸テトラエチル(TEOS)22.5gとメチルトリエトキシシラン(MTES)22.5gを一緒に混合する。このケイ酸塩にプロピレングリコールプロピルエーテル(PGPE)115gと16.1gのTriton X−114を添加して完全に混合する。別の容器において、0.1Mの硝酸(HNO3)24gと2.4wt%のテトラメチルアンモニウムヒドロキシド(TMAH)1gを合わせて完全に混合する。ケイ酸塩溶液を混合しながら、HNO3/TMAH溶液を添加する。溶液全体を1時間混合する。典型的には、次いでこの溶液を室温で1日間熟成させた後、0.2ミクロンのテフロン(登録商標)フィルターで濾過する。次いで、この溶液をSiウェハ上に堆積して500rpmで7秒間回転させ、続いて40秒間にわたって1800rpmまで加速させる。次いで、この方法により調製した膜を空気中90℃で90秒間、180℃で90秒間、及び400℃で3分間硬化する。
[プラズマエッチングによる除去]
以下の例は、商業生産規模のApplied Materials P−5000 Mark II反応器において行った。これらの実験は、図3に示される構成に類似の平行平板型容量結合RFプラズマ反応器300において行った。各実験に関して、基材310を反応器チャック320の上に載せた。プロセスガス330は、上部に取り付けたシャワーヘッド340から反応器300に供給した。次いで、13.56MHzのRF電源350によりチャックに電力供給してプラズマを発生させた(図示せず)。チャックはヘリウム裏面冷却システム360を有する。揮発性種(図示せず)は、ターボポンプ(図示せず)によりポンピングリング370を介して反応チャンバー300から除去される。ポンピングリング370は、反応チャンバー中に含まれるガス及び揮発性種を送出するよう軸対称の経路を作り出す。
P−5000反応器は、容量結合型反応性イオンエッチャー(RIE)モードで操作される。200mmのウェハをRF電力供給の下部電極上に配置する。なお、この電極は約182cm2の有効RF「ホット」表面積を有している。FTM、Ar、C46及びO2などの化学試薬をシャワーヘッドを介して反応チャンバーに流す。13.56MHzのRF電力が、RF発生器から自動整合ネットワークを介して供給される。Applied Materials Mark II反応器は、200mmのウェハを処理するのに8Torrで以って締付けリングの機械チャック及びヘリウム裏面冷却を使用する。ウェハチャックは20℃で水冷される。典型的なヘリウム裏面冷却の圧力は約8Torrでサーボ制御される。Applied Materials P−5000 Mark II反応器は、磁場閉じ込めにより作動してプラズマ密度を増大させ、そうしてエッチ速度及び均一性を改善する。このタイプの反応器は、しばしば磁界促進反応性イオンエッチャー(MERIE)と称される。
選択的異方性エッチングを促進させるために、アルゴンなどの不活性ガスが、しばしば上記エッチング剤に関する希釈剤として使用される。以下の例においては、別段の記載がない限り、反応器は、13.56MHz、1000W又は約3W/cm2の電力密度で電力供給した。これにより、結果として約−900Vの典型的な直流(DC)バイアス電圧を得た。チャンバー圧力は35mTorrに保持した。磁界は50ガウスにセットした。
表1は、種々のAr、C46及びO2流量において、異なる第1及び第2多孔質有機ケイ酸塩材料に関するドライエッチ速度を測定した一連の実験結果を示している。ドライエッチ速度は右端の欄に示される。表1の実験3aと表2の実験2を比較すると、同じAr、C46及びO2流量(それぞれ146、26及び28sccm)で、例4と例5の組成物に関するドライエッチ速度は、例2と例3の組成物に関するドライエッチ速度の約2.5倍に過ぎないことが分かる。
[種々の剥離剤配合物に暴露することによる除去]
エッチ速度を以下のようにして測定した。容積200mlの剥離剤を、1インチの円形撹拌子を備えた250mlビーカーに入れて撹拌した(450rpm)。ビーカーをホットプレート上に置き、試験溶液を表2に示す温度に加熱した。なお、温度は校正された温度計により測定した。各エッチ速度の試験には、サイズが少なくとも1インチ×1インチのウェハセグメントを使用した。このセグメントは、110℃又は200℃で以って10分間オーブンで焼成し、次いで窒素貯蔵ボックス内で3分間冷却した。Sentech SE−800分光エリプソメーターを用いて、最初の誘電体膜の厚さをセグメント上の3つの異なる場所で測定してエッチ速度用紙に記録した。ピンセットを使用してセグメントを試験溶液中に5分間入れた。1個のセグメントしか試験しない場合には、裸のシリコンのダミーセグメントも誘電体セグメントの反対側でビーカーに入れた。誘電体セグメントを試験溶液から取り出し、脱イオン水でオーバーリンスを行うためビーカーに入れた。セグメントを3分間すすいで脱イオン水から取り出し、セグメントにN2を吹き付けることにより乾燥した。セグメントを先に記載したように焼成し、誘電体膜の厚さをセグメント上の3つの異なる場所で測定してエッチ速度用紙に記録した。厚さを測定した後、以下のように処理した。即ち、セグメントをウェットエッチ剥離剤に浸し、脱イオン水ですすぎ、窒素雰囲気で乾燥し、焼成し、そして厚さを測定した。この処理を10、20、40及び60分間の累積浸漬時間で続けた。60分の累積剥離時間に達する前に膜が完全に除去された場合には、試験はその時点で終了した。
各浸漬時間で3回ずつ測定した測定値を平均した。厚さのデータを浸漬時間に対してプロットし、その曲線に線形回帰の傾向線を当てはめた。その傾向線の勾配がエッチ速度である(この勾配は負の値であるが、エッチ速度は正の数として表される)。膜が最初の5分以内で完全に除去された場合には、膜が実際にエッチングで除去されたのか又は膜がセグメントから単に剥離しただけで実際にエッチングは起こらなかったのか判断できなかった。このような場合には、エッチ速度を>A(Aは最初の厚さを5分で割った比率である)と記録した。
表2は、種々のエッチング剤配合物に関するウェットエッチ速度のデータを与えている。「ウェットエッチ比」と記入された欄は、種々のエッチング剤に関する[例示的な膜4のウェットエッチ速度]/[例示的な膜3のウェットエッチ速度]の比を示している。第2の欄は配合物の化学的タイプを示している。この表は、ウェット剥離剤配合物EZStrip(商標)20では、例示的な膜4のウェットエッチ速度が例示的な膜3の約30倍であることを示している。EZStrip(商標)20は半水溶性アミンである。別の半水溶性アミン970は、約8のウェットエッチ比を与えている。フッ素含有剥離剤であるNE−111では約6の比が得られる。
Figure 2006237603
Figure 2006237603
[本発明での使用による上記材料の変換]
光吸収化合物を例5の材料に添加し、193nmのフォトリソグラフィー処理のための多孔質の犠牲光吸収材料を得た。ウェットエッチプロセスの際の多孔質の誘電体材料の除去に対する多孔質の犠牲光吸収材料の除去の選択性は実質的には変わらなかった。
光吸収化合物を例4の材料に添加し、193nmのフォトリソグラフィー処理のための多孔質の犠牲光吸収材料を得た。ドライ及びウェットエッチプロセスの際の多孔質の誘電体材料の除去に対する多孔質の犠牲光吸収材料の除去の選択性は不利に影響を受けた。ウェットエッチの選択性は、犠牲光吸収材料の多孔性を変化させること及び組成を変更することにより改善することができる。組成を変更すること及び犠牲光吸収材料の多孔性を変化させることにより、多孔質の犠牲光吸収材料と多孔質の誘電体に関して同等のドライエッチ速度を得ることができる。当業者に周知の標準的な実験設計プラクティスを通してウェット及びドライエッチのプロセス及び化学作用を調整することにより、ウェット及びドライエッチ速度をさらに最適化することができる。
先の説明では、半導体デバイスを製造するためのこのような方法において使用できる特定の工程、材料及び設備が記載されているが、当業者であれば、多くの変更及び置換がなされ得ることを理解するであろう。例えば、上で示された実施態様では、ビアを形成した後トレンチを形成する方法に本発明を適用しているが、本発明の方法は、トレンチを形成した後ビアを形成する方法に等しく適用できる。したがって、上記実施態様に対する全ての変更、修正、置換及び付加は、特許請求の範囲で規定される本発明の趣旨及び範囲内にあるとみなされるものである。
本発明の1つの実施態様に従って、デュアルダマシンの相互接続を有する半導体デバイスを製造するため幾つかの工程を使用した後に得られる構造を反映した断面図を示す。 本発明の1つの実施態様に従って、デュアルダマシンの相互接続を有する半導体デバイスを製造するため幾つかの工程を使用した後に得られる構造を反映した断面図を示す。 本発明の1つの実施態様に従って、デュアルダマシンの相互接続を有する半導体デバイスを製造するため幾つかの工程を使用した後に得られる構造を反映した断面図を示す。 本発明の1つの実施態様に従って、デュアルダマシンの相互接続を有する半導体デバイスを製造するため幾つかの工程を使用した後に得られる構造を反映した断面図を示す。 本発明の1つの実施態様に従って、デュアルダマシンの相互接続を有する半導体デバイスを製造するため幾つかの工程を使用した後に得られる構造を反映した断面図を示す。 本発明の1つの実施態様に従って、デュアルダマシンの相互接続を有する半導体デバイスを製造するため幾つかの工程を使用した後に得られる構造を反映した断面図を示す。 本発明の1つの実施態様に従って、デュアルダマシンの相互接続を有する半導体デバイスを製造するため幾つかの工程を使用した後に得られる構造を反映した断面図を示す。 本発明の1つの実施態様に従って、デュアルダマシンの相互接続を有する半導体デバイスを製造するため幾つかの工程を使用した後に得られる構造を反映した断面図を示す。 BARC層を含む本発明の実施態様のフローダイアグラムを与える。 本発明に従った使用のためのドライエッチ除去プロセスに関する例示的な実験構成を与える。
符号の説明
100 基材
101 導電層
102 バリア層
103 多孔質の誘電体層
104 多孔質の犠牲光吸収材料
105 細孔
106 トレンチ
107 ビア
130、136 フォトレジスト層

Claims (28)

  1. 基材上に多孔質の誘電体層を形成する工程;
    当該多孔質の誘電体層の第1の部分を除去して第1のエッチング領域を形成する工程;
    当該第1のエッチング領域を、前記多孔質の誘電体層と類似のドライエッチ特性を有する多孔質の犠牲光吸収材料で満たす工程;
    当該多孔質の犠牲光吸収材料の一部と前記多孔質の誘電体層の第2の部分を除去して第2のエッチング領域を形成する工程;及び
    前記多孔質の犠牲光吸収材料のエッチ速度が前記多孔質の誘電体層のエッチ速度よりも高いプロセスを用いることにより、当該多孔質の犠牲光吸収材料の残りの部分を除去する工程
    を含む、基材に特徴を形成する方法。
  2. 前記基材が半導体ウェハである、請求項1に記載の方法。
  3. 前記多孔質の誘電体層の第1の部分を除去して第1のエッチング領域を形成する工程の前に、フォトレジスト層を堆積しパターニングする工程と;
    前記第1のエッチング領域を、前記多孔質の誘電体層と類似のドライエッチ特性を有する多孔質の犠牲光吸収材料で満たす工程の後に、フォトレジスト層を堆積しパターニングする工程と
    をさらに含む、請求項1に記載の方法。
  4. 前記多孔質の誘電体層の第1の部分を除去する工程がドライエッチプロセスによって実施される、請求項1に記載の方法。
  5. 前記多孔質の犠牲光吸収材料の一部と前記多孔質の誘電体層の第2の部分を除去する工程がドライエッチプロセスによって実施される、請求項1に記載の方法。
  6. 前記多孔質の犠牲光吸収材料の残りの部分を除去する工程に用いられるプロセスがドライエッチプロセスである、請求項1に記載の方法。
  7. 前記多孔質の犠牲光吸収材料の残りの部分を除去する工程に用いられるプロセスがウェットエッチプロセスである、請求項1に記載の方法。
  8. 前記多孔質の誘電体層がSi、C、O及びHを含む、請求項1に記載の方法。
  9. 前記多孔質の誘電体層がN、F、B、Al、Ge及びPをさらに含む、請求項8に記載の方法。
  10. 前記多孔質の誘電体層が化学気相成長法により形成される、請求項1に記載の方法。
  11. 前記多孔質の誘電体層が非接触誘導堆積法により形成される、請求項1に記載の方法。
  12. 前記多孔質の犠牲光吸収材料がSi、C、O及びHを含む、請求項1に記載の方法。
  13. 前記多孔質の犠牲光吸収材料がS、Ti、V、N、F、B、Al、Ge、P、Zn、In、Sn、Ga又はそれらの混合物をさらに含む、請求項12に記載の方法。
  14. 前記多孔質の犠牲光吸収材料が、248nm以下又は193nm以下の波長において光吸収性である、請求項12に記載の方法。
  15. 前記多孔質の犠牲光吸収材料が、染料、ハロゲン化トリアジン、オニウム塩、スルホン化エステル、ジアリールヨードニウム塩、トリアジン、ヨードニウム塩、スルホニウム塩、ジアゾメタン、ハロゲン化スルホニルオキシジカルボキシイミド、ベンゾイントシラート、t−ブチルフェニルα−(p−トルエンスルホニルオキシ)−アセテート、t−ブチルα−(p−トルエンスルホニルオキシ)アセテート、N−ヒドロキシフタルイミドトリフレート、2−(4−メトキシスチリル)−4,6−ビス(トリクロロメチル)−1,3,5−トリアジン、N−ヒドロキシ−5−ノルボルネン−2,3−ジカルボキシイミドナノフレート、2−ニトロベンジルシクロヘキサンカルバメート、トリフェニルスルホニウムヒドロキシド、イソプロピル−9H−チオキサンテン−9−オン、アントラセンカルボニトリル、アントラセンメタノール、アントラキノンジスルホン酸の二ナトリウム塩、ピレン、ペリレン、及びそれらの混合物からなる群より選択された添加剤のうち1つ又は複数を含む、請求項14に記載の方法。
  16. 前記第1のエッチング領域を多孔質の犠牲光吸収材料で満たす工程が化学気相成長法によって実施される、請求項1に記載の方法。
  17. 前記第1のエッチング領域を多孔質の犠牲光吸収材料で満たす工程が非接触誘導堆積法によって実施される、請求項1に記載の方法。
  18. 前記多孔質の誘電体層と前記多孔質の犠牲光吸収材料が有機ケイ酸塩を含む、請求項1に記載の方法。
  19. 前記多孔質の誘電体層が、ジエトキシメチルシランを含む少なくとも1つのシリカ前駆体を用いた化学気相成長法により生成される有機ケイ酸塩材料である、請求項18に記載の方法。
  20. 前記多孔質の犠牲光吸収材料が、シリカ源、溶媒及び光吸収材料を含む混合物を用いたスピンオン堆積法により形成される、請求項18に記載の方法。
  21. 前記スピンオン堆積法が、ポロゲンをさらに含む混合物を用いる、請求項20に記載の方法。
  22. 前記多孔質の誘電体層の多孔性が、前記多孔質の犠牲光吸収材料の多孔性と異なる構造である、請求項18に記載の方法。
  23. 前記多孔質の犠牲光吸収材料が相互接続された細孔構造を有する、請求項22に記載の方法。
  24. シエトキシメチルシランを含む少なくとも1つのシリカ前駆体ガスのプラズマ化学気相成長により基材上に多孔質の誘電体層を形成する工程;
    当該多孔質の誘電体層の第1の部分をドライエッチプロセスにより除去して第1のエッチング領域を形成する工程;
    当該第1のエッチング領域を、官能基化されたアルコキシシラン前駆体、触媒、ポロゲン、光吸収材料及び溶媒を含む膜形成流体をスピンオン法で堆積することにより多孔質の犠牲光吸収材料で満たし、続いて溶媒とポロゲンを除去し、得られた材料が前記多孔質の誘電体層と類似のドライエッチ特性を有する工程;
    前記多孔質の犠牲光吸収材料の一部と前記多孔質の誘電体層の第2の部分を除去して第2のエッチング領域を形成する工程;並びに
    前記基材をウェットエッチ溶液にさらし、前記多孔質の誘電体層よりも高いウェットエッチ速度を有する前記多孔質の犠牲光吸収材料の残りの部分を除去する工程
    を含む、基材に特徴を形成する方法。
  25. 官能基化されたアルコキシシラン、ポロゲン、光吸収材料及び溶媒を含む組成物。
  26. 請求項25に記載の組成物から作製された多孔質の犠牲光吸収材料。
  27. 請求項1に記載の方法により製造された物品。
  28. 請求項25に記載の方法により製造された物品。
JP2006037889A 2005-02-15 2006-02-15 基材上に特徴を画定する方法 Pending JP2006237603A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US65287505P 2005-02-15 2005-02-15
US11/350,322 US20060183055A1 (en) 2005-02-15 2006-02-08 Method for defining a feature on a substrate

Publications (1)

Publication Number Publication Date
JP2006237603A true JP2006237603A (ja) 2006-09-07

Family

ID=36190586

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006037889A Pending JP2006237603A (ja) 2005-02-15 2006-02-15 基材上に特徴を画定する方法

Country Status (5)

Country Link
US (1) US20060183055A1 (ja)
EP (1) EP1691410A3 (ja)
JP (1) JP2006237603A (ja)
KR (1) KR100767255B1 (ja)
TW (1) TW200629412A (ja)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009094123A (ja) * 2007-10-04 2009-04-30 Fujitsu Microelectronics Ltd 半導体装置の製造方法
JP2009117817A (ja) * 2007-10-12 2009-05-28 Air Products & Chemicals Inc 反射防止膜
WO2011058947A1 (ja) * 2009-11-11 2011-05-19 日本電気株式会社 抵抗変化素子、半導体装置、および抵抗変化素子の形成方法
JP2011111635A (ja) * 2009-11-24 2011-06-09 Tosoh Corp 炭素含有酸化ケイ素膜からなる封止膜、及びその用途
WO2011158691A1 (ja) * 2010-06-16 2011-12-22 日本電気株式会社 抵抗変化素子及び抵抗変化素子の製造方法
JP2013016858A (ja) * 2010-02-04 2013-01-24 Air Products & Chemicals Inc ケイ素含有膜を調製するための方法
JP2013058698A (ja) * 2011-09-09 2013-03-28 Ibiden Co Ltd プリント配線板の製造方法
US8716148B2 (en) 2010-06-18 2014-05-06 Fujitsu Semiconductor Limited Semiconductor device manufacturing method
JP2016016578A (ja) * 2014-07-08 2016-02-01 キヤノン株式会社 インクジェット記録ヘッド用基板及びその製造方法、並びにインクジェット記録ヘッド
JPWO2018101404A1 (ja) * 2016-12-02 2019-04-18 株式会社アルバック 配線基板の加工方法
JP2021108391A (ja) * 2015-08-31 2021-07-29 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 半導体構造物をエッチングするための窒素含有化合物

Families Citing this family (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7404990B2 (en) * 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
GB0516477D0 (en) * 2005-08-11 2005-09-14 Optical Reference Systems Ltd Apparatus for measuring semiconductor physical characteristics
US20070151949A1 (en) * 2006-01-04 2007-07-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor processes and apparatuses thereof
US7807062B2 (en) * 2006-07-10 2010-10-05 Micron Technology, Inc. Electron induced chemical etching and deposition for local circuit repair
US7892978B2 (en) 2006-07-10 2011-02-22 Micron Technology, Inc. Electron induced chemical etching for device level diagnosis
US7791055B2 (en) 2006-07-10 2010-09-07 Micron Technology, Inc. Electron induced chemical etching/deposition for enhanced detection of surface defects
US7569484B2 (en) * 2006-08-14 2009-08-04 Micron Technology, Inc. Plasma and electron beam etching device and method
US7718080B2 (en) * 2006-08-14 2010-05-18 Micron Technology, Inc. Electronic beam processing device and method using carbon nanotube emitter
US7791071B2 (en) 2006-08-14 2010-09-07 Micron Technology, Inc. Profiling solid state samples
US7833427B2 (en) * 2006-08-14 2010-11-16 Micron Technology, Inc. Electron beam etching device and method
US7960708B2 (en) * 2007-03-13 2011-06-14 University Of Houston Device and method for manufacturing a particulate filter with regularly spaced micropores
US8404160B2 (en) 2007-05-18 2013-03-26 Applied Nanotech Holdings, Inc. Metallic ink
US10231344B2 (en) 2007-05-18 2019-03-12 Applied Nanotech Holdings, Inc. Metallic ink
US20090032873A1 (en) * 2007-07-30 2009-02-05 Jeffrey Scott Cites Ultra thin single crystalline semiconductor TFT and process for making same
US8987039B2 (en) 2007-10-12 2015-03-24 Air Products And Chemicals, Inc. Antireflective coatings for photovoltaic applications
US20090314510A1 (en) * 2008-01-11 2009-12-24 Kukowski Thomas R Elastomeric Conductors and Shields
KR20180033600A (ko) * 2008-01-23 2018-04-03 솔베이 플루오르 게엠베하 태양전지의 제조 방법
US8506849B2 (en) * 2008-03-05 2013-08-13 Applied Nanotech Holdings, Inc. Additives and modifiers for solvent- and water-based metallic conductive inks
EP2264219A4 (en) * 2008-03-26 2012-09-05 Jsr Corp MATERIAL FOR GAS PHASE DEPOSITION BY CHEMICAL PROCESS, INSULATING FILM CONTAINING SILICON AND METHOD FOR PRODUCING THE SAME
US20090286383A1 (en) * 2008-05-15 2009-11-19 Applied Nanotech Holdings, Inc. Treatment of whiskers
US9730333B2 (en) 2008-05-15 2017-08-08 Applied Nanotech Holdings, Inc. Photo-curing process for metallic inks
US20090324807A1 (en) * 2008-06-27 2009-12-31 Jih-Perng Leu Method for forming a porous material
EP2412007B1 (en) 2009-03-27 2020-07-22 Ishihara Chemical Co., Ltd. Buffer layer to enhance photo and/or laser sintering
US8422197B2 (en) 2009-07-15 2013-04-16 Applied Nanotech Holdings, Inc. Applying optical energy to nanoparticles to produce a specified nanostructure
US8323521B2 (en) * 2009-08-12 2012-12-04 Tokyo Electron Limited Plasma generation controlled by gravity-induced gas-diffusion separation (GIGDS) techniques
DE112010003607T5 (de) * 2009-09-11 2012-08-23 First Solar, Inc. Phovoltaik-rückkontakt
US8389663B2 (en) * 2009-10-08 2013-03-05 International Business Machines Corporation Photo-patternable dielectric materials curable to porous dielectric materials, formulations, precursors and methods of use thereof
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US20120083129A1 (en) 2010-10-05 2012-04-05 Skyworks Solutions, Inc. Apparatus and methods for focusing plasma
US9478428B2 (en) * 2010-10-05 2016-10-25 Skyworks Solutions, Inc. Apparatus and methods for shielding a plasma etcher electrode
WO2013095539A1 (en) * 2011-12-22 2013-06-27 Intel Corporation Chemically altered carbosilanes for pore sealing applications
US9598776B2 (en) 2012-07-09 2017-03-21 Pen Inc. Photosintering of micron-sized copper particles
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
WO2014172660A1 (en) * 2013-04-18 2014-10-23 Brigham Young University Porous material for thermal and/or electrical isolation and methods of manufacture
KR20160019438A (ko) * 2013-06-12 2016-02-19 뷰, 인크. 개선형 전기 접촉부를 위한 투명 전도 옥사이드(tco) 박막 전처리
US9339770B2 (en) * 2013-11-19 2016-05-17 Applied Membrane Technologies, Inc. Organosiloxane films for gas separations
US9461144B2 (en) 2014-06-13 2016-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method for semiconductor device fabrication
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
TWI660459B (zh) * 2015-06-22 2019-05-21 聯華電子股份有限公司 一種雙重鑲嵌製程
US9917017B2 (en) 2015-12-29 2018-03-13 Taiwan Semiconductor Manufacturing Company, Ltd. Replacement gate process for semiconductor devices
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) * 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US20170110336A1 (en) 2016-12-31 2017-04-20 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges CLuadeq Methods for minimizing sidewall damage during low k etch processes
US10347498B2 (en) 2016-12-31 2019-07-09 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Methods of minimizing plasma-induced sidewall damage during low K etch processes
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10681778B2 (en) 2017-11-21 2020-06-09 Watlow Electric Manufacturing Company Integrated heater and method of manufacture
US10529581B2 (en) * 2017-12-29 2020-01-07 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude SiN selective etch to SiO2 with non-plasma dry process for 3D NAND device applications
US10388510B2 (en) * 2018-01-12 2019-08-20 International Business Machines Corporation Wet strippable OPL using reversible UV crosslinking and de-crosslinking
CN108630527B (zh) * 2018-06-20 2020-08-14 矽力杰半导体技术(杭州)有限公司 一种接触孔的清洗方法
TWI811513B (zh) * 2019-03-20 2023-08-11 日商東芝股份有限公司 半導體晶圓及半導體裝置之製造方法
JP7193731B2 (ja) * 2019-03-29 2022-12-21 東京エレクトロン株式会社 エッチング方法及びエッチング装置
US20230083287A1 (en) * 2020-01-31 2023-03-16 3M Innovative Properties Company Bonded abrasive articles and methods of manufacture
JP2022048563A (ja) * 2020-09-15 2022-03-28 キオクシア株式会社 半導体ウェハおよび半導体装置の製造方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004221439A (ja) * 2003-01-17 2004-08-05 Matsushita Electric Ind Co Ltd 電子デバイスの製造方法
WO2004090974A1 (ja) * 2003-04-08 2004-10-21 Matsushita Electric Industrial Co., Ltd. 電子デバイス及びその製造方法

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR950007478B1 (ko) * 1992-06-17 1995-07-11 금성일렉트론주식회사 메탈 마스크 공정시 광반사 감소방법
MY113904A (en) * 1995-05-08 2002-06-29 Electron Vision Corp Method for curing spin-on-glass film utilizing electron beam radiation
FR2758003B1 (fr) * 1996-12-27 1999-06-18 France Telecom Traitement anti-reflet de surfaces reflectives
US6020458A (en) * 1997-10-24 2000-02-01 Quester Technology, Inc. Precursors for making low dielectric constant materials with improved thermal stability
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6159871A (en) * 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6054206A (en) * 1998-06-22 2000-04-25 Novellus Systems, Inc. Chemical vapor deposition of low density silicon dioxide films
US6171945B1 (en) * 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
WO2000040680A2 (en) * 1999-01-06 2000-07-13 Union Carbide Chemicals & Plastics Technology Corporation Aqueous cleaning compositions
US6828289B2 (en) * 1999-01-27 2004-12-07 Air Products And Chemicals, Inc. Low surface tension, low viscosity, aqueous, acidic compositions containing fluoride and organic, polar solvents for removal of photoresist and organic and inorganic etch residues at room temperature
US6207555B1 (en) * 1999-03-17 2001-03-27 Electron Vision Corporation Electron beam process during dual damascene processing
US6312793B1 (en) * 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US6204201B1 (en) * 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6592980B1 (en) * 1999-12-07 2003-07-15 Air Products And Chemicals, Inc. Mesoporous films having reduced dielectric constants
US6558755B2 (en) * 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
JP3795333B2 (ja) * 2000-03-30 2006-07-12 東京応化工業株式会社 反射防止膜形成用組成物
DE60128082D1 (de) * 2000-05-24 2007-06-06 Joseph H Sklar Komprimiertes sehnentransplantat und gerät zur herstellung
US6368400B1 (en) * 2000-07-17 2002-04-09 Honeywell International Absorbing compounds for spin-on-glass anti-reflective coatings for photolithography
US6441491B1 (en) * 2000-10-25 2002-08-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
US6583048B2 (en) * 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6632707B1 (en) * 2001-01-31 2003-10-14 Advanced Micro Devices, Inc. Method for forming an interconnect structure using a CVD organic BARC to mitigate via poisoning
US20030022800A1 (en) * 2001-06-14 2003-01-30 Peters Darryl W. Aqueous buffered fluoride-containing etch residue removers and cleaners
DE10131680A1 (de) * 2001-06-29 2003-01-23 Voith Paper Patent Gmbh Auftragsvorrichtung
US6627546B2 (en) * 2001-06-29 2003-09-30 Ashland Inc. Process for removing contaminant from a surface and composition useful therefor
EP1478682A4 (en) * 2001-11-15 2005-06-15 Honeywell Int Inc ANTIREFLECTIVE LAYERS FOR PHOTOLITHOGRAPHY AND METHODS OF PREPARATION THEREOF
US6573175B1 (en) * 2001-11-30 2003-06-03 Micron Technology, Inc. Dry low k film application for interlevel dielectric and method of cleaning etched features
US7335153B2 (en) * 2001-12-28 2008-02-26 Bio Array Solutions Ltd. Arrays of microparticles and methods of preparation thereof
US6943142B2 (en) * 2002-01-09 2005-09-13 Air Products And Chemicals, Inc. Aqueous stripping and cleaning composition
KR100819226B1 (ko) * 2002-02-27 2008-04-02 히다치 가세고교 가부시끼가이샤 실리카계 피막형성용 조성물, 실리카계 피막 및 그제조방법 및 전자부품
US6846515B2 (en) * 2002-04-17 2005-01-25 Air Products And Chemicals, Inc. Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7122880B2 (en) * 2002-05-30 2006-10-17 Air Products And Chemicals, Inc. Compositions for preparing low dielectric materials
US7307343B2 (en) * 2002-05-30 2007-12-11 Air Products And Chemicals, Inc. Low dielectric materials and methods for making same
US7357138B2 (en) * 2002-07-18 2008-04-15 Air Products And Chemicals, Inc. Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US20040011380A1 (en) * 2002-07-18 2004-01-22 Bing Ji Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US6849200B2 (en) * 2002-07-23 2005-02-01 Advanced Technology Materials, Inc. Composition and process for wet stripping removal of sacrificial anti-reflective material
US7166419B2 (en) * 2002-09-26 2007-01-23 Air Products And Chemicals, Inc. Compositions substrate for removing etching residue and use thereof
US7005390B2 (en) * 2002-10-09 2006-02-28 Intel Corporation Replenishment of surface carbon and surface passivation of low-k porous silicon-based dielectric materials
US7071112B2 (en) * 2002-10-21 2006-07-04 Applied Materials, Inc. BARC shaping for improved fabrication of dual damascene integrated circuit features
US7018918B2 (en) * 2002-11-21 2006-03-28 Intel Corporation Method of forming a selectively converted inter-layer dielectric using a porogen material
KR20040055685A (ko) * 2002-12-20 2004-06-26 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨 전자 디바이스 제조
US6876017B2 (en) * 2003-02-08 2005-04-05 Intel Corporation Polymer sacrificial light absorbing structure and method
US7098149B2 (en) * 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US6858528B2 (en) * 2003-03-20 2005-02-22 Intel Corporation Composite sacrificial material
KR100578737B1 (ko) * 2003-06-25 2006-05-12 학교법인 포항공과대학교 반응성 방사구조 고분자 및 이를 이용한 저유전성 고분자복합체 박막
US6803307B1 (en) * 2003-06-27 2004-10-12 Macronix International Co., Ltd. Method of avoiding enlargement of top critical dimension in contact holes using spacers
JP2005030304A (ja) * 2003-07-14 2005-02-03 Yamaha Motor Co Ltd ドライサンプ形4サイクルエンジンの潤滑装置
US20050011859A1 (en) * 2003-07-15 2005-01-20 Bing Ji Unsaturated oxygenated fluorocarbons for selective aniostropic etch applications
KR100583957B1 (ko) * 2003-12-03 2006-05-26 삼성전자주식회사 희생금속산화막을 채택하여 이중다마신 금속배선을형성하는 방법
US7504727B2 (en) * 2004-05-14 2009-03-17 International Business Machines Corporation Semiconductor interconnect structure utilizing a porous dielectric material as an etch stop layer between adjacent non-porous dielectric materials
US7132374B2 (en) * 2004-08-17 2006-11-07 Cecilia Y. Mak Method for depositing porous films
US20060105567A1 (en) * 2004-11-12 2006-05-18 Intel Corporation Method for forming a dual-damascene structure

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004221439A (ja) * 2003-01-17 2004-08-05 Matsushita Electric Ind Co Ltd 電子デバイスの製造方法
WO2004090974A1 (ja) * 2003-04-08 2004-10-21 Matsushita Electric Industrial Co., Ltd. 電子デバイス及びその製造方法

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009094123A (ja) * 2007-10-04 2009-04-30 Fujitsu Microelectronics Ltd 半導体装置の製造方法
JP2009117817A (ja) * 2007-10-12 2009-05-28 Air Products & Chemicals Inc 反射防止膜
US9231207B2 (en) 2009-11-11 2016-01-05 Nec Corporation Method for forming resistance changing element capable of operating at low voltage
WO2011058947A1 (ja) * 2009-11-11 2011-05-19 日本電気株式会社 抵抗変化素子、半導体装置、および抵抗変化素子の形成方法
US8946672B2 (en) 2009-11-11 2015-02-03 Nec Corporation Resistance changing element capable of operating at low voltage, semiconductor device, and method for forming resistance change element
JP5692085B2 (ja) * 2009-11-11 2015-04-01 日本電気株式会社 抵抗変化素子、半導体装置、および抵抗変化素子の形成方法
JP2011111635A (ja) * 2009-11-24 2011-06-09 Tosoh Corp 炭素含有酸化ケイ素膜からなる封止膜、及びその用途
JP2013016858A (ja) * 2010-02-04 2013-01-24 Air Products & Chemicals Inc ケイ素含有膜を調製するための方法
US9502234B2 (en) 2010-02-04 2016-11-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
US8703625B2 (en) 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
WO2011158691A1 (ja) * 2010-06-16 2011-12-22 日本電気株式会社 抵抗変化素子及び抵抗変化素子の製造方法
US8716148B2 (en) 2010-06-18 2014-05-06 Fujitsu Semiconductor Limited Semiconductor device manufacturing method
US9420697B2 (en) 2011-09-09 2016-08-16 Ibiden Co., Ltd. Method for manufacturing printed wiring board
JP2013058698A (ja) * 2011-09-09 2013-03-28 Ibiden Co Ltd プリント配線板の製造方法
JP2016016578A (ja) * 2014-07-08 2016-02-01 キヤノン株式会社 インクジェット記録ヘッド用基板及びその製造方法、並びにインクジェット記録ヘッド
JP2021108391A (ja) * 2015-08-31 2021-07-29 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 半導体構造物をエッチングするための窒素含有化合物
JP7079872B2 (ja) 2015-08-31 2022-06-02 レール・リキード-ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 半導体構造物上に窒素含有化合物を堆積させる方法
JPWO2018101404A1 (ja) * 2016-12-02 2019-04-18 株式会社アルバック 配線基板の加工方法
US11510320B2 (en) 2016-12-02 2022-11-22 Ulvac, Inc. Method of processing wiring substrate

Also Published As

Publication number Publication date
KR20060092118A (ko) 2006-08-22
EP1691410A3 (en) 2009-09-30
TW200629412A (en) 2006-08-16
KR100767255B1 (ko) 2007-10-17
US20060183055A1 (en) 2006-08-17
EP1691410A2 (en) 2006-08-16

Similar Documents

Publication Publication Date Title
KR100767255B1 (ko) 기판 상에 피쳐를 한정하는 방법
US7500397B2 (en) Activated chemical process for enhancing material properties of dielectric films
JP4028512B2 (ja) 低誘電体材料の作製方法
KR101163251B1 (ko) 유기 실리카계 막의 형성 방법, 유기 실리카계 막, 배선구조체, 반도체 장치, 및 막 형성용 조성물
US7122880B2 (en) Compositions for preparing low dielectric materials
KR100893120B1 (ko) 리소그래피 공정용 탑 코트
EP1296365B1 (en) Method of film formation
US8283260B2 (en) Process for restoring dielectric properties
EP1246239B1 (en) Method of forming dual damascene structure
JP2009117817A (ja) 反射防止膜
KR100671860B1 (ko) 규소 함유 잔류물을 기판으로부터 제거하기 위한 용매 및그 용매를 사용하여 규소 함유 잔류물을 기판으로부터 제거하는 방법
US20080264672A1 (en) Photoimprintable Low Dielectric Constant Material and Method for Making and Using Same
JP4101989B2 (ja) ポリオルガノシロキサン系組成物の製造方法、ポリオルガノシロキサン系組成物、および膜
JP2004059738A (ja) 膜形成用組成物、膜の形成方法およびシリカ系膜
US20070299176A1 (en) Photodefinable low dielectric constant material and method for making and using same

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080213

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100622

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20101214