JP2021108391A - 半導体構造物をエッチングするための窒素含有化合物 - Google Patents

半導体構造物をエッチングするための窒素含有化合物 Download PDF

Info

Publication number
JP2021108391A
JP2021108391A JP2021069197A JP2021069197A JP2021108391A JP 2021108391 A JP2021108391 A JP 2021108391A JP 2021069197 A JP2021069197 A JP 2021069197A JP 2021069197 A JP2021069197 A JP 2021069197A JP 2021108391 A JP2021108391 A JP 2021108391A
Authority
JP
Japan
Prior art keywords
etching
silicon
layer
nitrogen
formula
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2021069197A
Other languages
English (en)
Other versions
JP7079872B2 (ja
Inventor
ビジェイ・シュルラ
Surla Vijay
ラーフル・グプタ
Gupta Rahul
ヴェンカテスワラ・アール・パレム
R Pallem Venkateswara
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Air Liquide SA
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Original Assignee
Air Liquide SA
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Liquide SA, LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude filed Critical Air Liquide SA
Publication of JP2021108391A publication Critical patent/JP2021108391A/ja
Application granted granted Critical
Publication of JP7079872B2 publication Critical patent/JP7079872B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C251/00Compounds containing nitrogen atoms doubly-bound to a carbon skeleton
    • C07C251/02Compounds containing nitrogen atoms doubly-bound to a carbon skeleton containing imino groups
    • C07C251/04Compounds containing nitrogen atoms doubly-bound to a carbon skeleton containing imino groups having carbon atoms of imino groups bound to hydrogen atoms or to acyclic carbon atoms
    • C07C251/06Compounds containing nitrogen atoms doubly-bound to a carbon skeleton containing imino groups having carbon atoms of imino groups bound to hydrogen atoms or to acyclic carbon atoms to carbon atoms of a saturated carbon skeleton
    • C07C251/08Compounds containing nitrogen atoms doubly-bound to a carbon skeleton containing imino groups having carbon atoms of imino groups bound to hydrogen atoms or to acyclic carbon atoms to carbon atoms of a saturated carbon skeleton being acyclic
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C251/00Compounds containing nitrogen atoms doubly-bound to a carbon skeleton
    • C07C251/02Compounds containing nitrogen atoms doubly-bound to a carbon skeleton containing imino groups
    • C07C251/26Compounds containing nitrogen atoms doubly-bound to a carbon skeleton containing imino groups having nitrogen atoms of imino groups further bound to halogen atoms
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C255/00Carboxylic acid nitriles
    • C07C255/01Carboxylic acid nitriles having cyano groups bound to acyclic carbon atoms
    • C07C255/10Carboxylic acid nitriles having cyano groups bound to acyclic carbon atoms containing cyano groups and halogen atoms, or nitro or nitroso groups, bound to the same acyclic carbon skeleton
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • H10B12/0335Making a connection between the transistor and the capacitor, e.g. plug
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B69/00Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】シリコン含有膜をエッチングするための方法を提供する。【解決手段】方法は、基材の上のシリコン含有膜を含む反応チャンバの中に、窒素含有エッチング化合物(その窒素含有エッチング化合物は、少なくとも1個のC≡NまたはC=N官能基を含む有機フッ素化合物である)の蒸気を導入する工程、反応チャンバの中に不活性ガスを導入する工程及びプラズマを活性化させて、その基材からシリコン含有膜をエッチングすることが可能な、活性化された窒素含有エッチング化合物を得る工程を含む。【選択図】なし

Description

関連出願の相互参照
本出願は、米国特許出願第14/841,271号明細書(出願日:2015年8月31日)の利益を主張し、引用することによりその全てを、全ての目的のために本明細書に組み入れたものとする。
シリコン含有膜をエッチングするための方法は開示されている。その方法には、基材の上のシリコン含有膜を含む反応チャンバの中に、窒素含有エッチング化合物(その窒素含有エッチング化合物は、少なくとも1個のC≡NまたはC=N官能基を含む有機フッ素化合物である)の蒸気を導入する工程;その反応チャンバの中に不活性ガスを導入する工程;および、プラズマを活性化させて、その基材からシリコン含有膜をエッチングすることが可能な、活性化された窒素含有エッチング化合物を得る工程、が含まれる。
半導体産業におけるたとえばDRAMや2D NANDのようなメモリ用途においては、プラズマエッチングによって、半導体基材から、SiOまたはSiN層のようなシリコン含有膜を除去する。3D NANDの場合(たとえば、米国特許出願公開第2011/0180941号明細書(Samsung Electronics Co.,Ltd.)参照)、多層のSiO/SiNまたはSiO/ポリシリコン(p−Si)層のスタックをエッチングすることが不可欠である。マスク層とエッチングされる層との間で高い選択性を有しているエッチング剤が必須である。さらには、そのエッチングされた構造物が、真っ直ぐで垂直なプロファイルを有していて、ボーイング(bowing)や、低いLER(line etch roughness、ラインエッチラフネス)を有していてはならない。
慣用されているエッチングガスとしては、オクタフルオロシクロブタン(cC4F8)、ヘキサフルオロ−1,3−ブタジエン(C4F6)、CF4、CH2F2、CH3F、および/またはCHF3が挙げられる。C:Fの比率が高くなるほど、選択性およびポリマーのデポジション速度が高くなることはよく知られている(すなわち、C4F6>C4F8>CF4)。たとえば、米国特許第6,387,287号明細書(Hungら)を参照されたい。
しかしながら、慣用されているエッチ用化学薬品では、少なくともプラズマエッチングプロセスの際にサイドウォールの上へのエッチ抵抗性のポリマーのデポジションが不十分であるために、新規な用途(たとえば、3D NAND)で必要とされる、20:1を超えるアスペクト比を得ることは不可能である。−CxFy−(ここで、xは0.01〜1の範囲、yは0.01〜4の範囲である)では、サイドウォールの上のポリマーがエッチングを受けやすい。その結果、エッチングされたパターンが垂直ではなく、そのエッチ構造物が、ボーイング、寸法変化、パターン崩壊および/またはラフネスの増大を示す可能性がある。
ボーイングは、非晶質カーボン(a−C)物質の場合に多いが、マスク層のサイドウォールエッチングから生じる可能性がある。a−C物質は、プラズマ中の酸素ラジカルによってエッチングされ、それによってマスクの開口が大きくなり、その結果、反りのある(bow−like)、すなわち曲がった(angled/curved)エッチ構造物が得られることになる。
Jiら(米国特許第5,814,563号明細書)は、フルオロヒドロカーボン、炭素−酸素、およびNH3発生ガスの混合物を使用すると、誘電体層(たとえばSiOおよびSiN)のp−Si層に対する高い選択性が得られることを開示している。Shane(米国特許出願公開第2003/0162395号明細書)は、フルオロカーボンに窒素含有ガスを添加して、マスク上にポリマーをデポジットさせることにより、二酸化ケイ素層をエッチングしながら、選択性が改良されることを開示している。Nemaniら(米国特許出願公開第2014/0199851号明細書)は、NF3およびNH3を流すことによって実施されるプラズマプロセスを使用することにより、シリコン窒化物層の変性された部分を除去して、シリコン窒化物誘電膜をパターン化することができることを開示している。Hamrahら(米国特許第5,242,538号明細書)は、CF4およびNH3のエッチングガスを使用すると、100:1までのシリコン酸化物対ポリシリコンの選択性が観察されたことを開示している。Puら(米国特許第5,843,847号明細書)もまた、フッ素化エッチングガスに追加の窒素ガスを加えることが、特徴的な寸法制御に役立つことを開示している。
窒素含有化合物がエッチングガスとして使用されてきた。たとえば、Khandelwalら(“Dry removal technology for advanced
CMOS devices”,Nanochip Tech.J.,vol.11,issue 2,2013,p.17−19)は、エッチング剤としてNH4Fを使用した、インサイチューのドライ除去プロセスを開示している。Gargら(米国特許出願公開第2006/0062914号明細書)は、基材の表面を処理するための、活性化された反応性ガスを開示している。Gargらは、パラグラフ[0019]において、その活性化される反応性ガスとして、広く各種のフッ素含有ガスたとえばC3F3N3、フルオロアミンたとえばCF5N、フルオロニトリルたとえばC2F3N、C3F6N、およびCF3NOなどが挙げられることを述べている。Felkerら(米国特許第6,508,948号明細書)は、シアヌル酸フルオリド化合物も含めた、ペルフルオロ化ヘテロアロマティックアミンエッチング化合物を開示している。開示されている一つのシアヌル酸フルオリド化合物は、ペンタフルオロピリジン、C5F5Nである。
ボーイングを最小限に留め、昨今の用途(たとえば、コンタクトエッチ(contact etch)または3D NAND)で必要とされる高い(すなわち、最高200:1までの)アスペクト比を達成することが重要である。それに加えて、今日では、エッチングは、フォトレジストマスクに対する選択性に限定されなくなってきた。他の物質、たとえばa−C、SiN、p−Si、SiC、またはSiaCbOcHdNe物質(ここで、a>0;b、c、dおよびe≧0)のその他の形態の間で、高い選択性を有していることも同様に重要である。
したがって、プラズマエッチング用途において使用するための、広い範囲のプロセス条件で選択性と高いアスペクト比とを維持することが可能な、新規なエッチングガス組成物が依然として必要とされている。
開示されているのは、シリコン含有膜をプラズマエッチングするための方法である。その方法には、基材の上のシリコン含有膜を含む反応チャンバの中に、窒素含有エッチング化合物(その窒素含有エッチング化合物は、C≡NまたはC=N官能基を有する有機フッ素化合物を含む)の蒸気を導入する工程;その反応チャンバの中に不活性ガスを導入する工程;および、プラズマを活性化させて、その基材からシリコン含有膜をエッチングすることが可能な、活性化された窒素含有エッチング化合物を得る工程、が含まれる。開示さ
れている方法には、以下の態様の一つまたは複数を含むことができる:
・その有機フッ素化合物がC≡N官能基を含む;
・その有機フッ素化合物が、式N≡C−R1を有し、ここでR1が式HaFbCcを有し、そしてa=1〜11、b=1〜11、c=1〜5である;
・その有機フッ素化合物が、次式
Figure 2021108391
を有するジフルオロアセトニトリル(C2HF2N)である;
・その有機フッ素化合物が、次式
Figure 2021108391
を有する2,3,3,3−テトラフルオロプロピオニトリル(C3HF4N)である;
・その有機フッ素化合物が、次式
Figure 2021108391
を有する2,2,3,3−テトラフルオロプロピオニトリル(C3HF4N)である;
・その有機フッ素化合物が、次式
Figure 2021108391
を有する4,4,4−トリフルオロクロトノ−ニトリル(CN)である;
・その有機フッ素化合物が、次式
Figure 2021108391
を有する3,3,3−トリフルオロプロピオニトリル(CN)である;
・その有機フッ素化合物が、次式
Figure 2021108391
を有するフルオロアセトニトリル(CFN)である;
・その有機フッ素化合物が、式(N≡C−)−(R)−(−C≡N)を有し、ここでRは独立して式Hを有し、a=0、b=1〜11、c=1〜5である;
・その有機フッ素化合物が、次式
Figure 2021108391
を有するオクタフルオロヘキサン−1,6−ジニトリル(C)である;
・その有機フッ素化合物が、次式
Figure 2021108391
を有する1,1−ビス(トリフルオロメチル)−2,2−ジシアノエチレン(C)である;
・その有機フッ素化合物が、式(N≡C−)−(R)−(−C≡N)を有し、ここでRは式Hを有し、a=1〜11、b=1〜11、およびc=1〜5である;
・その有機フッ素化合物が、次式
Figure 2021108391
を有する2−[1−(ジフルオロメチル)−2,2,2−トリフルオロエチリデン]−プロパンジニトリル(CHF)である;
・その有機フッ素化合物がC=N官能基を含む;
・その有機フッ素化合物が式R [−C=N(R )]を有し、ここで、x=1〜2、y=1〜2、z=0〜1、x+z=1〜3、であり、そしてそれぞれのRおよびRは独立して、式Hであり、a=0、b=1〜11、およびc=0〜5である;
・その有機フッ素化合物が、次式
Figure 2021108391
を有するN,1,1,1,3,3,3−ヘプタフルオロ−プロパンアミン(CN)である;
・その有機フッ素化合物が式R [−C=N(R )]を有し、ここで、x=1〜2、y=1〜2、z=0〜1、x+z=1〜3、であり、そしてそれぞれのRおよびRは独立して、式Hであり、a=1〜11、b=0〜11、およびc=0〜5である;
・その有機フッ素化合物が、次式
Figure 2021108391
を有するヘキサフルオロアセトンイミン(CHFN)である;
・その有機フッ素化合物が、次式
Figure 2021108391
を有する1,1,1,6,6,6−ヘキサフルオロ−3−アザヘキセ−3−エン(CN)である;
・その活性化された窒素含有エッチング化合物が、シリコン含有膜と反応して揮発性の副生物を形成する;
・その揮発性の副生物が、反応チャンバから除去される;
・その不活性ガスが、He、Ar、Xe、Kr、およびNeからなる群より選択される;
・その不活性ガスがArである;
・その窒素含有エッチング化合物の蒸気と不活性ガスとを、反応チャンバに導入する前に混合して、混合物を形成させる;
・その窒素含有エッチング化合物の蒸気を、不活性ガスとは別に、反応チャンバの中に導入する;
・反応チャンバの中に不活性ガスを連続的に導入し、そして反応チャンバの中に窒素含有エッチング化合物の蒸気をパルス的に導入する;
・その不活性ガスが、反応チャンバの中に導入する窒素含有エッチング化合物の蒸気と不活性ガスとを合計した容積の約0.01%(v/v)〜約99.9%(v/v)の量で含まれている;
・その不活性ガスが、反応チャンバの中に導入する窒素含有エッチング化合物の蒸気と不活性ガスとを合計した容積の約90%(v/v)〜約99%(v/v)の量で含まれている;
・その反応チャンバの中に酸化剤を導入する;
・その反応チャンバの中に酸化剤を導入しない;
・その酸化剤が、O、CO、CO、NO、NO、およびNOからなる群より選択される;
・その酸化剤がOである;
・窒素含有エッチング化合物の蒸気と酸化剤とを、反応チャンバの中に導入する前に混合しておく;
・その窒素含有エッチング化合物の蒸気を、酸化剤とは別に、反応チャンバの中に導入する;
・反応チャンバの中に酸化剤を連続的に導入し、そして反応チャンバの中に窒素含有エッチング化合物の蒸気をパルス的に導入する;
・その酸化剤が、反応チャンバの中に導入する窒素含有エッチング化合物の蒸気と酸化剤とを合計した容積の約0.01%(v/v)〜約99.9%(v/v)の量で含まれている;
・その酸化剤が、反応チャンバの中に導入する窒素含有エッチング化合物の蒸気と酸化剤とを合計した容積の約0.01%(v/v)〜約10%(v/v)の量で含まれている;
・そのシリコン含有膜が、シリコン酸化物、シリコン窒化物、ポリシリコン、結晶質シリコン、SiON、SiOCH、Si(ここで、a>0;b、c、dおよびe≧0)、またはそれらの組合せの層を含む;
・そのシリコン含有膜が、酸素原子、窒素原子、炭素原子、水素原子、またはそれらの組合せを含む;
・そのシリコン含有膜が、シリコン炭化物を含む;
・そのシリコン含有膜が、a−C層から選択的にエッチングされる;
・そのシリコン含有膜が、フォトレジスト層から選択的にエッチングされる;
・そのシリコン含有膜が、シリコン窒化物層から選択的にエッチングされる;
・そのシリコン含有膜が、ポリシリコン層から選択的にエッチングされる;
・そのシリコン含有膜が、結晶質シリコン層から選択的にエッチングされる;
・そのシリコン含有膜が、メタルコンタクト層から選択的にエッチングされる;
・そのシリコン含有膜が、チタン窒化物層から選択的にエッチングされる;
・そのシリコン含有膜が、タンタル層から選択的にエッチングされる;
・そのシリコン含有膜が、シリコン酸化物層である;
・そのシリコン酸化物層が、a−C層から選択的にエッチングされる;
・そのシリコン酸化物層が、フォトレジスト層から選択的にエッチングされる;
・そのシリコン酸化物層が、p−Si層から選択的にエッチングされる;
・そのシリコン酸化物層が、結晶質シリコン層から選択的にエッチングされる;
・そのシリコン酸化物層が、メタルコンタクト層から選択的にエッチングされる;
・そのシリコン酸化物層が、SiN層から選択的にエッチングされる;
・そのシリコン含有膜が、シリコン窒化物層である;
・そのシリコン窒化物層が、a−C層から選択的にエッチングされる;
・そのシリコン窒化物層が、パターン化されたフォトレジスト層から選択的にエッチングされる;
・そのシリコン窒化物層が、p−Si層から選択的にエッチングされる;
・そのシリコン窒化物層が、結晶質シリコン層から選択的にエッチングされる;
・そのシリコン窒化物層が、メタルコンタクト層から選択的にエッチングされる;
・そのシリコン窒化物層が、シリコン酸化物層から選択的にエッチングされる;
・そのシリコン含有膜が、SiON層である;
・そのSiON層が、フォトレジスト層から選択的にエッチングされる;
・そのシリコン含有膜が、SiCOH層である;
・そのSiCOH層が、チタン窒化物層から選択的にエッチングされる;
・そのSiCOH層が、a−C層から選択的にエッチングされる;
・そのSiCOH層が、フォトレジスト層から選択的にエッチングされる;
・そのシリコン含有膜が、シリコン酸化物層とシリコン窒化物層との交互の層である;
・シリコン酸化物層とシリコン窒化物層との両方が、同程度のエッチング速度でエッチングされる;
・シリコン層からシリコン酸化物層とシリコン窒化物層の両方が、選択的にエッチングされる;
・p−Si層からシリコン酸化物層とシリコン窒化物層の両方が、選択的にエッチングされる;
・結晶質シリコン層からシリコン酸化物層とシリコン窒化物層の両方が、選択的にエッチングされる;
・a−C層からシリコン酸化物層とシリコン窒化物層の両方が、選択的にエッチングされる;
・そのシリコン含有膜が、シリコン酸化物層とp−Si層との交互の層である;
・シリコン酸化物層とp−Si層の両方が、同程度のエッチング速度でエッチングされる;
・a−C層からシリコン酸化物層とp−Si層の両方が、選択的にエッチングされる;
・シリコン窒化物層からシリコン酸化物層とp−Si層の両方が、選択的にエッチングされる;
・シリコン含有膜の中に、約(10:1)から約(200:1)までの間のアスペクト比を有する開口を作成する;
・ゲートトレンチを作成する;
・階段状のコンタクト(staircase contact)を作成する;
・チャネルホールを作成する;
・約(60:1)から約(100:1)までの間のアスペクト比を有するチャネルホールを作成する;
・約5nm〜約100nmの範囲の直径を有するチャネルホールを作成する;
・約10nm〜約50nmの範囲の直径を有するチャネルホールを作成する;
・反応チャンバの中にエッチングガスを導入することによって選択性を改良する;
・そのエッチングガスが、cC、C、C、CF、CHF、CFH、CH、COS、CFI、CI、CI、F−C≡N、CS、SO、trans−1,1,1,4,4,4−ヘキサフルオロ−2−ブテン(trans−C)、cis−1,1,1,4,4,4−ヘキサフルオロ−2−ブテン(cis−C)、ヘキサフルオロイソブテン(C)、trans−1,1,2,2,3,4−ヘキサフルオロシクロブタン(trans−C)、1,1,2,2,3−ペンタフルオロシクロブタン(C)、1,1,2,2−テトラフルオロシクロブタン(C)、またはcis−1,1,2,2,3,4−ヘキサフルオロシクロブタン(cis−C)からなる群より選択される;
・そのエッチングガスが、cCである;
・そのエッチングガスが、cCである;
・そのエッチングガスが、Cである;
・窒素含有エッチング化合物の蒸気とエッチングガスとを、反応チャンバの中に導入する前に混合しておく;
・その窒素含有エッチング化合物の蒸気を、エッチングガスとは別に、反応チャンバの中に導入する;
・反応チャンバの中に約0.01%(v/v)〜約99.99%(v/v)のエッチングガスを導入する;
・RF出力を印加することによってプラズマを活性化させる;
・約25W〜約10,000Wの範囲のRF出力によってプラズマを活性化させる;
・その反応チャンバが、約1mトール〜約10トールの範囲の圧力を有する;
・その反応チャンバの中へ窒素含有エッチング化合物の蒸気を、約0.1sccm〜約1slmの範囲の流速で導入する;
・その基材を約−196℃〜約500℃の温度範囲に維持する;
・その基材を約−120℃〜約300℃の温度範囲に維持する;
・その基材を約−100℃〜約50℃の温度範囲に維持する;
・その基材を約−10℃〜約40℃の温度範囲に維持する;そして
・活性化された窒素含有エッチング化合物を、四重極質量分析計、発光分析計、FTIR、またはその他のラジカル/イオン測定器によって測定する。
C≡NまたはC=N官能基を有する有機フッ素化合物を含む窒素含有エッチング化合物もまた開示されている。それらの開示された窒素含有エッチング化合物には、以下の態様の一つまたは複数が含まれる:
・その有機フッ素化合物が、C≡N官能基を含む;
・その有機フッ素化合物が、式N≡C−Rを有し、ここでRが式Hを有し、そしてa=1〜11、b=1〜11、およびc=1〜5である;
・その有機フッ素化合物が、次式
Figure 2021108391
を有するジフルオロアセトニトリル(CHFN)である;
・その有機フッ素化合物が、次式
Figure 2021108391
を有する2,3,3,3−テトラフルオロプロピオニトリル(CHFN)である;
・その有機フッ素化合物が、次式
Figure 2021108391
を有する2,2,3,3−テトラフルオロプロピオニトリル(CHFN)である;
・その有機フッ素化合物が、次式
Figure 2021108391
を有する4,4,4−トリフルオロクロトノ−ニトリル(CN)である;
・その有機フッ素化合物が、次式
Figure 2021108391
を有する3,3,3−トリフルオロプロピオニトリル(CN)である;
・その有機フッ素化合物が、次式
Figure 2021108391
を有するフルオロアセトニトリル(CFN)である;
・その有機フッ素化合物が、式(N≡C−)−(R)−(−C≡N)を有し、ここで
は式Hを有し、a=0、b=1〜11、およびc=1〜5である;
・その有機フッ素化合物が、次式
Figure 2021108391
を有するオクタフルオロヘキサン−1,6−ジニトリル(C)である;
・その有機フッ素化合物が、次式
Figure 2021108391
を有する1,1−ビス(トリフルオロメチル)−2,2−ジシアノエチレン(C)である;
・その有機フッ素化合物が、式(N≡C−)−(R)−(−C≡N)を有し、ここでRは式Hを有し、a=1〜11、b=1〜11、およびc=1〜5である;
・その有機フッ素化合物が、次式
Figure 2021108391
を有する2−[1−(ジフルオロメチル)−2,2,2−トリフルオロエチリデン]−プロパンジニトリル(CHF)である;
・その有機フッ素化合物が、C=N官能基を含む;
・その有機フッ素化合物が、式R [−C=N(R )]を有し、ここで、x=
1〜2、y=1〜2、z=0〜1、x+z=1〜3、であり、そしてそれぞれのRおよびRは独立して、式Hであり、a=0、b=0〜11、およびc=0〜5である;
・その有機フッ素化合物が、次式
Figure 2021108391
を有するN,1,1,1,3,3,3−ヘプタフルオロ−プロパンアミン(CN)である;
・その有機フッ素化合物が、式R [−C=N(R )]を有し、ここで、x=1〜2、y=1〜2、z=0〜1、x+z=1〜3、であり、そしてそれぞれのRおよびRは独立して、式Hであり、a=1〜11、b=0〜11、およびc=0〜5である;
・その有機フッ素化合物が、次式
Figure 2021108391
を有するヘキサフルオロアセトンイミン(CHFN)である;
・その有機フッ素化合物が、次式
Figure 2021108391
を有する1,1,1,6,6,6−ヘキサフルオロ−3−アザヘキセ−3−エン(CN)である;
・容積で約95%〜約99.999%の範囲の純度を有する;
・容積で約10ppt〜約5%の間の微量のガス不純物を含む;
・その微量のガス不純物が、水を含む;
・その微量のガス不純物が、COを含む;
・その微量のガス不純物が、Nを含む;そして
・その窒素含有エッチング化合物が、20ppmw未満の水含量を有する。
表記法および命名法
以下の詳細な説明および請求項において、多くの略号、符号、および用語を使用するが、それらは当技術分野においては周知のものである。わかりやすいように、典型的には、定義にはそれぞれの頭字語の第一字を採用しているが、使用される略号、符号、および用語のリストをそれぞれの定義と共に表1に列記する。
Figure 2021108391
本明細書で使用するとき、「エッチ(etch)」または「エッチング(etching)」という用語は、プラズマエッチプロセス(すなわち、ドライエッチプロセス)を指しており、そこでは、イオンボンバードが垂直方向の化学反応を促進して、それによりマスクされた形体のエッジに沿って基材に向かって直角に垂直なサイドウォールが形成される(Manos and Flamm,Plasma Etching An Introduction,Academic Press, Inc.,1989 pp.12−13)。エッチングプロセスによって、基材に、たとえばバイア、トレンチ、チャネル
ホール、ゲートトレンチ、階段状のコンタクト、コンデンサホール、コンタクトホールなどのような開口が形成される。
「パターンエッチ(pattern etch)」または「パターンドエッチ(patterned etch)」という用語は、非平面状の構造、たとえばシリコン含有膜のスタックの上のパターンドマスク層をエッチングすることを指している。
「マスク(mask)」という用語は、エッチングに対して抵抗性のある層を指している。マスク層は、エッチングされる層の上に配置することができる。
「エッチストップ(etch stop)」という用語は、エッチされる層より下の層で、それよりも下の層を保護するものである。
「デバイスチャネル(device channel)」という用語は、実際のデバイスの一部であり、それが何らかのダメージを受ければ、デバイスの性能に影響が出るような層を指している。
「アスペクト比(aspect ratio)」という用語は、トレンチ(またはバイア)の高さの、トレンチの幅(または、バイアの直径)に対する比率を指している。
「選択性(selectivity)」という用語は、一つの物質のエッチング速度の、他の物質のエッチング速度に対する比率を意味している。「選択的なエッチ(selective etch)または「選択的にエッチする(selectively etch)」という用語は、一つの物質を他の物質以上にエッチングする、あるいは別の言い方をすれば、二つの物質の間で、1:1より大、またはより小のエッチ選択性を有していることを意味している。
複数のR基を記述する文脈における、「独立して(independently)」という用語は、主題のR基が、同一または異なった下付き文字または上付き文字を担持する別のR基に対して、独立して選択されるだけではなく、さらには、同一のR基の各種のさらなる化学種に対しても独立して選択されるということを表していると理解されたい。たとえば、式MR (NR(4−x)(ここでMはある原子であり、xは2または3である)において、その2個または3個のR基が、相互に、またはRに対して、またはRに対して、同一であってもよいし、あるいは同一である必要はない。さらには、特に断らない限り、別な式で使用したときでも、R基の値は相互に独立しているということも理解されたい。
本明細書においては、「膜(film)」および「層(layer)」という用語は、相互に置きかえ可能として使用することができるということにも注目されたい。膜が層に相当したり、層に関連していたりしてもよいし、層が膜を指していてもよいということを理解されたい。さらには、当業者のよく認識するところであろうが、「膜」または「層」という用語は、本明細書で使用される場合、ある表面の上に載置されるかまたは広げられたあるいくつかの物質の厚みを指しており、その表面とは、大はそのウェーハ全体から、小はトレンチまたはラインまでの範囲であってよい。
本明細書においては、「エッチング化合物(etching compound)」と「エッチングガス(etching gas)」という用語は、相互に置きかえ可能として使用できることにも注目されたい。エッチング化合物がエッチングガスに相当したり、それに関連していたりしてもよいし、エッチングガスがエッチング化合物を指していてもよいということも理解されたい。
本明細書で使用するとき、略号の「NAND」は、「Negated AND」または「Not AND」ゲートを指しており;略号の「2D]は、平面上の基材の上の二次元のゲート構造物を指しており;略号の「3D」は、三次元または垂直方向のゲート構造物を指しているが、この場合、そのゲート構造物は、垂直な方向に積み重ねられている。
本明細書においては、元素周期律表からの、元素の標準的な略号を使用している。元素が、これらの略号によって呼ばれているということは理解するべきである(たとえば、Siはシリコンを指しており、Nは窒素を指しており、Oは酸素を指しており、Cは炭素を指しており、Hは水素を指しており、Fはフッ素を指している、など)。
開示された分子がより良く識別されるように、Chemical Abstract Serviceによって割り当てられた独自のCAS登録番号(すなわち、「CAS」)を提示している。
本明細書および請求項全体にわたって、シリコン含有膜、たとえばSiNおよびSiOが、それらの正しい化学量論を示すことなく、列記されていることにも注目されたい。シリコン含有膜としては、純粋なシリコン(Si)層、たとえば結晶質Si、ポリシリコン(p−Siもしくは多結晶Si)、または非晶質シリコン;シリコン窒化物(Si)層;またはシリコン酸化物(Si)層;またはそれらの混合物が挙げられるが、ここでk、l、m、およびnは、両末端も含めて0.1〜6の間の範囲である。シリコン窒化物がSiであるのが好ましいが、ここでkおよびlはそれぞれ、0.5〜1.5の範囲である。シリコン窒化物がSiであれば、より好ましい。シリコン酸化物がSiであるのが好ましいが、ここでnは0.5〜1.5の範囲、mは1.5〜3.5の範囲である。シリコン酸化物がSiOであれば、より好ましい。本明細書においては、以下において、Siを含む層を表すのに、SiOを使用することとする。シリコン含有膜はさらに、シリコン酸化物ベースの誘電体、たとえば有機ベースまたはシリコン酸化物ベースのlow−k誘電体、たとえばApplied Materials,Inc.製のSiOCHの式を有するBlack Diamond IIまたはIII物質であってもよい。シリコン含有膜には、Si(ここで、a、b、cは0.1〜6の範囲)を含んでいてもよい。シリコン含有膜にはさらに、たとえばB、C、P、Asおよび/またはGeのようなドーパントを含んでいてもよい。
本発明の本質および目的をより良く理解するためには、添付の図面を参照しながら、以下における詳細な説明を参照するべきであるが、図面においては、類似の要素には同一または類似の参照番号が付与されている。
3D NANDスタックにおける例示的な層の断面側面図(cross−sectional side view)である。 3D NANDスタックのエッチングの際にサイドウォールの上にデポジットしたポリマーを示す、3D NANDスタックにおける例示的な層の断面側面図である。 3D NANDスタックのSiO/SiN層の交互エッチングの際に発生した粒子を示す、3D NANDスタックにおける例示的な層の断面側面図である。 3D NANDスタック中のサイドウォールの上に暴露されたSiNの選択的エッチングを示す、3D NANDスタックにおける例示的な層の断面側面図である。 DRAMスタックの例示的な層の断面側面図である。 トランジスタ構造を作成するための典型的なトランジスタデバイス領域を取り囲むSiO絶縁層の上のフォトレジストパターンを示す、例示的な層の断面側面図である。 SiO絶縁層をエッチングした後の図3aの、例示的な層の断面側面図である。 例示的なデポジションおよびエッチングの試験に適用される、例示的な反応システムの断面側面図である。 電子衝撃イオン化エネルギー対CHFNの化学種濃度を示すグラフである。 電子衝撃イオン化エネルギー対CHFNの化学種濃度を示すグラフである。 HFNからデポジットした重合膜の元素含量を示すX線光電子分光計のグラフである。 HFNおよびOを使用したときの、酸素流量の関数としての、SiO、SiN、p−Siおよびa−C膜のデポジション速度またはエッチング速度を示すグラフである。 HFNおよびOを使用したときの、酸素流量の関数としての、SiO、SiN、p−Siおよびa−C膜のデポジション速度またはエッチング速度を示すグラフである。 HFNおよびCFを使用したときの、酸素流量の関数としての、SiO、SiN、p−Siおよびa−C膜のエッチング速度を示すグラフである。 酸素なしで、CHFN、CHFN、CN、CN、cC、およびCを添加したときの、シリコン酸化物のデポジション速度およびエッチング速度を比較したグラフである。 酸素なしで、CHFN、CHFN、CN、CN、cC、およびCを添加したときの、シリコン酸化物対シリコン窒化物の選択性を比較したグラフである。 5sccmの酸素と共に、CHFN、CHFN、CN、CN、cC、およびCを添加したときの、シリコン酸化物のエッチング速度を比較したグラフである。 5sccmの酸素と共に、CHFN、CHFN、CN、CN、cC、およびCを添加したときの、シリコン酸化物対シリコン窒化物の選択性を比較したグラフである。 10sccmの酸素と共に、CHFN、CHFN、CN、CN、cC、およびCを添加したときの、シリコン酸化物のエッチング速度を比較したグラフである。 10sccmの酸素と共に、CHFN、CHFN、CN、CN、cC、およびCを添加したときの、シリコン酸化物対シリコン窒化物の選択性を比較したグラフである。 15sccmの酸素と共に、CHFN、CHFN、CN、cC、およびCを添加したときの、シリコン酸化物のエッチング速度を比較したグラフである。 15sccmの酸素と共に、CHFN、CHFN、CN、cC、およびCを添加したときの、シリコン酸化物対シリコン窒化物の選択性を比較したグラフである。 各種の基材物質のエッチング速度に及ぼす、cCおよびOにNを添加した効果を示すグラフである。 各種の基材物質のデポジション速度およびエッチング速度に及ぼす、cCおよびNHにOを添加した効果を示すグラフである。 電子衝撃イオン化エネルギー対CNの化学種濃度を示すグラフである。 NおよびOを使用したときの、酸素流量の関数としての、SiO、SiN、p−Siおよびa−C膜のエッチング速度を示すグラフである。 Nについての、電子衝撃イオン化データ対エネルギーを示すグラフである。 酸素なしで、各種の基材物質にCNを添加したときの、デポジション速度およびエッチング速度を示すグラフである。
ここに開示されているのは、半導体構造物、たとえばシリコン含有膜中のチャネルホール、ゲートトレンチ、階段状のコンタクト、コンデンサホール、コンタクトホールなどをプラズマエッチングするための方法である。その開示されている方法には、i)基材の上のシリコン含有膜を含む反応チャンバの中に、窒素含有エッチング化合物(その窒素含有エッチング化合物は、少なくとも1個のC≡NまたはC=N官能基を含む有機フッ素化合物である)の蒸気を導入する工程;ii)その反応チャンバの中に不活性ガスを導入する工程;および、iii)プラズマを活性化させて、その基材からシリコン含有膜をエッチングすることが可能な、活性化された窒素含有エッチング化合物を得る工程、が含まれる。本明細書においては、それらの有機フッ素化合物は、炭素−フッ素(C−F)結合を含む有機化合物である。
開示されている、少なくとも1個のC≡N官能基を含む有機フッ素化合物は次の一般式を有している:
N≡C−R (I)
(N≡C−)(R)(−C≡N) (II)
式中、Rは、式H(ここで、a=1〜11、b=1〜11、およびc=0〜5)を有する官能基であり、そしてRは、式H(ここで、a=0〜11、b=1〜11、およびc=0〜5)を有する官能基である。そのH官能基は、直鎖状、分岐状もしくは環状、そして飽和もしくは不飽和であってよい。本願出願人の確信するところでは、そのC≡N官能基が、Cポリマー(ここで、xは0.01〜1の範囲、yは0.01〜4の範囲である)に比較して、エッチ抵抗性のポリマーデポジションの点において改良を与えることができる。その有機フッ素化合物に少なくとも1個のHが含まれている場合には、マスク層に対する高い選択性を維持しながらも、SiNのエッチング速度を高くすることができる。
開示されている、少なくとも1個のC=N官能基を含む有機フッ素化合物は次の一般式を有している:
[−C=N(R )] (III)
式中、x=1〜2、y=1〜2、z=0〜1、x+z=1〜3であり、それぞれのRおよびRは独立して、式H(ここで、a=0〜11、b=0〜11、およびc=0〜5)を有している。そのH官能基は、直鎖状、分岐状もしくは環状、そして飽和もしくは不飽和であってよい。本願出願人の確信するところでは、そのC=N官能基が、Cポリマー(ここで、xは0.01〜1の範囲、yは0.01〜4の範囲である)に比較して、シリコン層の間での改良された選択性を与えることができる。その有機フッ素化合物に少なくとも1個のHが含まれ、好ましくはRがHである場合には、マスク層に対する高い選択性を維持しながらも、SiNのエッチング速度を高くすることができる。
開示されている有機フッ素化合物は、少なくとも1個のC≡NまたはC=N官能基を含むヒドロフルオロカーボン(C)もしくはフルオロカーボン(C)化合物であるか、または少なくとも1個のC≡NまたはC=N官能基を含むヘテロ−ヒドロフルオロカーボン(C)もしくはヘテロ−フルオロカーボン(C)化合物
であってよい(ここで、m、n、x、yおよびzは整数である)。
開示されている窒素含有エッチング化合物としては、以下のものが挙げられる:ジフルオロアセトニトリル(CHFN)、2,3,3,3−テトラフルオロプロピオニトリル(CHFN)、2,2,3,3−テトラフルオロプロピオニトリル(CHFN)、またはヘキサフルオロアセトンイミン(CHFN)(表2参照)。これらの分子は市場で入手可能であり、それらの構造式、CAS番号、および沸点も表2に含まれている。
Figure 2021108391
Figure 2021108391
Figure 2021108391
Figure 2021108391
開示されている窒素含有エッチング化合物としてはさらに、以下のものが挙げられる:4,4,4−トリフルオロクロトノニトリル(CN);3,3,3−トリフルオロプロピオニトリル(CN);フルオロアセトニトリル(CFN);オクタフルオロヘキサン−1,6−ジニトリル(C);1,1−ビス(トリフルオロメチル)−2,2−ジシアノエチレン(C);N,1,1,1,3,3,3−ヘプタフルオロ−プロパンアミン(CN);1,1,1,6,6,6−ヘキサフルオロ−3−アザヘキセ−3−エン(CN)。それらの構造式、CAS番号、および沸点または予想沸点もまた表2に含まれている。当業者のよく認識するところであろうが、これらの化合物を合成するための方法は、記載されたCAS番号を使用すれば入手することが可能である。
開示されている窒素含有エッチング化合物は、マスク層、エッチストップ層およびデバイスチャネル物質に対する高い選択性を与え、そして高いアスペクト比の構造物、たとえばDRAMおよび3D NAND用途のように、10:1から200:1の範囲のアスペクト比を有するものにおいて、プロファイルの歪み(profile distortion)を示さないようにすることができる。それとは別に、開示されている窒素含有エッチング化合物はさらに、マスク層またはシリコン窒化物、たとえばコンタクトエッチ用途において、1:1から50:1の範囲のアスペクト比を有するものに対しても高い選択性を与えることができる。
開示されている窒素含有エッチング化合物は、幅広いエッチングプロセス条件において、無限大の選択性を与えることができる。本明細書においては、「選択性」という用語は、二つの異なった層でのエッチング速度の比率を指している。たとえば、SiO層対a−C層の選択性は、SiOのエッチング速度をa−C層のエッチング速度で割り算したものである。開示されている窒素含有エッチング化合物は、シリコン含有膜とマスク物質との間の選択性を改良し、チャネル領域に対するダメージが少なく、そしてパターン高アスペクト比の構造物におけるボーイングを抑制することができる。開示されている窒素含有エッチング化合物はさらに、p−Si、SiO、および/またはSiNの交互の層をエッチングして、垂直なエッチプロファイルを与えることができる(すなわち、交互の層の間で2:1から1:2の範囲の選択性を示す)。
開示されている窒素含有エッチング化合物は、95%(v/v)より大の純度、好ましくは99.99%(v/v)より大の純度、より好ましくは99.999%(v/v)より大の純度で準備する。開示されている窒素含有エッチング化合物には、5容積%未満の微量ガス不純物しか含まれず、前記微量ガス不純物の中には150容積ppm未満の不純物ガス(たとえば、Nおよび/またはHOおよび/またはCO)しか含まれない。プラズマエッチングガスの中の水含量が、重量で20ppmw未満であるのが好ましい。そのような精製した製品は、蒸留によるか、および/または、たとえば4Aモレキュラー
シーブのような適切な吸着剤の中にそのガスまたは液を通過させることによって製造することができる。
開示されている窒素含有エッチング化合物には、そのいかなる異性体も、10%(v/v)未満、好ましくは1%(v/v)未満、より好ましくは0.1%(v/v)未満、さらにより好ましくは0.01%(v/v)未満でしか含まれず、それらは、それらの異性体を除去するためにそのガスまたは液を蒸留して精製することが可能であり、それにより、より良好なプロセスの再現性を与えることができる。
別な場合において、特に異性体の混合物が改良されたプロセスパラメータを与えるか、または目標としている異性体の単離があまりにも困難または高コストとなるような場合には、開示されている窒素含有エッチング化合物には、5%(v/v)〜50%(v/v)の間でその異性体を含んでいてもよい。たとえば、開示されている窒素含有エッチング化合物に、約50%(v/v)〜約75%(v/v)の間の2,3,3,3−テトラフルオロプロピオニトリルと、約25%(v/v)〜約50%(v/v)の間の2,2,3,3−テトラフルオロプロピオニトリルとが含まれていてもよい。異性体の混合物とすることで、反応チャンバへ2本以上のガス配管をする必要性を低減させることができる。
開示されている窒素含有エッチング化合物のいくつかは、室温、大気圧で気体である。気体ではない(すなわち、液体または固体の)化合物の場合には、慣用される蒸発工程、たとえば直接的に蒸発させるか、または不活性ガス(N2、Ar、He)を使用したバブリングによってその化合物を蒸発させることによって、気体の形体とすることができる。その気体ではない化合物を液体の状態で蒸発器にフィードし、そこで蒸発させてから、反応器の中に導入する。
開示されている窒素含有エッチング化合物は、半導体構造物、たとえばシリコン含有膜中のチャネルホール、ゲートトレンチ、階段状のコンタクト、コンデンサホール、コンタクトホールなどをプラズマエッチングするのに適している。開示されている窒素含有エッチング化合物は、現在入手可能なマスク物質と組合せ可能であるだけではなく、将来の世代のマスク物質とも組合せ可能であるが、その理由は、その開示されている窒素含有エッチング化合物が、マスクにダメージをほとんどまたは全く与えることないと共に、高アスペクト比の構造の良好なプロファイルも与えるからである。別の言い方をすれば、開示されている窒素含有エッチング化合物からは、ボーイング、パターン崩壊、またはラフネスが最小限かまたは全く無い垂直にエッチングされたパターンが得られる。これらの性能を達成するためには、開示されている窒素含有エッチング化合物が、エッチングの際にエッチ抵抗性のポリマー層をデポジットさせて、エッチングプロセスの間に酸素ラジカルおよびフッ素ラジカルによる直接的な衝撃を受けることを抑制するようにする。開示されている窒素含有エッチング化合物は、エッチングの際のp−Siまたは結晶質Siチャネルへのダメージを低減させることもできる。開示されている窒素含有エッチング化合物が適度に揮発性であり、そして反応器/チャンバの中に送り込むエッチングプロセスの際に安定であるのが好ましい。
開示されている窒素含有エッチング化合物のいずれかがチャンバ材料と反応して、短期または長期の使用においてチャンバの性能を劣化させるかどうかを見極めるための、物質の適合性の試験は重要である。チャンバ、バルブなどの部品に含まれる重要な物質としては、ステンレス鋼、アルミニウム、ニッケル、PCTFE、PVDF、PTFE、ならびにその他の金属およびポリマーが挙げられる。これらの物質は、時には、たとえば20℃よりも高い温度や、たとえば1気圧よりも高い圧力に暴露されるが、それによってそれらの劣化が促進される可能性がある。測定方法としては、たとえば以下のものが挙げられる:目視検査、重量測定、走査型電子顕微鏡法(SEM)によるナノメートルスケールの変
化の測定、引張強度、硬度など。
開示されている窒素含有エッチング化合物は、基材の上のシリコン含有膜をプラズマエッチングするのに使用することができる。開示されているプラズマエッチング方法は、半導体デバイス、たとえばNANDもしくは3D NANDゲート、またはフラッシュメモリもしくはDRAMメモリ、またはトランジスタたとえばフィン形状の電界効果トランジスタ(FinFET)、バルク相補型金属酸化膜半導体(Bulk CMOS)、完全空乏型silicon−on−insulator(FD−SOI)などの構造物の製造において有用となり得る。開示されている窒素含有エッチング化合物は、他の使用領域、たとえば各種の半導体作成工程(front end of the line=FEOL)および配線作成工程(back end of the line=BEOL)のエッチング用途で使用することもできる。それに加えて、開示されている窒素含有エッチング化合物はさらに、3DのTSV(through silicon via)エッチング用途において、基材の上でメモリをロジックに相互接続するための、Siをエッチングするためにも使用することもできる。
プラズマエッチング方法には、その中に基材を配置させた反応チャンバを備えることが含まれる。反応チャンバは、デバイスの中のいかなる容器またはチャンバであてもよく、その中で、たとえば以下のようなエッチング方法(これらに限定される訳ではない)が実施される:反応性イオンエッチング(RIE)、単一もしくは複数の周波数のRF電源を備えた容量結合プラズマ(CCP)、誘導結合プラズマ(ICP)、またはマイクロ波プラズマ反応器、またはシリコン含有膜の一部を選択的に除去したり、活性種を発生させたりさせることが可能であるその他のタイプのエッチングシステム。当業者のよく認識するところであろうが、各種のプラズマ反応チャンバの設計によって、各種の電子温度制御が得られる。商業的に入手可能な好適なプラズマ反応チャンバとしては以下のものが挙げられる(これらに限定される訳ではない):Applied MaterialsからeMAX(商標)の商標で販売されている、磁気的に加速される反応性イオンエッチャー、またはLam Researchから2300(登録商標)Flex(商標)の商標で販売されている、Dual CCP反応性イオンエッチャー、誘電体エッチ製品ファミリー。それらにおいてはRF出力をパルス状にして、プラズマの性質を制御し、それによってエッチ性能(選択性およびダメージ)をさらに改良する。
別な方法として、反応チャンバの外でプラズマ処理された反応剤を作成してもよい。MKS InstrumentのASTRONi(登録商標)反応性ガス発生器を使用して、反応剤を処理してから、反応チャンバに入れることもできる。2.45GHz、7kWのプラズマ出力、および約0.5トール〜約10トールの範囲の圧力で実施すると、反応剤のOが分解して、2個のO・ラジカルとなる。その遠隔プラズマは、好ましくは約1kW〜約10kW、より好ましくは約2.5kW〜約7.5kWの出力で発生させることができる。
反応チャンバには、一つまたは二つ以上の基材を含むことができる。たとえば、その反応チャンバには、25.4mm〜450mmの直径を有する1〜200個のシリコンウェーハが含まれていてもよい。それらの基材は、半導体、光電池、フラットパネル、またはLCD−TFTデバイスの製造に使用される各種の適切な基材であってよい。好適な基材の例としては、ウェーハ、たとえばシリコン、シリカ、ガラス、またはGaAsウェーハが挙げられる。それらのウェーハはその上に、シリコン含有膜または層も含めて、以前の製造工程からの複数の膜または層を有している。それらの層は、パターン化されていても、されていなくてもよい。適切な層の例としては以下のものが挙げられるが、これらに限定される訳ではない:シリコン(たとえば非晶質シリコン、p−Si、結晶質シリコン、それらはいずれもさらに、B、C、P、As、および/またはGeを用いてp−ドープま
たはn−ドープされていてもよい)、シリカ、シリコン窒化物、シリコン酸化物、シリコンオキシニトリド、Si(ここで、a>0;b、c、d、e≧0)、マスク層物質たとえば非晶質カーボン、反射防止コーティング、フォトレジスト物質、タングステン、チタン窒化物、タンタル窒化物またはそれらの組合せ、エッチストップ層物質たとえば、シリコン窒化物、ポリシリコン、結晶質シリコン、シリコン炭化物、SiCNまたはそれらの組合せ、デバイスチャネル物質たとえば、結晶質シリコン、エピタキシャルシリコン、ドープトシリコン、Si(ここで、a>0;b、c、d、e≧0)またはそれらの組合せ。シリコン酸化物層が、誘電体、たとえば有機ベースまたはシリコン酸化物ベースのlow−k誘電体(たとえば、多孔質SiCOH膜)を形成してもよい。例示的なlow−k誘電体は、Applied Materialsから、Black Diamond IIまたはIIIの商品名で販売されている。それらに加えて、タングステンまたは貴金属(たとえば、白金、パラジウム、ロジウム、または金)を含む層を使用してもよい。さらに、そのシリコン含有膜の例が、Si(ここで、a>0;b、c、d、e≧0)であってもよい。本明細書および請求項の全体を通じて、ウェーハおよびその上の各種の付随する層は、基材(substrate)と呼ばれる。
以下に示すのは、開示されている窒素含有エッチング化合物がその上で適用されてエッチングされる、基材の例示的な実施態様である。
一つの実施態様においては、基材100に、図1aに示されたような、多数の層のスタックが含まれる。図1aは、3D NANDゲートを作成するための、3D NANDスタックにおける例示的な層の断面側面図である。図1aにおいては、7組の交互のSiO/SiN(すなわち、104a/104b)の層104のスタックが、シリコンウェーハ102の上に位置している(すなわち、ONONまたはTCAT技術)。当業者のよく認識するところであろうが、いくつかの技術(たとえば、SiO/p−SiまたはP−BICS技術)では、SiN層104aをp−Si層に置きかえる。非晶質カーボン(a−C)マスク層106が、7組のSiO/SiN層104の上に位置している。そのa−Cマスク層106には、SiO/SiN層のエッチングの際のエッチ耐性を改良するために、CおよびH、さらには他の元素たとえば、ホウ素、窒素などが含まれていてもよい。反射防止コーティング層108が、a−Cマスク層106の上に位置している。パターン化されたフォトレジスト層110が、反射防止コーティング層108の上に位置している。本明細書においては、反射防止コーティング層108とa−Cマスク層106との間にSiON層(図示せず)を存在させて、フォトレジスト層110の中のパターンをa−C層106に移行させてもよい。当業者のよく認識するところであろうが、図1a中の基材100の中の層のスタックは、例示的な目的だけに備えられており、そして開示されている窒素含有エッチング化合物が、層の他のタイプのスタックをエッチングするために使用されてもよい。さらには、当業者のよく認識するところであろうが、基材100のスタックの中のSiO/SiNまたはSiO/p−Si層104の交互の層の数が違っていてもよい(すなわち、示されている7組のSiO/SiN(104a/104b)の層より多くても、少なくてもよい)。
図1bは、エッチングの際にサイドウォールの上にデポジットしたポリマーを示す、3D NANDスタックにおける例示的な層の断面側面図である。開示されている窒素含有化合物からは、プラズマプロセスの際にフラグメントが生成するが、それは、図1bに見られるように、シリコン含有膜104を異方的にエッチングすると同時に、エッチングされる構造物のサイドウォールの上にN含有ポリマーの不動態化層212をデポジットさせるのに適している。図1bと図1aとの間の違いは、図1bにおいては、開示されている窒素含有エッチ化合物を使用したプラズマエッチングによって基材100の中にバイア214が形成され、その窒素含有エッチ化合物がさらに、バイア214のサイドウォールの
上に、ポリマーの不動態化層212をデポジットさせている。そのポリマーの不動態化層212はさらに、より滑らかなサイドウォール、より少ないボーイング、およびバイア214の底部でのより少ない変形を与えている。しかしながら、そのポリマーの不動態化層212は、当業者に公知のドライもしくはウェットなエッチ用化学薬品によって容易に除去、清浄化することができる。
図1cは、3D NANDスタック中のSiO/SiN層の交互エッチングの際に発生した粒子316を示す、3D NANDスタックにおける例示的な層の断面側面図である。図1cに見られるような、交互になっているSiO/SiN(すなわち、104a/104b)層104のサイドウォールの上に発生するそれらの粒子316は、開示されている窒素含有化合物を使用することによって最小限に留めることができる。図1cと図1bとの間の違いは、図1cにおいては、サイドウォールに露出している交互のSiO/SiNに、プラズマエッチングの際に発生した粒子316を有していることである。開示されている窒素含有化合物が図1cに示されているような粒子316を生成させるであろうということは、本願出願人が信じないところである。
図1dは、エッチングの後に、3D NANDスタック中のサイドウォールの上に暴露されたSiNの選択的エッチングを示す、3D NANDスタックにおける例示的な層の断面側面図である。図1dに見られるように、スタック100の中のSiNが暴露されたサイドウォールを、開示されている窒素含有化合物を使用して、選択的にエッチングすることにより、SiN層104bの中のSi−N結合が、SiO層104aの中のSi−O結合よりも選択的に切断されて、バイア214中のSiO/SiN層104のスタックの上に、サイドウォールのSiNエッチ418を選択的に形成させている。図1dと図1bとの間の違いは、図1dにおいては、交互になっているSiO/SiNサイドウォールの上に暴露されたSiNが、開示されている窒素含有化合物によって選択的にエッチングされて、選択的なサイドウォールSiNエッチ418を形成している。典型的には、その選択的サイドウォールSiNエッチ418は、リン酸との混合物を使用したウェットエッチングによって実施されている。ウェットエッチプロセスをドライプラズマエッチプロセスに置きかえると、半導体デバイス製造プロセスの経済性が大幅に改良されることが知られているが、その理由は、ウェットエッチングでは、別のウェットエッチング装置に基材を移動させることが必要であるからである。開示されている方法を用いれば、選択的なサイドウォールSiNエッチも含めてすべてのエッチングを、一つのエッチ装置の中で実施することが可能であり、それによって、半導体製造のコストを低減させることができる。
また別な実施態様においては、基材100に、図2に示されたような、複数の層のスタックがその上に含まれる。図2は、DRAMメモリを作成するための、DRAMスタックの中の例示的な層の断面側面図である。図2においては、シリコンウェーハ102の上に、4層のスタックが位置している。大きなSiO層104aの上にa−Cマスク層106が位置している。反射防止コーティング層108が、a−Cマスク106の上に位置している。パターン化されたフォトレジスト層110が、反射防止コーティング層108の上に位置している。本明細書においては、反射防止コーティング層108とa−Cマスク層106との間にSiON層(図示せず)を存在させて、フォトレジスト層110の中のパターンをa−C層106に移行させてもよい。当業者のよく認識するところであろうが、図2の中の層のスタックは、例示的な目的だけに備えられており、そして開示されている窒素含有エッチング化合物が、層の他のタイプのスタック、たとえば、a−Cマスク層106をTiN層で置きかえたようなスタックをエッチングするために使用されてもよい。さらには、当業者のよく認識するところであろうが、スタックの中の層の数を変更してもよい(すなわち、図示された層より多くても、少なくてもよい)。
図3aは、トランジスタ構造を作成するための典型的なトランジスタデバイス領域を取
り囲むSiO絶縁層の上のフォトレジストパターンを示す、例示的な層の断面側面図である。図3aに見られるように、基材600には、シリコンウェーハ602の上に担持されたトランジスタゲート電極領域を取り囲む4層のスタックが含まれる。図3aに示されているトランジスタ領域には、ソースおよびドレインとして機能する二つのドープトシリコン領域606が含まれている。ゲート電極616の下にはトランジスタゲート絶縁膜614が存在している。トランジスタ全体、すなわちトランジスタゲート絶縁膜614およびゲート電極616が、薄いSiN層608で囲まれているが、これは後ほど、コンタクトエッチの際のエッチストップ層として機能する。それぞれのトランジスタデバイス領域616/606は、シリコンウェーハ602の中のSiOアイソレーション領域604によって分離されて、電気的干渉が最小化されている。当業者のよく認識するところであろうが、層602が、絶縁体上シリコン(Silicon on Insulator=SOI)ウェーハのシリコン酸化物層の上に位置していてもよい。別のSiO層610が、トランジスタの上にデポジットされ、トランジスタデバイス領域606に対する金属コンタクトを絶縁するために使用されている。フォトレジストマスク612を使用して、SiO層610のパターン化を行う。プラズマ環境の中で開示されている窒素含有エッチング化合物を使用して、エッチングを実施する。本明細書においては、フォトレジストは、SiO層610をエッチングするためのマスクとして機能し、エッチングは、図3bに見られるように、SiN層608の上で停止される。
図3bは、SiO絶縁層をエッチングした後の図3aの、例示的な層の断面側面図である。図3bと図3aとの間の違いは、図3bにおいては、開示されている窒素含有化合物によるエッチングにより、バイア718が、SiO層610の中に形成されている。フォトレジスト層612をマスク層として用いて、SiO層610がエッチングされる。そのマスク層は、各種適切なフォトレジストマスク物質、たとえばTiN、a−Cなどであってよい。エッチングは、下にあるSiN層608のところで停止させることができる。
開示されている窒素含有エッチング化合物を使用してさらに、異なったプラズマ条件および異なった混合物を用いてSiN層608をエッチングすることもできる。当業者のよく認識するところであろうが、図3aおよび図3bの中の層のスタックおよび形状寸法は、例示目的だけに提供されており、そして開示されている窒素含有エッチング化合物が、層の他のタイプのスタックをエッチングするために使用されてもよい。さらには、当業者のよく認識するところであろうが、スタックの中の層の数を変更してもよい(すなわち、図示された4層より多くても、少なくてもよい)。
開示されている窒素含有エッチング化合物の蒸気を、基材およびシリコン含有膜の入っている反応チャンバの中に導入する。その蒸気は、約0.1sccm〜約1slmの範囲の流量でチャンバに導入するのがよい。たとえば200mmのウェーハサイズの場合、蒸気を、約5sccm〜約50sccmの範囲の流量でチャンバに導入するのがよい。別な方法として、450mmのウェーハサイズの場合、蒸気を、約25sccm〜約250sccmの範囲の流量でチャンバに導入するのがよい。当業者のよく認識するところであろうが、装置に応じて流量を変えることができる。
開示されている窒素含有エッチング化合物は、純品の形か、または不活性ガスたとえばN、Ar、He、Xeなど、または溶媒とブレンドした形で供給することができる。開示されている窒素含有エッチング化合物は、そのブレンド物の中に各種の濃度で存在させることができる。液体の窒素含有化合物の場合には、その窒素含有エッチング化合物の蒸気の形体は、その純品またはブレンドされた窒素含有エッチング化合物の溶液を慣用される蒸発工程、たとえば直接的な蒸発またはバブリングにかけ蒸発させることによって作成することができる。純品またはブレンドされた窒素含有エッチング化合物を、液体の形体で蒸発器にフィードし、そこでそれを蒸発させ、その後でそれを反応器の中に導入する。
別な方法として、開示されている窒素含有エッチング化合物が含まれる容器の中にキャリアガスを通過させるか、または開示されている窒素含有化合物の中へキャリアガスをバブリングさせることによって、純品またはブレンドされた窒素含有エッチング化合物を蒸発させてもよい。キャリアガスとしては、Ar、He、N、およびそれらの混合物が挙げられるが、これらに限定される訳ではない。キャリアガスを用いたバブリングによって、純品またはブレンドされた窒素含有エッチング化合物の溶液の中に存在しているすべての溶存酸素を除去することもできる。次いでそのキャリアガスおよび開示されている窒素含有エッチング化合物を蒸気として、反応器の中に導入する。
必要があれば、開示されている窒素含有エッチング化合物が含まれている容器を、その窒素含有エッチング化合物を液相にして、エッチング装置の中に送り込むのに十分な蒸気圧を有することを可能とする温度にまで加熱してもよい。その容器は、たとえば、約0℃〜約150℃、好ましくは約25℃〜約100℃、より好ましくは約25℃〜約50℃の範囲の温度に維持するのがよい。エッチ装置への配管の加熱を避ける目的で、その容器を室温(約25℃)に維持するのが、より好ましい。当業者は認識しているが、容器の温度は、蒸発させる窒素含有化合物の量を制御するための公知の方法によって調節するのがよい。
さらには、その窒素含有エッチング化合物は、95%〜99.999容積%の純度範囲で送り込むが、それには、CO、CO、N、HO、HF、HS、SO、ハロゲン化物、およびその他の炭化水素またはヒドロハロカーボンを除去するための、公知の標準的な精製方法を用いて精製するのがよい。
不活性ガスはさらに、プラズマを保持する目的でも、反応チャンバの中に導入される。その不活性ガスは、He、Ar、Xe、Kr、Ne、N、またはそれらの組合せであってよい。エッチングガスと不活性ガスとは、チャンバに導入するより前に混合し、得られる混合物の約0.01%(v/v)〜約99.9%(v/v)の間で不活性ガスが含まれるようにするのがよい。別な方法として、チャンバに不活性ガスを連続的に導入し、それに対してエッチングガスはチャンバに、パルス的に導入する。
開示されているエッチングガスの蒸気および不活性ガスは、プラズマによって活性化されて、活性化されたエッチングガスが生成する。プラズマがエッチングガスを分解して、ラジカルの形(すなわち、活性化されたエッチングガス)とする。プラズマは、RF出力またはDC出力を印加することによって発生させることができる。約25W〜約10,000Wの範囲のRF出力を用いてプラズマを発生させるのがよい。プラズマは、遠隔的に発生させても、あるいは反応器そのものの中で発生させてもよい。プラズマは、両方の電極に印加するRFを用いて、デュアルCCPモードまたはICPモードで発生させるのがよい。プラズマのRF周波数は、200KHz〜1GHzの範囲とするのがよい。異なった周波数の異なったRF電源を組み合わせて、同一の電極に印加してもよい。プラズマRFパルスを使用してさらに、分子の解裂および基材における反応を調節してもよい。当業者であれば、そのようなプラズマ処理に適した方法および装置は知っているであろう。
四重極質量分析計(QMS)、発光分析計、FTIR、またはその他のラジカル/イオン測定器でチャンバ出口からの活性化されたエッチングガスを測定して、形成された化学種のタイプおよび数を求めることができる。必要があれば、エッチングガスおよび/または不活性ガスの流量を調節して、形成されるラジカル種の数を増減させることができる。
反応チャンバの中に導入するよりも前、または反応チャンバの中のいずれかで、開示されているエッチングガスを他のガスと混合してもよい。チャンバに導入するよりも前にそ
れらのガスを混合して、入っていくガスの濃度を均質にするのが好ましい。
また別の代替え法では、たとえば2種以上のガスが反応するような場合、窒素含有化合物の蒸気を、他のガスとは独立してチャンバの中へ導入してもよい。
また別の代替え法では、エッチングガスと不活性ガスとが、エッチングプロセスに使用されるただ二つのガスである。
他のガスの例を非限定的に挙げてみれば、酸化剤たとえば、O、O、CO、CO、NO、NO、NO、およびそれらの組合せである。開示されているエッチングガスと酸化剤とは、互いに混合してから、反応チャンバの中に導入するのがよい。
別な方法として、チャンバの中に酸化剤を連続的に導入し、エッチングガスはチャンバの中にパルス的に導入してもよい。酸化剤は、チャンバの中に導入される混合物の約0.01%(v/v)〜約99.99%(v/v)の間で含まれているのがよい(99.99%(v/v)ということは、連続導入のためにほぼ純粋な酸化剤を導入するということを代替え的に表している)。
エッチングガスと混合することが可能なその他のガスの例として、たとえば以下のような追加のエッチングガスが挙げられる:cC、C、C、CF、CHF、CFH、CH、COS、CS、CFI、CI、CI、SO、trans−1,1,1,4,4,4−ヘキサフルオロ−2−ブテン(trans−C)、cis−1,1,1,4,4,4−ヘキサフルオロ−2−ブテン(cis−C)、ヘキサフルオロイソブテン(C)、trans−1,1,2,2,3,4−ヘキサフルオロシクロブタン(trans−C)、1,1,2,2,3−ペンタフルオロシクロブタン(C)、1,1,2,2−テトラフルオロシクロブタン(C)、またはcis−1,1,2,2,3,4−ヘキサフルオロシクロブタン(cis−C)。
エッチングガスの蒸気と追加のガスとは、反応チャンバへ導入するより前に混合するのがよい。その追加のエッチングガスは、チャンバの中に導入される混合物の約0.01%(v/v)〜約99.99%(v/v)を占めているのがよい。
一つの非限定的なプラズマエッチプロセスの例においては、2,3,3,3−テトラフルオロプロピオニトリルの蒸気を、ガス流量調節装置を使用して、200mmのDual
CCPプラズマエッチ装置の中に導入する。そのガス流量調節装置は、質量流量調節器であっても、あるいは所望の分子の蒸気を送り込むための不活性ガス流量用に設計したバブラーであってもよい。高沸点の分子の場合においては、Brooks Automation(No.GF120XSD)(MKS Instruments)などの特殊な低圧力損失質量流量調節器を使用するのがよい。反応チャンバの圧力は、約30mトールに設定する。2,3,3,3−テトラフルオロプロピオニトリルの蒸気圧が室温で約498トールであるので、ガス源を加熱する必要はない。二つのCCP電極の間の距離を1.35cmに維持し、上部電極のRF出力を750Wに固定する。下部電極のRF出力を変化させて、分子の挙動を解析する。その反応チャンバには、その上にシリコン含有膜を有する基材(図1aに示したのと同様)が入っている。その反射防止コーティング層108を、フルオロカーボン(たとえば,CFおよびCH)および酸素含有ガス(たとえば、O)によりパターン化/エッチングする。その非晶質カーボンマスク層は、酸素含有ガスによってパターン化/エッチングする。そのSiOおよびSiN層104は、開示されている窒素含有有機フッ素化合物(たとえば、2,3,3,3−テトラフルオロプロピオニトリル)およびアルゴンのプラズマによってパターン化する。アルゴンは独立して
、250sccmの流量でチャンバの中に導入する。2,3,3,3−テトラフルオロプロピオニトリルは独立して、15sccmでチャンバの中に導入する。Oも独立してチャンバの中に導入するが、最適なエッチング条件を決めるために、0sccm〜20sccmの間で変化させる。30:1以上のアスペクト比を有する開口を作成すると、そのものは、垂直型(vertical)NANDにおけるチャネルホールとして使用することができる。図2および3aに示したような他のスタック層でも、同様の例を使用することができる。
また別の非限定的なプラズマエッチプロセスの例においては、ジフルオロアセトニトリルを、ガス流量調節装置を使用して、200mmのDual CCPプラズマエッチ装置に導入する。そのガス流量調節装置は、質量流量調節器であってよい。高沸点の分子の場合においては、BrooksAutomation(No.GF120XSD)(MKS
Instruments)などの特殊な低圧力損失質量流量調節器を使用するのがよい。反応チャンバの圧力は、約30mトールに設定する。ジフルオロアセトニトリルの蒸気圧が20℃で約900トールであるので、ガス源を加熱する必要はない。二つのCCP電極の間の距離を1.35cmに維持し、上部電極のRF出力を750Wに固定する。下部電極のRF出力を変化させて、ジフルオロアセトニトリルの挙動を解析する。その反応チャンバには、その上に図2に示した層に類似の厚いSiO層104aを有する基材100が入っている。このプロセスを実施するより前に、フルオロカーボン(たとえば、CF)および酸素含有ガス(たとえば、O)によって反射防止コーティング層108を除去し、そして酸素含有ガスによってA−cマスク層106を除去しておく。アルゴンは独立して、250sccmの流量でチャンバの中に導入する。ジフルオロアセトニトリルは独立して、15sccmでチャンバの中に導入する。Oは、最適なエッチング条件を決めるために、独立して0〜20sccmでチャンバの中に導入する。10:1以上のアスペクト比を有する開口を作成すると、そのものは、DRAMにおけるコンタクトホールとして使用することができる。図1aおよび3aに示したような他のスタック層でも、同様の例を使用することができる。
シリコン含有膜と活性化されたエッチングガスとが反応して、揮発性の副生物が生成するが、それは、反応チャンバから除去する。a−Cマスク、反射防止コーティング、およびフォトレジスト層は、その活性化されたエッチングガスとの反応性が低い。したがって、その活性化されたエッチングガスは、シリコン含有膜と選択的に反応して、揮発性の副生物を生成する。
反応チャンバの中の温度と圧力は、シリコン含有膜がその活性化されたエッチングガスと反応するのに適した条件に保持する。たとえば、チャンバ中の圧力は、エッチングパラメータからの必要に応じて、約0.1mトール〜約1000トールの間、好ましくは約1mトール〜約10トールの間、より好ましくは約10mトール〜約1トールの間、より好ましくは約10mトール〜約100mトールの間に保持するのがよい。同様にして、チャンバの中の基材の温度は、約−196℃〜約500℃の間、好ましくは約−120℃〜約300℃の間、より好ましくは約−100℃〜約50℃の間、より好ましくは約−10℃〜約40℃の間の範囲とするのがよい。チャンバの壁面の温度は、プロセスの要求に従って約−196℃〜約300℃の範囲とするのがよい。
シリコン含有膜と活性化されたエッチングガスとの間の反応によって、基材からシリコン含有膜が異方的に除去されることになる。窒素、酸素、および/または炭素の原子がシリコン含有膜の中に存在していてもよい。その除去は、(プラズマによって加速された)プラズマイオンからのシリコン含有膜の物理的スパッタリングによるか、および/またはSiを、揮発性の種たとえばSiF(ここで、xは1〜4の範囲である)に転化させるプラズマ種の化学反応による。
開示されている窒素含有エッチング化合物のプラズマ活性化された蒸気は、好ましくは、マスクに対して高い選択性を示し、SiOとSiNとの交互の層をエッチングして、ボーイングやラフネスのない垂直なエッチプロファイルを与えるが、このことは、3D NAND用途では重要である。さらに、プラズマ活性化された蒸気がサイドウォールの上にポリマーをデポジットさせて、特徴的なプロファイルの崩れを最小限に抑える。たとえばDRAMおよび2D NANDのような、他の用途においては、別のプロセス条件下でのプラズマ活性化されたエッチングガスが、選択的にSiNからSiOをエッチングことができる。プラズマ活性化されたエッチングガスは、マスク層たとえばa−C、フォトレジスト、p−Si、もしくはシリコン炭化物から、または金属コンタクト層たとえばCuから、またはSiGeからなるチャネル領域から、またはポリシリコン領域から、SiOおよび/またはSiNを選択的にエッチングことができる。
エッチングガスとしての開示されている窒素含有エッチング化合物を使用する、開示されているエッチプロセスによって、シリコン含有膜の中に、チャネルホール、ゲートトレンチ、階段状のコンタクト、コンデンサホール、コンタクトホールなどが作成される。そのようにして得られた開口は、約(10:1)から約(200:1)までの範囲のアスペクト比と、約5nm〜約50nmの範囲の直径とを有することができる。たとえば、当業者のよく認識するところであろうが、チャネルホールのエッチングによって、シリコン含有膜の中に、60:1よりも大きいアスペクト比を有する開口が得られる。
エッチングする必要がある典型的な物質は、SiOである。SiOをエッチングするプロセスは、ボロホスホシリケートガラス(BPSG)、テトラエチルオルトシリケート(TEOS)、または低デポジション速度TEOS(LDTEOS)においてトレンチをエッチングすることに関連する。エッチストップ層は、シリコン窒化物、またはシリコン酸窒化物(SiON)またはポリシリコンであってよい。使用されるマスク物質は、a−C、p−Si、またはフォトレジスト物質であってよい。本明細書においては、開示されている窒素含有エッチング化合物が、SiO、SiN、p−Siおよび/またはa−C基材膜をエッチングするために適用される。
以下の非限定的な実施例を用いて、本発明の実施態様をさらに説明する。しかしながら、これらの実施例が、包括的にすべてを含むものではなく、また本明細書において記述される本発明の範囲を限定するものでもない。
以下の実施例においては、CHFNおよびCHFN窒素含有化合物のエッチ性能を評価し、CN(CAS:700−16−3)、CN、ならびに標準的なガスたとえばcCおよびCと比較する。その結果からわかったのは、CHFNおよびCHFNの窒素含有エッチング化合物が、サイドウォール保護を与え、半導体構造物のエッチング、たとえばコンタクトエッチに使用することが可能であるということである。
本明細書において、コンタクトエッチのためのエッチング剤またはエッチングガスに必要とされる目標エッチング要件を以下に示す:
1.酸化物(すなわち、SiO)のエッチング速度が、高くなければならない;
2.他の物質、典型的にはSiNに対するSiOの選択性が、高くあるべきである;
3.他の物質、典型的にはp−Siまたはa−Cに対するSiOの選択性が、高くあるべきである。
この後でも示すように、化合物のCHFNおよびCHFNについての結果は、
それらが、コンタクトエッチング用途で要求されるエッチング目標すべてに適合しているために、大きな将来性を示している。
エッチング実験は、4種の各種の基材物質、すなわちSiO、SiN、p−Si、およびa−Cを有する、1×1cmの4枚の試験片について実施した。デポジション速度および/またはエッチング速度は、エリプソメータおよび/またはSEMを使用し、エッチ厚の変化をエッチング時間の関数として測定することにより測定する。試験片は、200mmの直径のキャリアウェーハ上に置き、2spiメーカーから入手した両面カーボンテープを使用して密着させる。別な方法として、感熱ペーストを使用して、試験片をキャリアウェーハに貼り付けることも可能である。
デポジション試験は、1×1cmのSi試験片について30mトールで実施し、電源出力を750W(27MHz)とし、基材にはバイアス出力を印加しない。そのプロセスは、250sccmのArおよび15sccmのエッチングガスを含む混合物をフィードする。次いでそのデポジション試験サンプルを、X線光電子分光法(XPS)分析に送って、その基材の上に生成した重合膜のタイプを検討する。
エッチング試験もまた30mトールで実施し、電源出力を750W(27MHz)とし、バイアス出力を1500W(2MHz)とする。そのフィード混合物には、250sccmのArおよび15sccmのエッチングガスが含まれるが、それに対してOは、0〜15sccmの範囲で変化させる。
図4は、デポジションおよびエッチングの試験に適用される、例示的な反応システムの例示的な断面側面図である。図に見られるように、反応器800には反応器チャンバ802が含まれている。反応器チャンバ802の内側には、下部電極804の上に取り付けられたウェーハ806が反応器チャンバ802の底部に置かれ、シリコン上部電極シャワーヘッド808が反応器チャンバ802の頂部に置かれている。その下部電極804は、そこに印加されたバイアス出力を有する静電チャックであってよい。たとえば、下部電極804には2MHzのRFバイアス出力を印加することができる。ウェーハ806には、エッチングする必要がある多数の層を有している。シリコン上部電極シャワーヘッド808には、シャワーヘッドの中に多数の孔810があって、ガスがそこを通過する。ガスは、ガス入口812を通ってから、均質なガス分布を得るためのシャワーヘッド808の中の孔810を通過して、反応器チャンバ802の中に導入される。電源出力は、シリコン上部電極シャワーヘッド808に印加する。たとえば、27MHzのRF電源出力を、シリコン上部電極シャワーヘッド808に印加する。シリコン上部電極シャワーヘッド808と下部電極804との間が、プラズマ領域である。シャワーヘッド808の中の孔810を通過したガスが、プラズマ領域の中でイオン化され、次いでウェーハ806の上でエッチングを実施する。出口814を通して反応器チャンバ802からガスをポンプ輸送することによって、ガスを除去することができる。
それに加えて、質量分析計を使用して、エッチングガスの電子衝撃イオン化を検討することもできる。この試験のためには、エッチングガスを質量分析計のチャンバの中を通過させ、そして四重極質量分析計(Hiden Analytical Inc.)検出器を使用して、電子エネルギーの関数として、エッチングガスからのフラグメントを検討する。
実施例1
図5は、CHFNについての電子衝撃イオン化データを示すグラフである。図5においては、x軸は、電子エネルギーを表し、y軸は、フラグメント種の分圧を表している。図5は、CHFNの主なフラグメントが、CFおよびCHFNであることを示
している。CHFNフラグメントは、1:2のF/C比を有しており、基材に到達すると容易に重合することができる。
実施例2
図6は、CHFNについての電子衝撃イオン化データを示すグラフである。図6においては、x軸は、電子エネルギーを表し、y軸は、フラグメント種の分圧を表している。図6は、CHFN主なフラグメントが、CFおよびCNであることを示している。CNフラグメントは低いF/C比を有していて、基材に到達すると容易に重合するであろう。
実施例3
デポジション試験は、ブランケット1×1cmSi試験片について実施される実験であって、その場合、電源出力(750W@27MHz)が、バイアス出力なしで印加される。バイアス出力が存在しないために、基材に到達するイオンは、エッチングするのに十分なエネルギーは有していない。それに加えて、表面に到達する中性および活性な種が、それらの付着係数に基づいて、その表面に粘着し、薄いポリマー層としてデポジットする。この薄いポリマー層が、サイドウォールを不動態化させる原因となって、多くの場合選択性を付与する。デポジション試験の実験条件によって、表面上およびサイドウォール上両方のパターンのプラズマ加工の際に形成されるポリマー層をシミュレートすることができるようになる。
60秒、圧力30mトールで、250sccmのArおよび15sccmのCNを含むプロセスガス混合物を用いてデポジション試験を実施すると、Siの上に260nmのポリマー膜がデポジットする。したがって、そのCNについてのデポジション速度は、260nm/minである。
同一のデポジション試験条件で、CHFNでは150nm/minのデポジション速度が得られ、CHFNでは190nm/minのデポジション速度が得られることが見出された。CNについては、60秒、圧力30mトールで、250sccmのArおよび5sccmのCNを含むプロセスガス混合物を用いてデポジション試験を実施すると、120nm/minのデポジション速度が得られる。
そのサンプルをさらにXPS分析に送り、その重合層の特性を調べる。図7は、デポジション試験の際にCHFNを用いて形成された重合膜のXPSデータを示すグラフである。XPS分析からの広範囲の元素スキャンは、図7から、C、F、O、およびNピークの存在を明らかに示しているが、このことは、重合膜の中に窒素が存在している証拠を示している。したがって、重合膜の中に窒素が存在し、それが、より良好なサイドウォールの不動態化層として機能して、高アスペクト比のエッチングの場合に垂直なプロファイルを形成させるのに役立っている。
実施例4
図8は、CHFNおよびOを用いたときのSiO、SiN、p−Si、およびa−Cのエッチング速度を示すグラフである。図8において、y軸のプラス側がエッチング速度を表すのに対して、y軸のマイナス側はデポジション速度を表しており、x軸はO流量(単位:sccm)であり、CHFN流量を15sccmに固定しているのに対して、O流量は0〜15sccmで変化させている。
図に見られるように、酸素を添加しない場合(0sccmO条件)、CHFNはシリコン酸化物を容易にエッチングするが、他の基材はエッチングしない。これは極めて重要な結果であって、その理由は、CHFNがシリコン酸化物をエッチングするが、
他の基材物質は保護するので、それによって、酸化物と他の基材物質との間で無限大の選択性が得られるからである。そのエッチングガスが他の基材物質の保護を開始するより前に、プラズマイオンボンバードが原因の数ナノメートルの小さなダメージが想定される。このダメージは測定不能であり、選択性の測定には組み入れられない。その混合物に5sccmの酸素を加えると、シリコン酸化物のエッチング速度が0sccm酸素の条件に比べて低下はするが、CHFNは依然としてすべての他の基材の上にデポジットして、酸化物対他の基材物質の選択性が保持される。その混合物に10sccmの酸素を加えると、シリコン酸化物のエッチング速度は減り続けるが、CHFNは依然としてすべての他の基材の上にデポジットして、シリコン酸化物対他の基材物質の選択性が保持される。その混合物に15sccmの酸素を加えると、シリコン酸化物のエッチング速度はさらに一段と低下するが、CHFNは依然として窒化物およびp−Siの上にデポジットして、シリコン酸化物のシリコン窒化物およびp−Siに対する選択性が保持される。15sccmのO試験条件では、a−C上でのエッチングが観察され、シリコン酸化物対a−Cの選択性が無限大から〜2にまで劇的に低下する(すなわち、SiOのエッチング速度/a−Cのエッチング速度)。全体として、CHFNは、最も広い、プロセス条件の可能な範囲を与え、それよって、シリコン窒化物およびp−Si基材に対して無限大の選択性が得られる。シリコン酸化物のエッチング速度は、標準的に使用されているcCガス(これは、550nm/minを超える)よりは低いが、CF、C、cC、またはCのような添加ガスを追加することによって、容易に高くすることができる。
実施例5
図9は、CHFNおよびOを用いたときのSiO、SiN、p−Si、およびa−Cのエッチング速度を示すグラフである。図9において、y軸のプラス側がエッチング速度を表すのに対して、y軸のマイナス側はデポジション速度を表しており、x軸はO流量(単位:sccm)であり、CHFN流量を15sccmに固定しているのに対して、O流量は0〜15sccmで変化させている。
図に見られるように、酸素を添加しない場合(0sccmO条件)、CHFNはすべての基材の上にデポジットする。その混合物に5sccmの酸素を添加すると、CHFNがシリコン酸化物のエッチングを開始するが、すべての他の基材物質の上にはデポジットし、シリコン酸化物対他の基材物質に無限大の選択性を与える。10sccmのOの場合でも、同様の挙動が観察される。15sccmの酸素を添加すると、CHFNがシリコン窒化物のエッチングを開始して、対シリコン窒化物の選択性(すなわち、SiOのエッチング速度/SiNのエッチング速度)が〜3にまで低下するが、それでもなお、a−Cに対しては〜40の高い選択性を維持している。
実施例6
図10は、CHFNおよびCFを用いたときのSiO、SiN、p−Si、およびa−Cのエッチング速度を示すグラフである。図10においては、y軸は、エッチング速度を表し、x軸は、CF流量(単位:sccm)を表している。CHFN流量を15sccmに固定し、O流量を5sccmに固定するが、CF流量は、10〜15sccmで変化させる。
cC(以下の比較例を参照)の性能に比肩できるように、SiOのエッチング速度を高めるために、250sccmのArおよび15sccmのCHFNのエッチングガス混合物にCFを添加する。図10は、プロセスガス混合物にCFを添加することによって、SiOのエッチング速度が500nm/minまで上がるが、それに対してp−Siおよびa−Cに対する良好な選択性は維持されているということを示すグラフである。酸化物のエッチング速度を高くするには、その混合物にC2x+2(x=1〜
5)、C2x(x=3〜5)、C2x−2(x=4〜5)のような添加物を添加するのがよい。
比較例1
図11は、CHFN、CHFN、CN、CN、cC、およびCのエッチングガスのシリコン酸化物のエッチング速度を比較して示すグラフである。図11においては、y軸のプラス側がエッチング速度を表すのに対して、y軸のマイナス側はデポジション速度を表しており、x軸は、比較する化合物を表している。図11から、窒素含有化合物のCHFNおよびCNが、CHFNおよびCNよりも高いエッチング速度を有していることがわかる。
比較例2
図12は、CHFN、CHFN、CN、CN、cC、およびCのエッチングガスを使用し、酸素を添加しない場合の、シリコン酸化物対シリコン窒化物の選択性を比較して示すグラフである。図12において、y軸は、SiO:SiNの選択性を表し、x軸は、比較する化合物を表している。図12は、酸素添加0sccmでは、CHFNおよびCNが、シリコン酸化物対シリコン窒化物で無限大の選択性(150として表されている)を有していることを示している。
比較例3
図13は、5sccmの酸素を添加した場合の、CHFN、CHFN、CN、CN、cC、およびCのエッチングガスでのシリコン酸化物のエッチング速度を比較して示すグラフである。図13において、y軸は、エッチング速度をあらわし、x軸は、比較する化合物を表している。図13に見られるように、5sccmの酸素を添加した場合のエッチング速度の順位は以下のとおりである:cC>CN>CHFN>CHFN>C>CN。
比較例4
図14は、5sccmの酸素を添加した場合の、CHFN、CHFN、CN、CN、cC、およびCのエッチングガスの選択性(SiO/SiN)を比較して示すグラフである。図14において、y軸は、SiO:SiNの選択性を表し、そしてx軸は、比較する化合物を表している。図14は、5sccmの酸素添加では、CHFN、CHFN、およびCNが、酸化物対窒化物で無限大の選択性(150として表されている)を有していることを示している。
比較例5
図15は、10sccmの酸素を添加した場合の、CHFN、CHFN、CN、CN、cC、およびCのエッチングガスでのシリコン酸化物のエッチング速度を比較して示すグラフである。図15において、y軸は、エッチング速度をあらわし、x軸は、比較する化合物を表している。図15に見られるように、10sccmの酸素を添加した場合のエッチング速度の順位は以下のとおりである:cC>C>CHFN>CN>CHFN>CN。
比較例6
図16は、10sccmの酸素を添加した場合の、CHFN、CHFN、CN、CN、cC、およびCのエッチングガスの選択性(SiO/SiN)を比較して示すグラフである。図16において、y軸は、(SiO:SiN)の選択性を表し、そしてx軸は、比較する化合物を表している。図16は、10sccmの酸素添加では、CHFN、CHFN、およびCNが、酸化物対窒化物で無限大の選択性(150として表されている)を有していることを示している。
比較例7
図17は、15sccmの酸素を添加した場合の、CHFN、CHFN、CN、cC、およびCのエッチングガスでのシリコン酸化物のエッチング速度を比較して示すグラフである。図17において、y軸は、エッチング速度をあらわし、x軸は、比較する化合物を表している。図17に見られるように、15sccmの酸素を添加した場合のエッチング速度の順位は以下のとおりである:C>cC>CHFN>CN>CHFN。
比較例8
図18は、15sccmの酸素を添加した場合の、CHFN、CHFN、CN、cC、およびCのエッチングガスの選択性(SiO/SiN)を比較して示すグラフである。図18において、y軸は、エッチング速度をあらわし、x軸は、比較する化合物を表している。図18は、15sccmの酸素を添加した場合、CHFNだけが、酸化物対窒化物で無限大の選択性(150として表されている)を有していることを示している。
比較例9
をエッチングガス混合物(cCを含む)に添加して、エッチング速度および選択性に及ぼすNの効果を調べた。エッチング試験は30mトールで実施し、電源出力を750W(27MHz)とし、バイアス出力を1500W(2MHz)とした。そのフィード混合物には、250sccmのAr、15sccmのcC、10sccmのOが含まれていたが、それに対してNは、0sccm〜20sccmの量で変化させた。図19は、各種の基材物質のエッチング速度に及ぼす、N添加の効果を示すグラフである。図19において、y軸は、エッチング速度をあらわし、x軸はNの流量を表している。
図に見られるように、それぞれの基材(SiO、SiN、p−Si、またはa−C)では、そのエッチング速度は、窒素無添加(0sccm)の場合のエッチング速度に比較して、10%未満の変化しかなかった。したがって、図19に示された結果に基づけば、窒素の添加は、各種の基材物質のエッチング速度には、最小限の影響しか与えていない。
比較例10
NHをエッチングガス混合物に添加して、エッチング速度および選択性に及ぼす窒素の効果を調べた。エッチング試験は30mトールで実施し、電源出力を750W(27MHz)とし、バイアス出力を1500W(2MHz)とした。そのフィード混合物には、250sccmのAr、15sccmのcC、15sccmのNHが含まれていたが、それに対してOは、0sccm〜15sccmの量で変化させた。図20は、各種の基材物質のエッチング速度に及ぼす、O添加の効果を示すグラフである。図20においては、y軸のプラス側がエッチング速度を表すのに対して、y軸のマイナス側はデポジション速度を表しており、x軸は、Oの流量を表している。
図に見られるように、酸素無添加の場合には、シリコン酸化物対シリコン窒化物では無限大の選択性が得られている。しかしながら、この条件では過剰な重合が起きて、エッチストップに至ってしまう。
先に図1bに記載したように、エッチングの際にサイドウォールの上に、ポリマーがデポジットできる。深く垂直なエッチプロファイルを得るためには、ポリマーのデポジション量を極めて厳密に制御しなければならない。過剰な重合が起きると、エッチストップ現象と呼ばれている状態が生じる可能性がある。そのエッチストップを防止する目的で、多
くの場合、エッチングガス混合物に酸素が添加される。しかしながら、酸素が過剰であると、選択性が失われる結果を招く恐れがある。したがって、エッチストップと選択性との間には、トレードオフの関係がある。
図20に見られるように、酸素の添加量を増やすに連れて、選択性は低下する。酸素流量を5sccm〜15sccmの間で変化させたとき、SiOの他の物質に対する選択性は、窒素含有有機フッ素化合物の場合の方が、cCにアンモニアを添加するよりは、はるかに良好である(図8および9参照)。さらには、混合物中の他の主要な有機フッ素化合物をアンモニアに置きかえる必要があると、商業的用途でそれを使用することが困難となる。
デポジション試験は、1×1cmのSi試験片について30mトールで実施し、電源出力を750W(27MHz)とし、バイアス出力は印加しなかった。そのプロセスフィード混合物には、250sccmのAr、15sccmのcC、および15sccmのNHが含まれていた。これらの条件下で観察されたデポジション速度は、180nm/minである。
比較例11
図21は、CNについての電子衝撃イオン化データを示すグラフである。図21においては、x軸は、電子エネルギーを表し、y軸は、フラグメント種の分圧を表している。図21は、CNからの主たるフラグメントがCFおよびCNであることを示している。CNフラグメントは低いF/C比を有していて、基材に到達すると容易に重合するであろう。
比較例12
図22は、CNおよびOを用いたときのSiO、SiN、p−Si、およびa−Cのエッチング速度を示すグラフである。図22においては、y軸のプラス側がエッチング速度を表すのに対して、y軸のマイナス側はデポジション速度を表しており、x軸は、Oの流量(単位:sccm)である。CNの流量を15sccmに固定するに対して、Oの流量は0〜15sccmで変化させる。
図に見られるように、酸素を添加しない場合(0sccmO条件)には、CNがシリコン酸化物を容易にエッチングするが、極めて遅い速度ではあるものの、他の基材もエッチングする。これにより、シリコン酸化物対シリコン窒化物では〜40の極めて高い選択性、シリコン酸化物対a−Cでは〜30の選択性、そして対p−Siでは無限大の選択性という結果が得られる。しかしながら、酸素流量を5sccm以上に上げると、選択性が徐々に低下する。
比較例13
図23は、CN(1,1,1,3,3,3−ヘキサフルオロイソプロピルアミン
Figure 2021108391
)についての、電子衝撃イオン化データを示すグラフである。図23においては、x軸は、電子エネルギーを表し、y軸は、フラグメント種の分圧を表している。図23は、C
Nからの主たるフラグメントがCNであることを示している。CNフラグメントは高いF/C比を有しており、基材の上にエッチ耐性のポリマーを与えることはできない。
比較例14
図24は、酸素なしで、各種の基材物質にCNを添加したときの、エッチング速度の結果を示すグラフである。デポジション試験の条件では、それは、210nm/minの速度でデポジットして、サイドウォール保護を与えることができる。この分子は、p−Siおよびa−Cに対しては良好な選択性を与えるが、SiN膜に対しては、たとえ酸素を全く添加しない場合であっても、選択性が低下している。
まとめると、窒素含有有機フッ素化合物のプラズマを用いてSiO、SiN、p−Si、およびa−C膜をドライエッチングした場合の評価は、窒素含有HFCが、シリコン酸化物のシリコン窒化物およびp−Siに対して、従来技術のフルオロカーボンよりも、最高(最大は無限大まで)の選択性を与えるということを示している。高い選択性が得られる理由は、そのエッチングガスがプラズマ解離するときに、低いF/Cの窒素含有フラグメントを生成させ、それが基材の上に保護ポリマー膜の生成をもたらすということに帰することができる。そのポリマー膜をXPSで分析すると、C、FおよびNの存在を示している。そのようにして生成した膜は、式CF(ここで、x、y=0.01〜5)を有している。標準的なcCガスがCFタイプのポリマー(ここで、x=0.01〜5)しか形成しないのに比較して、重合膜の中に窒素が存在することによって、格別な保護層が得られる。本明細書で提示されたエッチングガスの結果は、それらがコンタクトエッチプロセスに適合しているばかりではなく、シリコンまたは金属を含む基材に対する他のエッチングプロセスでも有益であろうということも示している。
本発明の実施態様を示し記述してきたが、当業者であれば、本発明の精神または教示から逸脱することなく、それらの修正・変更を実施することができるであろう。本明細書において記述された実施態様は、単なる例示にすぎず、本発明を限定するものではない。組成および方法については多くの変更および修正が可能であり、それらは本発明の範囲内である。したがって、保護の範囲は、本明細書において記載された実施態様には限定されず、以下の請求項によってのみ限定されるが、その範囲には、請求項の主題の等価物すべてが含まれる。

Claims (15)

  1. シリコン含有膜をエッチングするための方法であって、前記方法が以下の工程、
    基材の上のシリコン含有膜を含む反応チャンバの中に窒素含有エッチング化合物の蒸気を導入する工程であって、前記窒素含有エッチング化合物が、N≡C−R[式中、Rは、式H(ここで、a=1〜11、b=1〜11、c=1〜5である)を有する];(N≡C−)−(R)−(−C≡N)[式中、Rは、式H(ここで、a=0、b=1〜11、c=1〜5である)を有する];ならびに、R [−C=N(R )][ここで、x=1〜2、y=1〜2、z=0〜1、x+z=1〜3であり、それぞれのRおよびRは独立して、式H(ここで、a=0〜11、b=0〜11、c=0〜5である)を有する]からなる群より選択される式を有する有機フッ素化合物を含む、工程;
    不活性ガスを前記反応チャンバの中に導入する工程、ならびに
    プラズマを活性化させて、前記基材から前記シリコン含有膜をエッチングすることが可能な、活性化された窒素含有エッチング化合物を形成させる工程、
    を含む方法。
  2. 前記反応チャンバから揮発性の副生物(前記活性化された窒素含有エッチング化合物が前記シリコン含有膜と反応して、前記揮発性の副生物が生成する)を除去する工程をさらに含む、請求項1に記載の方法。
  3. 前記C≡N官能基を含む前記有機フッ素化合物が、式N≡C−R[式中、それぞれのRは独立して、式H(ここで、a=1〜11、b=1〜11、c=0〜5である)を有する官能基である]を含む、請求項2に記載の方法。
  4. C≡N官能基を含む前記有機フッ素化合物が、式(N≡C−)−(R)−(−C≡N)[式中、それぞれのRは独立して、式H(ここで、a=0〜11、b=1〜11、c=0〜5である)を有する官能基である]を含む、請求項2に記載の方法。
  5. C=N官能基を含む前記有機フッ素化合物が、式R [−C=N(R )][式中、x=1〜2、y=1〜2、z=0〜1、x+z=1〜3であり、それぞれのRおよびRは独立して、式H(ここで、a=0〜11、b=0〜11、c=0〜5である)を有する]を含む、請求項2に記載の方法。
  6. 前記有機フッ素化合物が、2,2,3,3−テトラフルオロプロピオニトリル、2,3,3,3−テトラフルオロプロピオニトリル(CHFN)、ジフルオロアセトニトリル(CHFN)、ヘキサフルオロアセトンイミン(CHFN);4,4,4−トリフルオロクロトノ−ニトリル、3,3,3−トリフルオロプロピオニトリル、フルオロアセトニトリル、オクタフルオロヘキサン−1,6−ジニトリル、1,1−ビス(トリフルオロメチル)−2,2−ジシアノエチレン、N,1,1,1,3,3,3−ヘプタフルオロ−プロパンアミン、および1,1,1,6,6,6−ヘキサフルオロ−3−アザヘキセ−3−エンからなる群より選択される、請求項1に記載の方法。
  7. 前記有機フッ素化合物が、2,3,3,3−テトラフルオロプロピオニトリル(CHFN)である、請求項6に記載の方法。
  8. 前記有機フッ素化合物が、ジフルオロアセトニトリル(CHFN)である、請求項6に記載の方法。
  9. 前記反応チャンバの中に酸化剤を導入する工程をさらに含む、請求項1〜8のいずれか
    1項に記載の方法。
  10. 前記シリコン含有膜が、シリコン酸化物、シリコン窒化物、ポリシリコン、結晶質シリコン、low−kSiCOH、SiOCN、SiON、Si(ここで、a>0;b、c、dおよびe≧0である)、またはそれらの組合せの層を含む、請求項1〜8のいずれか1項に記載の方法。
  11. 前記シリコン含有膜が、非晶質カーボン層またはフォトレジスト層から選択的にエッチングされる、請求項10に記載の方法。
  12. 前記シリコン酸化物層が、シリコン窒化物層、ポリシリコン層、または非晶質カーボン層から選択的にエッチングされる、請求項10に記載の方法。
  13. 前記方法で、前記シリコン含有膜の中に約(10:1)〜約(200:1)の間のアスペクト比を有する開口が作成される、請求項9に記載の方法。
  14. 窒素含有エッチング化合物であって、N≡C−R[式中、Rは、式H(ここで、a=1〜11、b=1〜11、c=1〜5である)を有する];(N≡C−)−(R)−(−C≡N)[式中、それぞれのRは独立して、式H(ここで、a=0、b=1〜11、c=1〜5である)を有する];R [−C=N(R )][式中、x=1〜2、y=1〜2、z=0〜1、x+z=1〜3であり、そしてそれぞれのRおよびRは独立して、式H(ここで、a=0〜11、b=0〜11、c=0〜5である)を有する]からなる群より選択される式を有する有機フッ素化合物を含む窒素含有エッチング化合物。
  15. 前記有機フッ素化合物が、ジフルオロアセトニトリル、2,3,3,3−テトラフルオロプロピオニトリル、2,2,3,3−テトラフルオロプロピオニトリル、ヘキサフルオロアセトンイミン、4,4,4−トリフルオロクロトノ−ニトリル、3,3,3−トリフルオロプロピオニトリル、フルオロアセトニトリル、オクタフルオロヘキサン−1,6−ジニトリル、1,1−ビス(トリフルオロメチル)−2,2−ジシアノエチレン、N,1,1,1,3,3,3−ヘプタフルオロ−プロパンアミン、および1,1,1,6,6,6−ヘキサフルオロ−3−アザヘキセ−3−エンからなる群より選択される、請求項14に記載の窒素含有エッチング化合物。
JP2021069197A 2015-08-31 2021-04-15 半導体構造物上に窒素含有化合物を堆積させる方法 Active JP7079872B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/841,271 2015-08-31
US14/841,271 US9659788B2 (en) 2015-08-31 2015-08-31 Nitrogen-containing compounds for etching semiconductor structures
JP2018505026A JP6871233B2 (ja) 2015-08-31 2016-08-30 シリコン含有膜をエッチングするための方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2018505026A Division JP6871233B2 (ja) 2015-08-31 2016-08-30 シリコン含有膜をエッチングするための方法

Publications (2)

Publication Number Publication Date
JP2021108391A true JP2021108391A (ja) 2021-07-29
JP7079872B2 JP7079872B2 (ja) 2022-06-02

Family

ID=54870307

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2018505026A Active JP6871233B2 (ja) 2015-08-31 2016-08-30 シリコン含有膜をエッチングするための方法
JP2021069197A Active JP7079872B2 (ja) 2015-08-31 2021-04-15 半導体構造物上に窒素含有化合物を堆積させる方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2018505026A Active JP6871233B2 (ja) 2015-08-31 2016-08-30 シリコン含有膜をエッチングするための方法

Country Status (7)

Country Link
US (2) US9659788B2 (ja)
EP (1) EP3345211A1 (ja)
JP (2) JP6871233B2 (ja)
KR (2) KR102625367B1 (ja)
CN (2) CN115394641A (ja)
TW (2) TWI745202B (ja)
WO (1) WO2017040518A1 (ja)

Families Citing this family (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US20180277387A1 (en) * 2014-08-06 2018-09-27 American Air Liquide, Inc. Gases for low damage selective silicon nitride etching
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US9406535B2 (en) 2014-08-29 2016-08-02 Lam Research Corporation Ion injector and lens system for ion beam milling
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9659788B2 (en) 2015-08-31 2017-05-23 American Air Liquide, Inc. Nitrogen-containing compounds for etching semiconductor structures
US9917097B2 (en) * 2016-01-07 2018-03-13 Toshiba Memory Corporation Method of manufacturing semiconductor device
US9779955B2 (en) 2016-02-25 2017-10-03 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
US10325779B2 (en) 2016-03-30 2019-06-18 Tokyo Electron Limited Colloidal silica growth inhibitor and associated method and system
US10515820B2 (en) 2016-03-30 2019-12-24 Tokyo Electron Limited Process and apparatus for processing a nitride structure without silica deposition
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
DE102016220248A1 (de) * 2016-10-17 2018-04-19 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Vorrichtung und verfahren zum anisotropen drie-ätzen mit fluorgasmischung
US10692880B2 (en) * 2016-12-27 2020-06-23 Applied Materials, Inc. 3D NAND high aspect ratio structure etch
US10607850B2 (en) * 2016-12-30 2020-03-31 American Air Liquide, Inc. Iodine-containing compounds for etching semiconductor structures
US10347498B2 (en) 2016-12-31 2019-07-09 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Methods of minimizing plasma-induced sidewall damage during low K etch processes
US20170110336A1 (en) * 2016-12-31 2017-04-20 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges CLuadeq Methods for minimizing sidewall damage during low k etch processes
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
JP6875152B2 (ja) * 2017-03-03 2021-05-19 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 多孔質膜封孔方法および多孔質膜封孔用材料
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
JP7036799B2 (ja) * 2017-04-06 2022-03-15 関東電化工業株式会社 ドライエッチングガス組成物及びドライエッチング方法
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10242883B2 (en) * 2017-06-23 2019-03-26 Lam Research Corporation High aspect ratio etch of oxide metal oxide metal stack
TW201909264A (zh) 2017-07-17 2019-03-01 美商應用材料股份有限公司 氮化物特徵的受控蝕刻
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10586710B2 (en) * 2017-09-01 2020-03-10 Tokyo Electron Limited Etching method
JP6883495B2 (ja) * 2017-09-04 2021-06-09 東京エレクトロン株式会社 エッチング方法
US10410878B2 (en) * 2017-10-31 2019-09-10 American Air Liquide, Inc. Hydrofluorocarbons containing —NH2 functional group for 3D NAND and DRAM applications
US10847374B2 (en) * 2017-10-31 2020-11-24 Lam Research Corporation Method for etching features in a stack
CN107833842B (zh) * 2017-11-01 2019-03-29 长江存储科技有限责任公司 一种3d nand存储器的层叠结构的薄膜层厚度测量方法
SG11202004796PA (en) * 2017-11-30 2020-06-29 Lam Res Corp Silicon oxide silicon nitride stack stair step etch
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
CN110010464B (zh) * 2017-12-25 2023-07-14 东京毅力科创株式会社 处理基板的方法
JP7067424B2 (ja) * 2017-12-27 2022-05-16 東京エレクトロン株式会社 エッチング方法及びエッチング装置
US10529581B2 (en) * 2017-12-29 2020-01-07 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude SiN selective etch to SiO2 with non-plasma dry process for 3D NAND device applications
US10903109B2 (en) 2017-12-29 2021-01-26 Micron Technology, Inc. Methods of forming high aspect ratio openings and methods of forming high aspect ratio features
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10361092B1 (en) 2018-02-23 2019-07-23 Lam Research Corporation Etching features using metal passivation
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
WO2019199922A1 (en) * 2018-04-13 2019-10-17 Mattson Technology, Inc. Processing of workpieces with reactive species generated using alkyl halide
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190132834A (ko) 2018-05-21 2019-11-29 삼성전자주식회사 3차원 반도체 메모리 장치 및 이의 제조 방법
US11011351B2 (en) * 2018-07-13 2021-05-18 Lam Research Corporation Monoenergetic ion generation for controlled etch
SG11202100018XA (en) * 2018-07-27 2021-02-25 Applied Materials Inc 3d nand etch
KR102272823B1 (ko) * 2018-07-30 2021-07-02 도쿄엘렉트론가부시키가이샤 에칭 방법 및 에칭 장치
WO2020051063A2 (en) * 2018-09-05 2020-03-12 Tokyo Electron Limited Surface modification process
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) * 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
CN111048415B (zh) * 2018-10-11 2023-03-14 上海新阳半导体材料股份有限公司 一种选择性刻蚀液组合物及其制备方法和应用
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7174634B2 (ja) 2019-01-18 2022-11-17 東京エレクトロン株式会社 膜をエッチングする方法
JPWO2020153066A1 (ja) * 2019-01-23 2021-12-02 セントラル硝子株式会社 ドライエッチング方法、ドライエッチング剤、及びその保存容器
KR20200127101A (ko) 2019-04-30 2020-11-10 삼성전자주식회사 반도체 메모리 소자 및 이의 제조 방법
KR102338512B1 (ko) * 2019-10-30 2021-12-10 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US11967524B2 (en) 2019-11-08 2024-04-23 Applied Materials, Inc. 3D NAND gate stack reinforcement
CN113035694A (zh) * 2019-12-25 2021-06-25 中微半导体设备(上海)股份有限公司 刻蚀方法
JP7403314B2 (ja) * 2019-12-26 2023-12-22 東京エレクトロン株式会社 エッチング方法及びエッチング装置
US11024511B1 (en) * 2020-04-21 2021-06-01 Winbond Electronics Corp. Patterning method
US11329064B2 (en) 2020-06-16 2022-05-10 Micron Technology, Inc. Integrated assemblies and methods of forming integrated assemblies
US11195723B1 (en) * 2020-12-11 2021-12-07 Tokyo Electron Limited Non-atomic layer deposition (ALD) method of forming sidewall passivation layer during high aspect ratio carbon layer etch
CN112635475B (zh) * 2020-12-18 2024-05-24 长江存储科技有限责任公司 一种堆叠结构及其制备方法
US20230193460A1 (en) * 2021-12-17 2023-06-22 American Air Liquide, Inc. Deposition of iodine-containing carbon films
US20240096640A1 (en) * 2022-09-20 2024-03-21 Tokyo Electron Limited High Aspect Ratio Contact (HARC) Etch

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020190027A1 (en) * 2001-06-13 2002-12-19 Felker Brian Scott Cyanuric fluoride and related compounds for anisotropic etching
JP2005142255A (ja) * 2003-11-05 2005-06-02 Ulvac Japan Ltd 低誘電率層間絶縁膜のドライエッチング方法
JP2006237603A (ja) * 2005-02-15 2006-09-07 Air Products & Chemicals Inc 基材上に特徴を画定する方法
JP2007532781A (ja) * 2004-04-14 2007-11-15 ネーデルランドセ オルガニサティエ フォール トエゲパストナトールヴェテンシャッペリク オンデルゾエク ティエヌオー コーティング、並びにそれを製造するための方法及び装置
US20100105595A1 (en) * 2008-10-29 2010-04-29 Wai Mun Lee Composition comprising chelating agents containing amidoxime compounds
JP2014199815A (ja) * 2001-02-02 2014-10-23 ダイキン工業株式会社 電極表面被膜形成剤

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2730543A (en) 1950-05-20 1956-01-10 Minnesota Mining & Mfg Fluorinated nitriles
US2704769A (en) 1951-05-31 1955-03-22 Minnesota Mining & Mfg Preparation of alpha-hydroperfluoronitriles
US3585218A (en) * 1967-05-24 1971-06-15 Minnesota Mining & Mfg Preparation of difluoramines by oxidation with 1,1-bis(fluoroxy)perfluoroalkane
US3671509A (en) 1971-03-22 1972-06-20 Du Pont Copolymers of fluoroketone imines with polymerizable unsaturated compounds
JPH0597478A (ja) * 1991-10-04 1993-04-20 Nippon Sheet Glass Co Ltd 撥水性ガラス物品およびその製造方法
US5242538A (en) 1992-01-29 1993-09-07 Applied Materials, Inc. Reactive ion etch process including hydrogen radicals
US5843847A (en) 1996-04-29 1998-12-01 Applied Materials, Inc. Method for etching dielectric layers with high selectivity and low microloading
US5814563A (en) 1996-04-29 1998-09-29 Applied Materials, Inc. Method for etching dielectric using fluorohydrocarbon gas, NH3 -generating gas, and carbon-oxygen gas
US6387287B1 (en) 1998-03-27 2002-05-14 Applied Materials, Inc. Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
US6569774B1 (en) 2000-08-31 2003-05-27 Micron Technology, Inc. Method to eliminate striations and surface roughness caused by dry etch
US6413877B1 (en) 2000-12-22 2002-07-02 Lam Research Corporation Method of preventing damage to organo-silicate-glass materials during resist stripping
US20020121500A1 (en) 2000-12-22 2002-09-05 Rao Annapragada Method of etching with NH3 and fluorine chemistries
US6777344B2 (en) 2001-02-12 2004-08-17 Lam Research Corporation Post-etch photoresist strip with O2 and NH3 for organosilicate glass low-K dielectric etch applications
US7311852B2 (en) 2001-03-30 2007-12-25 Lam Research Corporation Method of plasma etching low-k dielectric materials
JP2002319551A (ja) * 2001-04-23 2002-10-31 Nec Corp 半導体装置およびその製造方法
AU2003254851A1 (en) * 2002-08-07 2004-02-25 Kabushiki Kaisha Toyota Chuo Kenkyusho Laminate having adherent layer and laminate having protective film
JP2004158534A (ja) 2002-11-05 2004-06-03 Kobe Steel Ltd 微細構造体の洗浄方法
US6693047B1 (en) 2002-12-19 2004-02-17 Taiwan Semiconductor Manufacturing Co. Ltd. Method for recycling semiconductor wafers having carbon doped low-k dielectric layers
JP2005116801A (ja) * 2003-10-08 2005-04-28 Toshiba Corp 半導体装置の製造方法
US7695590B2 (en) * 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US20060062914A1 (en) * 2004-09-21 2006-03-23 Diwakar Garg Apparatus and process for surface treatment of substrate using an activated reactive gas
KR100683400B1 (ko) 2005-12-28 2007-02-15 동부일렉트로닉스 주식회사 저유전 물질 측정 방법
US7540971B2 (en) * 2006-04-28 2009-06-02 Applied Materials, Inc. Plasma etch process using polymerizing etch gases across a wafer surface and additional polymer managing or controlling gases in independently fed gas zones with time and spatial modulation of gas content
WO2008110216A1 (en) * 2007-03-15 2008-09-18 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Copper interconnection for flat panel display manufacturing
KR101660488B1 (ko) 2010-01-22 2016-09-28 삼성전자주식회사 3차원 반도체 장치 및 그 제조 방법
JP5698558B2 (ja) * 2011-02-21 2015-04-08 東京エレクトロン株式会社 基板処理方法及び記憶媒体
FR2972452B1 (fr) * 2011-03-09 2013-03-15 Rhodia Operations Procede de preparation du difluoroacetonitrile et de ses derives
GB201107737D0 (en) 2011-05-09 2011-06-22 Univ Birmingham Extraction from cells
KR101276258B1 (ko) * 2011-11-21 2013-06-20 피에스케이 주식회사 반도체 제조 장치 및 반도체 제조 방법
US9093389B2 (en) 2013-01-16 2015-07-28 Applied Materials, Inc. Method of patterning a silicon nitride dielectric film
CN104752307B (zh) * 2013-12-25 2018-03-20 中芯国际集成电路制造(上海)有限公司 一种浅沟槽隔离结构及其制造方法
US9659788B2 (en) 2015-08-31 2017-05-23 American Air Liquide, Inc. Nitrogen-containing compounds for etching semiconductor structures

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014199815A (ja) * 2001-02-02 2014-10-23 ダイキン工業株式会社 電極表面被膜形成剤
US20020190027A1 (en) * 2001-06-13 2002-12-19 Felker Brian Scott Cyanuric fluoride and related compounds for anisotropic etching
JP2005142255A (ja) * 2003-11-05 2005-06-02 Ulvac Japan Ltd 低誘電率層間絶縁膜のドライエッチング方法
JP2007532781A (ja) * 2004-04-14 2007-11-15 ネーデルランドセ オルガニサティエ フォール トエゲパストナトールヴェテンシャッペリク オンデルゾエク ティエヌオー コーティング、並びにそれを製造するための方法及び装置
JP2006237603A (ja) * 2005-02-15 2006-09-07 Air Products & Chemicals Inc 基材上に特徴を画定する方法
US20100105595A1 (en) * 2008-10-29 2010-04-29 Wai Mun Lee Composition comprising chelating agents containing amidoxime compounds

Also Published As

Publication number Publication date
TW202124361A (zh) 2021-07-01
CN115394641A (zh) 2022-11-25
EP3345211A1 (en) 2018-07-11
KR20180048689A (ko) 2018-05-10
JP7079872B2 (ja) 2022-06-02
JP6871233B2 (ja) 2021-05-12
KR102625367B1 (ko) 2024-01-15
CN107924842B (zh) 2022-09-06
TW201714873A (zh) 2017-05-01
US10256109B2 (en) 2019-04-09
KR20240011245A (ko) 2024-01-25
US20170229316A1 (en) 2017-08-10
WO2017040518A1 (en) 2017-03-09
TWI716443B (zh) 2021-01-21
JP2018529225A (ja) 2018-10-04
US20150371869A1 (en) 2015-12-24
TWI745202B (zh) 2021-11-01
CN107924842A (zh) 2018-04-17
US9659788B2 (en) 2017-05-23

Similar Documents

Publication Publication Date Title
JP7079872B2 (ja) 半導体構造物上に窒素含有化合物を堆積させる方法
JP7470834B2 (ja) 半導体構造エッチング用ヨウ素含有化合物
JP6997237B2 (ja) 3d nandフラッシュメモリを製造する方法
KR102153246B1 (ko) 규소-함유 필름의 에칭을 위한 방법 및 에칭 가스
JP6974468B2 (ja) 低誘電率エッチングプロセスの間の側壁ダメージを最小化する方法
TW202204297A (zh) 用於蝕刻半導體結構之含碘的氟碳化合物及氫氟烴化合物

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210507

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210507

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220407

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220517

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220523

R150 Certificate of patent or registration of utility model

Ref document number: 7079872

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150