TWI716443B - 用於蝕刻半導體結構的含氮化合物 - Google Patents

用於蝕刻半導體結構的含氮化合物 Download PDF

Info

Publication number
TWI716443B
TWI716443B TW105127842A TW105127842A TWI716443B TW I716443 B TWI716443 B TW I716443B TW 105127842 A TW105127842 A TW 105127842A TW 105127842 A TW105127842 A TW 105127842A TW I716443 B TWI716443 B TW I716443B
Authority
TW
Taiwan
Prior art keywords
etching
silicon
layer
compound
nitrogen
Prior art date
Application number
TW105127842A
Other languages
English (en)
Other versions
TW201714873A (zh
Inventor
維傑 蘇爾拉
拉吾爾 古普達
凡卡特斯瓦拉R 帕雷姆
Original Assignee
法商液態空氣喬治斯克勞帝方法研究開發股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 filed Critical 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司
Publication of TW201714873A publication Critical patent/TW201714873A/zh
Application granted granted Critical
Publication of TWI716443B publication Critical patent/TWI716443B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C251/00Compounds containing nitrogen atoms doubly-bound to a carbon skeleton
    • C07C251/02Compounds containing nitrogen atoms doubly-bound to a carbon skeleton containing imino groups
    • C07C251/04Compounds containing nitrogen atoms doubly-bound to a carbon skeleton containing imino groups having carbon atoms of imino groups bound to hydrogen atoms or to acyclic carbon atoms
    • C07C251/06Compounds containing nitrogen atoms doubly-bound to a carbon skeleton containing imino groups having carbon atoms of imino groups bound to hydrogen atoms or to acyclic carbon atoms to carbon atoms of a saturated carbon skeleton
    • C07C251/08Compounds containing nitrogen atoms doubly-bound to a carbon skeleton containing imino groups having carbon atoms of imino groups bound to hydrogen atoms or to acyclic carbon atoms to carbon atoms of a saturated carbon skeleton being acyclic
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C251/00Compounds containing nitrogen atoms doubly-bound to a carbon skeleton
    • C07C251/02Compounds containing nitrogen atoms doubly-bound to a carbon skeleton containing imino groups
    • C07C251/26Compounds containing nitrogen atoms doubly-bound to a carbon skeleton containing imino groups having nitrogen atoms of imino groups further bound to halogen atoms
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07CACYCLIC OR CARBOCYCLIC COMPOUNDS
    • C07C255/00Carboxylic acid nitriles
    • C07C255/01Carboxylic acid nitriles having cyano groups bound to acyclic carbon atoms
    • C07C255/10Carboxylic acid nitriles having cyano groups bound to acyclic carbon atoms containing cyano groups and halogen atoms, or nitro or nitroso groups, bound to the same acyclic carbon skeleton
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • H10B12/0335Making a connection between the transistor and the capacitor, e.g. plug
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B69/00Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本發明揭示一種用於蝕刻含矽膜之方法。該方法包括以下步驟:將含氮蝕刻化合物之蒸氣引入基板上含有含矽膜之反應室中,其中該含氮蝕刻化合物為含有至少一個C≡N或C=N官能基之有機氟化合物;將惰性氣體引入該反應室中;及活化電漿以產生能夠自該基板蝕刻該含矽膜的經活化含氮蝕刻化合物。

Description

用於蝕刻半導體結構的含氮化合物 【相關申請案之交叉引用】
本申請案主張2015年8月31號申請之美國申請案第14/841,271號之權益,出於所有目的其以全文引用的方式併入本文中。
本發明揭示一種用於蝕刻含矽膜之方法。該方法包括以下步驟:將含氮蝕刻化合物之蒸氣引入基板上含有含矽膜之反應室中,其中該含氮蝕刻化合物為含有至少一個C≡N或C=N官能基之有機氟化合物;將惰性氣體引入該反應室中;及活化電漿以產生能夠自該基板蝕刻該含矽膜的經活化含氮蝕刻化合物。
在半導體行業中之記憶體應用,諸如DRAM及2D NAND中,電漿蝕刻自半導體基板移除含矽膜,諸如SiO或SiN層。對於3D NAND(例如參看Samsung Electronics Co.,Ltd.之US 2011/0180941)而言,對多個SiO/SiN或SiO/多晶矽(p-Si)層之堆疊進行蝕刻為至關重要的。在遮罩與正受蝕刻之層之間具有高選擇性的蝕刻劑為必不可少的。另外,經蝕刻結構應具有豎直垂直輪廓而無彎曲及低線蝕刻粗糙度(LER;line etch roughness)。
傳統蝕刻氣體包括八氟環丁烷(cC4F8)、六氟-1,3-丁二烯(C4F6)、CF4、CH2F2、CH3F及/或CHF3。已熟知選擇性及聚合物沉積速率隨著C:F之比率增加而增加(亦即C4F6>C4F8>CF4)。參看例如Hung等人之US6387287。
然而,至少由於在電漿蝕刻製程期間側壁上之不充分的抗蝕刻聚合物沉積,傳統蝕刻化學反應可能不能夠提供高於20:1之縱橫比,而其在新型應用(例如3D NAND)中為必需的。側壁上x範圍介於0.01至1且y範圍介於0.01至4之-CxFy-聚合物可易受蝕刻。因此,經蝕刻圖案可不為垂直的且蝕刻結構可展示彎曲、維度改變、圖案崩潰及/或粗糙度增加。
彎曲可由可常常為非晶碳(a-C)材料的遮罩層之側壁蝕刻引起。可藉由電漿中之氧自由基來蝕刻a-C材料,此可導致增加之遮罩開口且產生彎曲狀或成角/曲線的蝕刻結構。
Ji等人(US 5,814,563)揭示使用氟代烴、碳-氧及產生NH3氣體之混合物以達成介電質(諸如SiO及SiN)對p-Si層的高選擇性。Shane(US 2003/0162395)揭示添加含氮氣體至碳氟化合物以將聚合物沉積於遮罩上以改良選擇性,同時蝕刻二氧化矽層。Nemani等人(US 2014/0199851)揭示使用電漿方法,其藉由使NF3及NH3流動以移除氮化矽層之經改質部分以圖案化氮化矽介電膜來進行。Hamrah等人(US 5,242,538)揭示使用CF4及NH3蝕刻氣體,且觀測到氧化矽之選擇性與多晶矽選擇性為至多100:1。Pu等人(US 5,843,847)亦揭示添加另外的氮氣至經氟化蝕刻氣體以幫助提供尺寸控制。
含氮化合物已用作蝕刻氣體。舉例而言,Khandelwal等人 (「Dry removal technology for advanced CMOS devices」,Nanochip Tech.J.,第11卷,第2期,2013,第17頁-第19頁)揭示使用NH4F作為蝕刻劑之原位乾式移除方法。Garg等人(US 2006/0062914)揭示處理基板之表面的活化反應氣體。Garg等人描述在段落[0019]活化反應氣體可包括許多種含氟氣體,包括C3F3N3、氟胺(諸如CF5N)、氟腈(諸如C2F3N、C3F6N及CF3NO)。Felker等人(US 6,508,948)揭示全氟雜芳族胺蝕刻化合物,包括三聚氰氟化物。一種所揭示的三聚氰氟化物為五氟吡啶C5F5N。
重要的為最小化彎曲且達成當前應用(例如接觸蝕刻或3D NAND)所需的高縱橫比(亦即至多200:1)。另外,當今蝕刻不限於對光阻遮罩之選擇性。同樣重要的為在其他材料,諸如a-C、SiN、p-Si、SiC或其他形式之SiaCbOcHdNe材料(其中a>0;b、c、d及e
Figure 105127842-A0305-02-0005-52
0)中獲得高選擇性。
因此,仍需要用於電漿蝕刻應用之新型蝕刻氣體組成物,其可維持用於廣泛範圍之方法條件的選擇性及高縱橫比。
揭示用於電漿蝕刻含矽膜之方法。該等方法包括以下步驟:將含氮蝕刻化合物之蒸氣引入基板上含有含矽膜之反應室中,其中該含氮蝕刻化合物包含含有C≡N或C=N官能基之有機氟化合物;將惰性氣體引入該反應室中;及活化電漿以產生能夠自該基板蝕刻該含矽膜的經活化含氮蝕刻化合物。所揭示之方法可包括以下態樣中之一或多者:˙有機氟化合物含有C≡N官能基;˙有機氟化合物具有式N≡C-R1,其中R1具有式HaFbCc且a=1-11,b=1-11且c=0-5; ˙有機氟化合物為具有式
Figure 105127842-A0305-02-0006-8
之二氟乙腈(C2HF2N); ˙有機氟化合物為具有式
Figure 105127842-A0305-02-0006-10
之2,3,3,3-四氟丙腈 (C3HF4N); ˙有機氟化合物為具有式
Figure 105127842-A0305-02-0006-12
之2,2,3,3-四氟丙腈 (C3HF4N); ˙有機氟化合物為具有式
Figure 105127842-A0305-02-0006-14
之4,4,4-三氟丁烯腈 (C4H2F3N); ˙有機氟化合物為具有式
Figure 105127842-A0305-02-0006-15
之3,3,3-三氟丙腈 (C3H2F3N); ˙有機氟化合物為具有式
Figure 105127842-A0305-02-0006-17
之氟乙腈(C2H2FN); ˙有機氟化合物具有式(N≡C-)-(R2)-(-C≡N),其中R2獨立地具有式 HaFbCc,其中a=0,b=1-11且c=0-5; ˙有機氟化合物為具有式
Figure 105127842-A0305-02-0007-18
之八氟己烷-1,6-二 腈(C6F8N2); ˙有機氟化合物為具有式
Figure 105127842-A0305-02-0007-20
之1,1-雙(三氟甲 基)-2,2-二氰乙烯(C6F6N2);˙有機氟化合物具有式(N≡C-)-(R2)-(-C≡N),其中R2具有式HaFbCc,其中a=1-11,b=1-11且c=0-5; ˙有機氟化合物為具有式
Figure 105127842-A0305-02-0007-21
之2-[1-(二氟甲 基)-2,2,2-三氟亞乙基]-丙二腈(C6HF5N2);˙有機氟化合物含有C=N官能基;˙有機氟化合物具有式R1 x[-C=N(R2 z)]y,其中x=1-2,y=1-2,z=0-1,x+z=1-3,且各R1及R2獨立地具有式HaFbCc,其中a=0,b=1-11且c=0-5; ˙有機氟化合物為具有式
Figure 105127842-A0305-02-0008-23
之N,1,1,1,3,3,3-七氟-2-丙 亞胺(C3F7N);˙有機氟化合物具有式R1 x[-C=N(R3 z)]y,其中x=1-2,y=1-2,z=0-1,x+z=1-3,且各R1及R3獨立地具有式HaFbCc,其中a=1-11,b=0-11且c=0-5; ˙有機氟化合物為具有式
Figure 105127842-A0305-02-0008-25
之六氟丙酮亞胺(C3HF6N); ˙有機氟化合物為具有式
Figure 105127842-A0305-02-0008-28
之1,1,1,6,6,6-六氟-3-氮 雜己-3-烯(C5H5F6N);˙經活化含氮蝕刻化合物與含矽膜反應以形成揮發性副產物;˙揮發性副產物自反應室移除;˙惰性氣體選自由以下組成之群:He、Ar、Xe、Kr及Ne;˙惰性氣體為Ar;˙混合含氮蝕刻化合物之蒸氣與惰性氣體,隨後引入反應室中以產生混合物;˙將含氮蝕刻化合物之蒸氣與惰性氣體分開引入反應室中;˙將惰性氣體連續引入反應室中且將含氮蝕刻化合物之蒸氣引入脈 衝中之反應室中;˙惰性氣體占引入反應室中之含氮蝕刻化合物蒸氣及惰性氣體總體積之約0.01% v/v至約99.9% v/v;˙惰性氣體占引入反應室中之含氮蝕刻化合物蒸氣及惰性氣體總體積之約90% v/v至約99% v/v;˙向反應室中引入氧化劑;˙不向反應室中引入氧化劑;˙氧化劑選自由以下組成之群:O2、CO、CO2、NO、N2O及NO2;˙氧化劑為O2;˙混合含氮蝕刻化合物之蒸氣及氧化劑,隨後引入反應室中;˙將含氮蝕刻化合物之蒸氣與氧化劑分開引入反應室中;˙將氧化劑連續引入反應室中且將含氮蝕刻化合物之蒸氣引入脈衝中之反應室中;˙氧化劑占引入反應室中之含氮蝕刻化合物蒸氣及氧化劑總體積之約0.01% v/v至約99.9% v/v;˙氧化劑占引入反應室中之含氮蝕刻化合物蒸氣及氧化劑總體積之約0.01% v/v至約10% v/v;˙含矽膜包含氧化矽、氮化矽、多晶矽、結晶矽、SiON、SiOCH、SiaObCcNdHe(其中a>0;b、c、d及e
Figure 105127842-A0305-02-0009-51
0)或其組合之層;˙含矽膜包含氧原子、氮原子、碳原子、氫原子或其組合;˙含矽膜包含碳化矽;˙含矽膜自a-C層經選擇性地蝕刻; ˙含矽膜自光阻層選擇性地經蝕刻;˙含矽膜自氮化矽層選擇性地經蝕刻;˙含矽膜自多晶矽層選擇性地經蝕刻;˙含矽膜自結晶矽層選擇性地經蝕刻;˙含矽膜自金屬接觸層選擇性地經蝕刻;˙含矽膜自氮化鈦層選擇性地經蝕刻;˙含矽膜自鉭層選擇性地經蝕刻;˙含矽膜為氧化矽層;˙自a-C層選擇性地蝕刻氧化矽層;˙自光阻層選擇性蝕刻氧化矽層;˙自p-Si層選擇性地蝕刻氧化矽層;˙自結晶矽層選擇性地蝕刻氧化矽層;˙自金屬接觸層選擇性地蝕刻氧化矽層;˙自SiN層選擇性地蝕刻氧化矽層;˙含矽膜為氮化矽層;˙自a-C層選擇性地蝕刻氮化矽層;˙自經圖案化光阻層選擇性地蝕刻氮化矽層;˙自p-Si層選擇性地蝕刻氮化矽層;˙自結晶矽層選擇性地蝕刻氮化矽層;˙自金屬接觸層選擇性地蝕刻氮化矽層;˙自氧化矽層選擇性地蝕刻氮化矽層;˙含矽膜為SiON層; ˙自光阻層選擇性地蝕刻SiON層;˙含矽膜為SiCOH層;˙自氮化鈦層選擇性地蝕刻SiCOH層;˙自a-C層選擇性地蝕刻SiCOH層;˙自光阻層選擇性地蝕刻SiCOH層;˙含矽膜為交替的氧化矽及氮化矽層;˙以類似的蝕刻速率蝕刻氧化矽及氮化矽層;˙自矽層選擇性地蝕刻氧化矽及氮化矽層;˙自p-Si層選擇性地蝕刻氧化矽及氮化矽層;˙自結晶矽層選擇性地蝕刻氧化矽及氮化矽層;˙自a-C層選擇性地蝕刻氧化矽及氮化矽層;˙含矽膜為交替的氧化矽及p-Si層;˙以類似的蝕刻速率蝕刻氧化矽及p-Si層;˙自a-C層選擇性地蝕刻氧化矽及p-Si層;˙自氮化矽層選擇性地蝕刻氧化矽及p-Si層;˙在含矽膜中產生具有介於約10:1與約200:1之間之縱橫比的孔口;˙產生閘極溝槽;˙產生階梯式接點;˙產生通道孔;˙產生具有介於約60:1與約100:1之間之縱橫比的通道孔;˙產生直徑介於約5nm至約100nm範圍內之通道孔;˙產生直徑介於約10nm至約50nm範圍內之通道孔; ˙藉由向反應室中引入蝕刻氣體改良選擇性;˙蝕刻氣體選自由以下組成之群:cC4F8、C4F8、C4F6、CF4、CH3F、CF3H、CH2F2、COS、CF3I、C2F3I、C2F5I、F-C≡N、CS2、SO2、反1,1,1,4,4,4-六氟-2-丁烯(反C4H2F6)、順1,1,1,4,4,4-六氟-2-丁烯(順C4H2F6)、六氟異丁烯(C4H2F6)、反1,1,2,2,3,4-六氟環丁烷(反C4H2F6)、1,1,2,2,3-五氟環丁烷(C4H3F5)、1,1,2,2-四氟環丁烷(C4H4F4)或順1,1,2,2,3,4-六氟環丁烷(順C4H2F6);˙蝕刻氣體為cC5F8;˙蝕刻氣體為cC4F8;˙蝕刻氣體為C4F6;˙混合含氮蝕刻化合物之蒸氣及蝕刻氣體,隨後引入反應室中;˙將含氮蝕刻化合物之蒸氣與蝕刻氣體分開引入反應室中;˙向反應室中引入約0.01% v/v至約99.99% v/v之蝕刻氣體;˙藉由施加RF功率使電漿活化;˙藉由範圍介於約25W至約10,000W之RF功率使電漿活化;˙反應室之壓力範圍介於約1毫托至約10托;˙將含氮蝕刻化合物之蒸氣以範圍介於約0.1sccm至約1slm之流速引入反應室中;˙使基板維持在範圍介於約-196℃至約500℃之溫度下;˙使基板維持在範圍介於-120℃至約300℃之溫度下;˙使基板維持在範圍介於約-100℃至約50℃之溫度下;˙使基板維持在範圍介於約-10℃至大致40℃之溫度下;及˙藉由四極質譜儀、光學發射光譜儀、FTIR或其他自由基/離子量測 工具量測經活化含氮蝕刻化合物。
亦揭示包含具有C≡N或C=N官能基之有機氟化合物的含氮蝕刻化合物。所揭示之含氮蝕刻化合物包括以下態樣中的一或多者:˙有機氟化合物含有C≡N官能基;˙有機氟化合物具有式N≡C-R1,其中R1具有式HaFbCc且a=1-11,b=1-11且c=1-5; ˙有機氟化合物為具有式
Figure 105127842-A0305-02-0013-29
之二氟乙腈(C2HF2N); ˙有機氟化合物為具有式
Figure 105127842-A0305-02-0013-31
之2,3,3,3-四氟丙腈 (C3HF4N); ˙有機氟化合物為具有式
Figure 105127842-A0305-02-0013-32
之2,2,3,3-四氟丙腈 (C3HF4N); ˙有機氟化合物為具有式
Figure 105127842-A0305-02-0013-33
之4,4,4-三氟丁烯腈 (C4H2F3N); ˙有機氟化合物為具有式
Figure 105127842-A0305-02-0014-34
之3,3,3-三氟丙腈 (C3H2F3N); ˙有機氟化合物為具有式
Figure 105127842-A0305-02-0014-36
之氟乙腈(C2H2FN); ˙有機氟化合物具有式(N≡C-)-(R2)-(-C≡N),其中R2具有式HaFbCc,其中a=0,b=1-11且c=1-5; ˙有機氟化合物為具有式
Figure 105127842-A0305-02-0014-38
之八氟己烷-1,6-二 腈(C6F8N2); ˙有機氟化合物為具有式
Figure 105127842-A0305-02-0014-40
之1,1-雙(三氟甲 基)-2,2-二氰乙烯(C6F6N2);˙有機氟化合物具有式(N≡C-)-(R2)-(-C≡N),其中R2具有式HaFbCc,其中a=1-11,b=1-11且c=1-5; ˙有機氟化合物為具有式
Figure 105127842-A0305-02-0015-41
之2-[1-(二氟甲 基)-2,2,2-三氟亞乙基]-丙二腈(C6HF5N2);˙有機氟化合物含有C=N官能基;˙有機氟化合物具有式R1 x[-C=N(R2 z)]y,其中x=1-2,y=1-2,z=0-1,x+z=1-3,且各R1及R2獨立地具有式HaFbCc,其中a=0,b=0-11且c=0-5; ˙有機氟化合物為具有式
Figure 105127842-A0305-02-0015-42
之N,1,1,1,3,3,3-七氟-2-丙 亞胺(C3F7N);˙有機氟化合物具有式R1 x[-C=N(R2 z)]y,其中x=1-2,y=1-2,z=0-1,x+z=1-3,且各R1及R2獨立地具有式HaFbCc,其中a=1-11,b=0-11且c=0-5; ˙有機氟化合物為具有式
Figure 105127842-A0305-02-0015-43
之六氟丙酮亞胺(C3HF6N); ˙有機氟化合物為具有式
Figure 105127842-A0305-02-0015-46
之1,1,1,6,6,6-六氟-3-氮 雜己-3-烯(C5H5F6N); ˙具有範圍介於約95%至約99.999%體積之純度;˙包含在約萬億分之10至約5體積%之間的微量氣體雜質;˙微量氣體雜質包含水;˙微量氣體雜質包含CO2;˙微量氣體雜質包含N2;及˙含氮蝕刻化合物具有小於20ppmw之含水量。
符號及命名法
以下詳細描述及申請專利範圍利用多種縮寫、符號及術語,其一般在此項技術中為熟知的。同時定義通常與各縮寫字之第一實例一起提供,為方便起見,表1提供所用縮寫、符號及術語以及其各別定義之列表。
Figure 105127842-A0305-02-0016-1
Figure 105127842-A0305-02-0017-3
如本文所用,術語「蝕刻(etch)」或「蝕刻(etching)」係指一種電漿蝕刻製程(亦即乾式蝕刻製程),其中離子轟擊使垂直方向之化學反應加速,從而沿著遮蔽構件之邊緣以與基板成直角形成垂直側壁(Manos及Flamm,Plasma Etching An Introduction,Academic Press,Inc.,1989年,第12頁-第13頁)。蝕刻製程在基板中產生孔口,諸如通孔、溝槽、通道孔、閘極溝槽、階梯式接點、電容器孔、接觸孔等。
術語「圖案蝕刻」或「圖案化蝕刻」係指蝕刻非平面結構,諸如含矽膜堆疊上之圖案化遮罩層。
術語「遮罩」係指抗蝕刻之層。遮罩層可位於待蝕刻層上方。
術語「蝕刻終止層」係指位於待蝕刻層底下保護下面層的層。
術語「裝置通道」係指實際裝置之一部分的層且對其之任何損壞將影響裝置效能。
術語「縱橫比」係指溝槽(或通孔)高度與溝槽(或通孔直徑)寬度之比率。
術語「選擇性」意謂一種材料之蝕刻速率與另一材料之蝕刻速率之比率。術語「選擇性蝕刻」或「選擇性地蝕刻」意謂蝕刻一種材料多於另一材料,或換言之,兩種材料之間所具有之蝕刻選擇性大於或小於1:1。
術語「獨立地」在用於描述R基團之情形中時應理解為表示對象R基團不僅相對於帶有相同或不同下標或上標之其他R基團獨立地選擇,而且亦相對於任何其他種類之相同R基團獨立地選擇。舉例而言,在式MR1 x(NR2R3)(4-x)中,其中M為原子,x為2或3,兩個或三個R1基團可但不必彼此相同或與R2或與R3相同。另外,應理解,除非另外特定陳述,否則當用於不同式子中時R基團之值彼此獨立。
在本文中應注意,術語「膜」及「層」可互換使用。應理解膜可對應於或係關於層,且該層可指膜。此外,一般熟習此項技術者將認識到本文所用之術語「膜」或「層」係指放在或擴散在表面上之一定厚度之一些材料且表面可範圍介於大至整個晶圓至小至溝槽或線。
在本文中應注意,術語「蝕刻化合物」及「蝕刻氣體」可互換使用。應理解蝕刻化合物可對應於或係關於蝕刻氣體,且該蝕刻氣體可指蝕刻化合物。
如本文所用,縮寫「NAND」係指「否定AND」或「非AND」閘極;縮寫「2D」係指平面基板上之2維度閘極結構;縮寫「3D」係指3維度或垂直閘極結構,其中閘極結構沿垂直方向堆疊。
本文使用元素週期表之元素之標準縮寫。應理解元素可藉由此等縮寫指代(例如Si係指矽,N係指氮,O係指氧,C係指碳,H係指氫,F係指氟等)。
提供由化學文摘社指定的唯一CAS登記號(亦即「CAS」)以有助於更好地標識所揭示之分子。
請注意,諸如SiN及SiO之含矽膜列於通篇本說明書及申請專利範圍中,但不提及其恰當的化學計量學。含矽膜可包括純矽(Si)層,諸如結晶Si、多晶矽(p-Si或多晶Si)或非晶矽;氮化矽(SikNl)層;或氧化矽(SinOm)層;或其混合物,其中k、I、m及n範圍介於0.1至6(包括0.1及6)。較佳地,氮化矽為SikNl,其中k及I各自範圍介於0.5至1.5。更佳地,氮化矽為Si3N4。較佳地,氧化矽為SinOm,其中n範圍介於0.5至1.5且m範圍介於1.5至3.5。更佳地,氧化矽為SiO2。在本文中,在以下描述中SiO可用於代表含SinOm層。含矽膜可亦為基於氧化矽之介電材料,諸如基於有機物或基於氧化矽之低k介電材料,諸如Applied Materials,Inc.之具有式SiOCH之Black Diamond II或III材料。含矽膜亦可包括SiaObNc,其中a、b、c範圍介於0.1至6。含矽膜亦可包括摻雜劑,諸如B、C、P、As及/或Ge。
100:基板
102:Si晶圓
104:層
104a:SiO層
104b:SiN層
106:非晶碳遮罩層
108:抗反射塗層
110:經圖案化光阻層
212:鈍化層
214:通孔
316:粒子
418:選擇性側壁SiN蝕刻
520:摻雜Si區域
522:電晶體閘極介電
524:閘電極
526:SiO隔離區域
800:反應器
802:反應器腔室
804:底部電極
806:晶圓
808:矽頂部電極噴氣頭
810:孔
812:氣體入口
關於本發明之性質及目標的另一理解,應結合隨附圖式參考以下詳細描述,其中相同元件給出相同或類似參考編號且其中:圖1a為3D NAND堆疊中例示性層之橫截面側視圖;圖1b為3D NAND堆疊中例示性層之橫截面側視圖,其展示在蝕刻3D NAND堆疊期間沉積於側壁上之聚合物;圖1c為3D NAND堆疊中例示性層之橫截面側視圖,其展示在3D NAND堆疊之交替SiO/SiN層蝕刻期間所生成之粒子;圖1d為3D NAND堆疊中例示性層之橫截面側視圖,其展示3D NAND堆疊中側壁上所曝露SiN之選擇性蝕刻;圖2為DRAM堆疊中例示性層之橫截面側視圖;圖3a為例示性層之橫截面側視圖,其展示典型的電晶體裝置區域周圍SiO絕緣層上方產生電晶體結構之光致抗蝕劑圖案;圖3b為蝕刻SiO絕緣層之後圖3a之例示性層之橫截面側視圖;圖4為例示性沉積及蝕刻測試中所應用的例示性反應器系統之橫截面側視圖;圖5為表明電子衝擊電離能量相對於C3HF4N之物質濃度的曲線;圖6為表明電子衝擊電離能量相對於C2HF2N之物質濃度的曲線;圖7為X射線光電子光譜曲線,其表明自C3HF4N沉積之聚合膜之元素含量;圖8為表明SiO、SiN、p-Si及a-C膜之沉積或蝕刻速率隨氧氣流速使用C2HF2N及O2而變化的曲線;圖9為表明SiO、SiN、p-Si及a-C膜之沉積或蝕刻速率隨氧氣流速使用C3HF4N及O2而變化的曲線;圖10為表明SiO、SiN、p-Si及a-C膜之蝕刻速率隨氧氣流速使用C3HF4N及CF4而變化的曲線;圖11為在無C3HF4N、C2HF2N、C5F5N、C2F3N、cC4F8及C4F6之氧氣添加 的情況下比較氧化矽沉積及蝕刻速率的曲線;圖12為在無C3HF4N、C2HF2N、C5F5N、C2F3N、cC4F8及C4F6之氧氣添加的情況下比較氧化矽與氮化矽之選擇性的曲線;圖13為在無C3HF4N、C2HF2N、C5F5N、C2F3N、cC4F8及C4F6之5sccm氧氣添加的情況下比較氧化矽蝕刻速率的曲線;圖14為在無C3HF4N、C2HF2N、C5F5N、C2F3N、cC4F8及C4F6之5sccm氧氣添加的情況下比較氧化矽與氮化矽之選擇性的曲線;圖15為在無C3HF4N、C2HF2N、C5F5N、C2F3N、cC4F8及C4F6之10sccm氧氣添加的情況下比較氧化矽蝕刻速率的曲線;圖16為在無C3HF4N、C2HF2N、C5F5N、C2F3N、cC4F8及C4F6之10sccm氧氣添加的情況下比較氧化矽與氮化矽之選擇性的曲線;圖17為在無C3HF4N、C2HF2N、C2F3N、cC4F8及C4F6之15sccm氧氣添加的情況下比較氧化矽蝕刻速率的曲線;圖18為在無C3HF4N、C2HF2N、C2F3N、cC4F8及C4F6之15sccm氧氣添加的情況下比較氧化矽與氮化矽之選擇性的曲線;圖19為表明添加N2對不同基板材料之cC4F8及O2蝕刻速率之效應的曲線;圖20為表明添加O2至cC4F8及NH3對不同基板材料之沉積及蝕刻速率之效應的曲線;圖21為表明電子衝擊電離能量相對於C2F3N之物質濃度的曲線;圖22為表明SiO、SiN、p-Si及a-C膜之蝕刻速率隨氧氣流速使用C2F3N及O2而變化的曲線; 圖23為表明電子衝擊電離資料相對於用於C3H3F6N之能量的曲線;及圖24為表明在不同基板材料上在無C3H3F6N之氧氣添加的情況下之沉積及蝕刻速率的曲線。
揭示在含矽膜中用於電漿蝕刻半導體結構(諸如通道孔、閘極溝槽、階梯式接點、電容器孔、接觸孔等)的方法。所揭示之方法包括以下步驟:i)將含氮蝕刻化合物之蒸氣引入基板上含有含矽膜之反應室中,其中該含氮蝕刻化合物為含有至少一個C≡N或C=N官能基之有機氟化合物;ii)將惰性氣體引入該反應室中;及iii)活化電漿以產生能夠自該基板蝕刻該含矽膜的經活化含氮蝕刻化合物。在本文中有機氟化合物為含有碳-氟(C-F)鍵之有機化合物。
所揭示之包含至少一個C≡N官能基之有機氟化合物具有通式:N≡C-R1 (I)
(N≡C-)(R2)(-C≡N) (II)
其中R1為具有式HaFbCc之官能基,其中a=1-11,b=1-11且c=0-5,且R2為具有式HaFbCc之官能基,其中a=0-11,b=1-11且c=0-5。HaFbCc官能基可為直鏈、分支鏈或環狀及飽和或不飽和的。申請者認為與CxFy聚合物(其中x範圍介於0.01至1且y範圍介於0.01至4)相比,C≡N官能基可提供改良之抗蝕刻聚合物沉積益處。當有機氟化合物包括至少一個H時,SiN之蝕刻速率可增強,同時維持對遮罩層之高選擇性。
所揭示之包含至少一個C=N官能基之有機氟化合物具有通 式:R1 x[-C=N(R2 z)]y (III)
其中x=1-2,y=1-2,z=0-1,x+z=1-3且各R1及R2獨立地具有式HaFbCc,其中a=0-11,b=0-11且c=0-5。HaFbCc官能基可為直鏈、分支鏈或環狀及飽和或不飽和的。申請者認為與CxFy聚合物(其中x範圍介於0.01至1且y範圍介於0.01至4)相比,C=N官能基可在矽層中提供經改良選擇性。當有機氟化合物包括至少一個H時,且較佳地當R2為H時,SiN之蝕刻速率可增強,同時維持對遮罩層之高選擇性。
所揭示之有機氟化合物可為含有至少一個C≡N或C=N官能基之氫氟碳(CxHyFz)或碳氟(CmFn)化合物,或為含有至少一個C≡N或C=N官能基之雜氫氟碳(CxHyFz)或雜碳氟(CmFn)化合物,其中m、n、x、y及z為整數。
所揭示之含氮蝕刻化合物包括:二氟乙腈(C2HF2N)、2,3,3,3-四氟丙腈(C3HF4N)、2,2,3,3-四氟丙腈(C3HF4N)或六氟丙酮亞胺(C3HF6N),其列於表2中。此等分子可購得且其結構式、CAS編號及沸點亦包括於表2中。
Figure 105127842-A0305-02-0024-4
Figure 105127842-A0305-02-0025-6
所揭示之含氮蝕刻化合物亦可包括4,4,4-三氟丁烯腈(C4H2F3N);3,3,3-三氟丙腈(C3H2F3N);氟乙腈(C2H2FN);八氟己烷-1,6-二腈(C6F8N2);1,1-雙(三氟甲基)-2,2-二氰乙烯(C6F6N2);N,1,1,1,3,3,3-七氟-2-丙亞胺(C3F7N);1,1,1,6,6,6-六氟-3-氮雜己-3-烯(C5H5F6N)。其結構式、 CAS編號及沸點或所預測沸點亦包括於表2中。一般熟習此項技術者將認識到用於此等化合物之合成方法可使用所提供CAS編號獲得。
所揭示之含氮蝕刻化合物可在高縱橫比結構,諸如縱橫比範圍介於10:1至200:1之彼等結構,諸如DRAM及3D NAND應用中提供對遮罩層之高選擇性、蝕刻停止層及裝置通道材料以及無輪廓變形。替代地,所揭示之含氮蝕刻化合物亦可在接觸蝕刻應用中提供對遮罩層或氮化矽,諸如縱橫比範圍介於1:1至50:1之彼等的高選擇性。
所揭示之含氮蝕刻化合物可提供用於廣泛方法條件之蝕刻的無限制選擇性。在本文中選擇性係指兩種不同層之蝕刻速率比率。舉例而言,SiO層相對於a-C層之選擇性為SiO之蝕刻速率除以a-C層之蝕刻速率。所揭示之含氮蝕刻化合物可在圖案高縱橫比結構中提供在含矽膜與遮罩材料之間之經改良選擇性、對通道區域之較少損壞及減少之彎曲。所揭示之含氮蝕刻化合物亦可經由p-Si、SiO及/或SiN之交替的層蝕刻,其產生垂直蝕刻輪廓(亦即表明在交替層之間選擇性範圍介於2:1至1:2)。
以大於95% v/v純度,較佳大於99.99% v/v純度且更佳以大於99.999% v/v純度提供所揭示之含氮蝕刻化合物。所揭示之含氮蝕刻化合物含有小於5體積%微量氣體雜質,其中小於150ppm體積雜質氣體,諸如N2及/或H2O及/或CO2含於該等微量氣態雜質中。較佳地,電漿蝕刻氣體中之含水量小於20ppmw重量。可藉由蒸餾及/或使氣體或液體通過適合吸附劑(諸如4A分子篩)產生經純化之產物。
所揭示之含氮蝕刻化合物含有小於10% v/v,較佳小於1% v/v,更佳小於0.1% v/v且甚至更佳小於0.01% v/v之其異構體中之任一者, 其可藉由蒸餾氣體或液體以移除異構體純化且可提供更好的方法重複性。
替代地,特別當異構體混合物提供經改良方法參數時或若目標異構體之分離過於困難或昂貴,則所揭示之含氮蝕刻化合物可含有在5%v/v與50%v/v之間的其異構體。舉例而言,所揭示之含氮蝕刻化合物可包括在約50%v/v與約75%v/v之間的2,3,3,3-四氟丙腈及在約25%v/v與約50%v/v之間的2,2,3,3-四氟丙腈。異構體混合物可減少需要兩個或更多個至反應室之氣體管線。
一些所揭示之含氮蝕刻化合物在室溫及大氣壓下為氣態的。對於非氣態(亦即液體或固體)化合物,其氣體形式可藉由習知汽化步驟,諸如直接汽化來汽化化合物或藉由用惰性氣體(N2、Ar、He)進行鼓泡製備。非氣態化合物可以液態饋入汽化器中,其中在將其引入反應器中之前使其汽化。
所揭示之含氮蝕刻化合物在含矽膜中適用於電漿蝕刻半導體結構,諸如通道孔、閘極溝槽、階梯式接點、電容器孔、接觸孔等。所揭示之含氮蝕刻化合物不僅與當前可利用的遮罩材料相容,並且與下一代遮罩材料相容,因為所揭示之含氮蝕刻化合物在遮罩上引發極少至無損壞以及高縱橫比結構之良好輪廓。換言之,所揭示之含氮蝕刻化合物可產生具有最小至無彎曲、圖案崩潰或粗糙度之垂直經蝕刻圖案。為了獲得此等特性,所揭示之含氮蝕刻化合物可在蝕刻期間沉積抗蝕刻聚合物層,以幫助在蝕刻程序期間減少氧及氟自由基之直接影響。所揭示之含氮蝕刻化合物亦可在蝕刻期間減少對p-Si或結晶Si通道結構之損壞。較佳地,所揭示之含氮蝕刻化合物在傳送至反應器/腔室中的蝕刻製程期間具有適當地揮發 性及穩定性。
材料相容性測試對測定所揭示之含氮蝕刻化合物中之任一者是否與腔室材料反應且降低具有短期或長期用途之腔室之效能為重要的。腔室、閥門等部件所涉及之關鍵材料包括不鏽鋼、鋁、鎳、PCTFE、PVDF、PTFE及其他金屬及聚合物。有時此等材料曝露於例如高於20℃高溫及例如高於1atm高壓,其可促進其降解。計量方法可包括目視檢查、重量量測、量測掃描電子顯微法(scanning electron microscopy;SEM)中之奈米尺度改變、抗拉強度、硬度等。
所揭示之含氮蝕刻化合物可用於基板上之電漿蝕刻含矽膜。所揭示之電漿蝕刻方法可適用於製造半導體裝置,諸如NAND或3D NAND閘極或閃存或DRAM記憶體或電晶體,諸如鰭狀場效電晶體(fin-shaped field-effect transistor;FinFET)、塊體互補金屬-氧化物-半導體(Bulk complementary metal-oxide-semiconductor;Bulk CMOS)、完全耗盡型絕緣體上矽(fully depleted silicon-on-insulator;FD-SOI)結構。所揭示之含氮蝕刻化合物可用於其他領域之應用,諸如不同前段製程(front end of the line;FEOL)及後段製程(back end of the line;BEOL)蝕刻應用。另外,所揭示之含氮蝕刻化合物亦可用於在3D矽穿孔(through silicon via;TSV)蝕刻應用中蝕刻Si以將記憶體互連至基板上之邏輯。
電漿蝕刻方法包括提供其中安置有基板之反應室。反應室可為任何裝置內之殼體或腔室其中蝕刻方法進行諸如且不限於反應性離子蝕刻(reactive ion etching;RIE)、具有單一或多個頻率RF來源之電容耦合式電漿(capacitively coupled plasma;CCP)、感應耦合式電漿(inductively coupled plasma;ICP)或微波電漿反應器或能夠選擇性移除含矽膜之一部分或產生活性物質的其他類型之蝕刻系統。一般熟習此項技術者將認識到不同電漿反應室設計提供不同電子溫度控制。適合的市售電漿反應腔室包括(但不限於)以商標eMAXTM銷售之Applied Materials磁性增強型反應性離子蝕刻器或以商標2300® FlexTM銷售之Lam Research Dual CCP反應性離子刻蝕器介電蝕刻產品系列。在該電漿反應腔室中之RF功率可為脈衝式以控制電漿特性且因此進一步改良蝕刻效能(選擇性及損壞)。
替代地,經電漿處理之反應物可在反應腔室外部製備。MKS Instruments之ASTRONi®反應氣體產生器可用於在反應物傳送至反應腔室中之前處理反應物。在2.45GHz、7kW電漿功率及範圍為約0.5托至大約10托之壓力下操作,反應物O2可分解成兩個O自由基。較佳地,可藉由約1kW至約10kW,更佳約2.5kW至約7.5kW範圍內之功率產生遠程電漿。
反應室可含有一種或多於一種基板。舉例而言,反應室可含有1至200個具有25.4mm至450mm直徑之矽晶圓。基板可為用於半導體、光伏、平板或LCD-TFT裝置製造之任何適合之基板。適合基板之實例包括晶圓,諸如矽、二氧化矽、玻璃或GaAs晶圓。晶圓其上將自先前製造步驟具有多個膜或層,包括含矽膜或層。層可或可不經圖案化。適合層之實例包括(但不限於)矽(諸如非晶矽、p-Si、結晶矽,其中任一者可進一步經B、C、P、As及/或Ge進行p摻雜或n摻雜)、二氧化矽、氮化矽、氧化矽、氮氧化矽、SiaObHcCdNe(其中a>0;b、c、d、e
Figure 105127842-A0305-02-0029-50
0)、遮罩層材料(諸如非晶碳、抗反射塗層、光阻材料、鎢、氮化鈦、氮化鉭或其組合)、蝕刻終止層材料(諸如氮化矽、多晶矽、結晶矽、碳化矽、SiCN或其組合)、裝置通 道材料(諸如結晶矽、磊晶矽、摻雜矽、SiaObHcCdNe(其中a>0;b、c、d、e
Figure 105127842-A0305-02-0030-48
0)或其組合)。氧化矽層可形成介電材料,諸如基於有機物或基於氧化矽之低k介電材料(例如多孔SiCOH膜)。例示性低k介電材料藉由Applied Materials以商標名Black Diamond II或III銷售。另外,可使用包含鎢或貴金屬(例如鉑、鈀、銠或金)之層。另外,含矽膜之實例可為SiaObHcCdNe(其中a>0;b、c、d、e
Figure 105127842-A0305-02-0030-49
0)。在本說明書及申請專利範圍通篇中,晶圓及其上之任何相關層稱為基板。
以下為基板之例示性具體實例,可在該等基板上施加所揭示之含氮蝕刻化合物以蝕刻。
在一個具體實例中,如圖1a中展示,基板100可包括多層之堆疊。圖1a為3D NAND堆疊中產生3D NAND閘極之例示性層之橫截面側視圖。在圖1a中,7個交替SiO/SiN(亦即104a/104b)層之堆疊104位於矽晶圓102之頂部上(亦即ONON或TCAT技術)。一般熟習此項技術者將認識到一些技術用p-Si層替換SiN層104a(例如SiO/p-Si或P-BICS技術)。非晶碳(a-C)遮罩層106位於7個SiO/SiN層104之頂部上。a-C遮罩層106可含有C及H以及其他元素,諸如硼、氮等以在SiO/SiN層蝕刻期間改良抗蝕刻性。抗反射塗層108位於a-C遮罩層106之頂部上。經圖案化光阻層110位於抗反射塗層108之頂部上。在本文中,SiON層(圖中未示)可存在於抗反射塗層108與a-C遮罩層106之間以將光阻層110中之圖案轉移至a-C層106。一般熟習此項技術者將認識到圖1a中基板100中之層堆疊僅出於例示性目的提供且所揭示之含氮蝕刻化合物可用於蝕刻其他類型之層堆疊。另外,一般熟習此項技術者將認識到基板100之堆疊中交替的SiO/SiN 或SiO/p-Si層104數量可改變(亦即可包括多於或少於所描繪之7個SiO/SiN(104a/104b)層)。
圖1b為3D NAND堆疊中例示性層之橫截面側視圖,其展示在蝕刻期間側壁上所沉積之聚合物。如圖1b中展示,所揭示之含氮化合物可在適用於各向異性地蝕刻含矽膜104及將含N聚合物鈍化層212沉積於正經蝕刻之結構側壁上的電漿方法期間產生碎片。圖1b圖1a之間的差異在圖1b中,通孔214藉由電漿蝕刻使用所揭示之含氮蝕刻化合物形成於基板100中,其亦將聚合物鈍化層212沉積於通孔214之側壁上。聚合物鈍化層212亦提供更光滑的側壁、較少彎曲及在通孔214之底部處較少變形。然而,聚合物鈍化層212可藉由此項技術中已知之乾式或濕式蝕刻化學反應易於移除或清除。
圖1c為3D NAND堆疊中例示性層之橫截面側視圖,其展示在3D NAND堆疊中交替SiO/SiN層蝕刻期間所生成之粒子316;在如圖1c中展示之交替SiO/SiN(亦即104a/104b)層104之側壁上生成的粒子316可藉由使用所揭示之含氮化合物減至最小。圖1c圖1b之間的差異在圖1c中,經交替的SiO/SiN曝露之側壁具有電漿蝕刻期間所生成之粒子316。申請者不認為所揭示之含氮化合物將生成展示於圖1c中之粒子316
圖1d為3D NAND堆疊中例示性層之橫截面側視圖,其展示蝕刻之後3D NAND堆疊中側壁上所曝露SiN之選擇性蝕刻。藉由使用所揭示之含氮化合物選擇性破裂在SiO層104a中Si-O鍵上方的SiN層104b中之Si-N鍵,在通孔214中SiO/SiN層之堆疊104上形成選擇性側壁SiN蝕刻416,堆疊100中SiN曝露之側壁可如圖1d中展示經選擇性蝕刻。圖1d圖1b之間的差異在圖1d中,交替的SiO/SiN側壁上所曝露之SiN藉由所揭示之含氮化合物選擇性蝕刻,形成選擇性側壁SiN蝕刻416。通常,選擇性側壁SiN蝕刻416藉由使用具有磷酸之混合物的濕式蝕刻進行。由於濕式蝕刻需要將基板移動至不同濕式蝕刻設備,所以用乾式電漿蝕刻方法替換濕式蝕刻製程已知極大地改良了半導體裝置製造方法之經濟情況。在所揭示之方法的情況下,包括選擇性側壁SiN蝕刻之所有蝕刻可在一個蝕刻設備中進行,其可減少半導體製造成本。
在替代性具體實例中,如圖2中展示,基板100其上可包括多層堆疊。圖2為DRAM堆疊中產生DRAM記憶體之例示性層之橫截面側視圖。在圖2中,四個層之堆疊位於矽晶圓102之頂部上。a-C遮罩層106位於大SiO層104a之頂部上。抗反射塗層108位於a-C遮罩106之頂部上。圖案光阻層110位於抗反射塗層108之頂部上。在本文中,SiON層(圖中未示)可存在於抗反射塗層108與a-C遮罩層106之間以將光阻層110中之圖案轉移至a-C層106。一般熟習此項技術者將認識到圖2中之層堆疊僅出於例示性目的提供且所揭示之含氮蝕刻化合物可用於蝕刻其他層堆疊,例如用於其中a-C遮罩層106經TiN層替換的堆疊。另外,一般熟習此項技術者將認識到堆疊中層數目可改變(亦即可包括多於或少於所描繪層)。
圖3a為例示性層之橫截面側視圖,其展示典型的電晶體裝置區域周圍SiO絕緣層上方產生電晶體結構之光致抗蝕劑圖案。如圖3a中展示,基板100可包括在矽晶圓102上支撐的電晶體閘電極區域周圍四個層之堆疊。展示於圖3a中之電晶體區域包括兩個充當源極及汲極之摻雜矽區域520。電晶體閘極介電522存在於閘電極524下面。整個電晶體,亦即電 晶體閘極介電522及閘電極524藉由薄SiN層104b包圍,其可稍後在接觸蝕刻期間充當蝕刻終止層。各電晶體裝置區域522/524由矽晶圓102中之SiO隔離區域526間隔開以最小化電學干擾。一般熟習此項技術者將認識到層102可位於絕緣體上矽(Silicon on Insulator;SOI)晶圓之氧化矽層頂部上。另一SiO層104a沉積於電晶體上,且用於使金屬接觸與電晶體裝置區域520絕緣。圖案化光阻遮罩110用於圖案化SiO層104a。蝕刻在電漿環境中使用所揭示之含氮蝕刻化合物進行。在本文中,光阻充當遮罩以蝕刻SiO層104a,且在如圖3b中展示之SiN層104b上停止蝕刻。
圖3b為蝕刻SiO絕緣層之後圖3a之例示性層之橫截面側視圖。圖3b圖3a之間的差異在圖3b中,通孔214藉由所揭示之含氮化合物經由蝕刻形成於SiO層104a中。SiO層104a可利用經圖案化光阻層110作為遮罩層蝕刻。遮罩層可為任何適合的光阻遮罩材料,諸如TiN、a-C等。蝕刻可在下面的SiN層104b處停止。
所揭示之含氮蝕刻化合物亦可用於在不同電漿條件及不同混合物下蝕刻SiN層104b。一般熟習此項技術者將認識到圖3a圖3b中層之堆疊及幾何結構僅出於例示性目的提供且所揭示之含氮蝕刻化合物可用於蝕刻其他類型之層堆疊。另外,一般熟習此項技術者將認識到堆疊中層數目可改變(亦即可包括多於或少於所描繪之四個層)。
將所揭示之含氮蝕刻化合物之蒸氣引入含有基板及含矽膜之反應室中。可以在約0.1sccm至約1slm範圍內之流動速率將蒸氣引入腔室。舉例而言,對於200mm晶圓大小,可以在約5sccm至約50sccm範圍內之流動速率將蒸氣引入腔室。替代地,對於450mm晶圓大小,可以在約 25sccm至約250sccm範圍內之流動速率將蒸氣引入腔室。一般熟習此項技術者應認識到流速可隨工具不同而不同。
所揭示之含氮蝕刻化合物可以純形式或以與惰性氣體(諸如N2、Ar、He、Xe等)或溶劑之摻合物形式供應。所揭示之含氮蝕刻化合物可在摻合物中以不同的濃度存在。對於含液氮化合物,含氮蝕刻化合物之蒸氣形式可藉由習知汽化步驟(諸如直接汽化)來汽化純或摻合之含氮蝕刻化合物溶液或藉由鼓泡製備。純或摻合之含氮蝕刻化合物可以液態形式饋入至汽化器中,其中其引入反應器中之前經汽化。
替代地,純或摻合之含氮蝕刻化合物可藉由使運載氣體通過含有所揭示之含氮蝕刻化合物之容器或藉由將運載氣體鼓泡至所揭示之含氮化合物中汽化。載氣可包括但不限於Ar、He、N2及其混合物。利用運載氣體鼓泡亦可移除純或摻合之含氮蝕刻化合物溶液中存在之任何溶解氧。運載氣體及所揭示之含氮蝕刻化合物接著作為蒸氣引入反應器中。
必要時,含有所揭示之含氮蝕刻化合物之容器可加熱至准許含氮蝕刻化合物處於液相且具有足夠蒸氣壓用於傳送至蝕刻工具中的溫度。容器可維持在例如約0℃至約150℃,較佳約25℃至約100℃,更佳約25℃至約50℃之溫度範圍內。更佳地,容器維持在室溫(約25℃)下以便避免加熱通往蝕刻工具之管線。一般熟習此項技術者認識到可以已知方式調節容器之溫度以控制含氮化合物汽化量。
另外,含氮蝕刻化合物以範圍介於95體積%至99.999體積%之純度傳送且可利用移除CO、CO2、N2、H2O、HF、H2S、SO2、鹵化物及其他烴或氫鹵化碳之已知標準純化技術純化。。
亦向反應室中引入惰性氣體以便維持電漿。惰性氣體可為He、Ar、Xe、Kr、Ne、N2或其組合。可混合蝕刻氣體及惰性氣體,隨後引入腔室中,其中惰性氣體占所得混合物之約0.01% v/v與約99.9% v/v之間。替代地,可向腔室中連續地引入惰性氣體,同時向腔室中以脈衝形式引入蝕刻氣體。
藉由電漿活化所揭示之蝕刻氣體及惰性氣體之蒸氣以產生經活化蝕刻氣體。電漿將蝕刻氣體分解成自由基形式(亦即經活化蝕刻氣體)。可藉由施加RF或DC功率來產生電漿。可使用在約25W至約10,000W範圍內之RF功率來生成電漿。電漿可遠程生成或在反應器自身內生成。可以雙重CCP或ICP模式在兩個電極處施加RF來生成電漿。電漿之RF頻率可在200KHz至1GHz範圍內。可在同一電極處耦合及施加不同頻率之不同RF源。電漿RF脈衝可進一步用於控制基板處之分子分裂及反應。熟習此項技術者將認識到適合於此類電漿處理之方法及設備。
四極質譜儀(quadrupole mass spectrometer;QMS)、光學發射光譜儀、FTIR或其他自由基/離子量測工具可自腔室量測所耗費活化蝕刻氣體以測定所製備物質之類型及數目。必要時,可調節蝕刻氣體及/或惰性氣體之流速以增加或減少所產生之自由基物質之數目。
所揭示之蝕刻氣體可在引入反應室中之前或在反應室內與其他氣體混合。較佳地,可在引入腔室之前混合該等氣體以便得到均一濃度之輸入氣體。
在另一替代方案中,諸如當兩種或更多種氣體反應時,可將含氮化合物之蒸氣獨立於其他氣體引入腔室中。
在另一替代方案中,蝕刻氣體及惰性氣體為蝕刻製程期間所用之唯一的兩種氣體。
例示性其他氣體包括(但不限於)諸如O2、O3、CO、CO2、NO、N2O、NO2及其組合之氧化劑。所揭示之蝕刻氣體及氧化劑可混合在一起,隨後引入反應室中。
替代地,可向腔室中連續地引入氧化劑且向腔室中以脈衝形式引入蝕刻氣體。氧化劑可占引入腔室中之混合物之約0.01% v/v至約99.99% v/v之間(其中99.99% v/v表示引入幾乎純的氧化劑用於連續引入替代方案)。
可與蝕刻氣體混合之其他例示性氣體包括另外的蝕刻氣體,諸如cC4F8、C4F8、C4F6、CF4、CH3F、CF3H、CH2F2、COS、CS2、CF3I、C2F3I、C2F5I、SO2、反-1,1,1,4,4,4-六氟-2-丁烯(反C4H2F6)、順-1,1,1,4,4,4-六氟-2-丁烯(順C4H2F6)、六氟異丁烯(C4H2F6)、反-1,1,2,2,3,4-六氟環丁烷(反C4H2F6)、1,1,2,2,3-五氟環丁烷(C4H3F5)、1,1,2,2-四氟環丁烷(C4H4F4)或順-1,1,2,2,3,4-六氟環丁烷(順C4H2F6)。
蝕刻氣體及另外的氣體之蒸氣可在引入反應室之前混合。另外的蝕刻氣體可占引入腔室中之混合物之約0.01% v/v至約99.99% v/v之間。
在一個非限制性例示性電漿蝕刻程序中,使用可控的氣流裝置將2,3,3,3-四氟丙腈之蒸氣引入200mm Dual CCP電漿蝕刻工具中。可控的氣流裝置可為質量流量控制器或鼓泡器設計,其中惰性氣體流傳送所需分子之蒸氣。在高沸點分子之情況下,可使用來自Brooks Automation(編號GF120XSD),MKS Instruments等之特定低壓降質量流量控制器。反應室之 壓力設定在約30毫托下。不需要氣體源加熱,因為2,3,3,3-四氟丙腈之蒸氣壓在室溫下為約498托。保持兩個CCP電極之間之距離為1.35cm且頂部電極RF功率固定在750W。改變底部電極RF功率以分析分子效能。反應室含有上面具有含矽膜之基板,其類似於展示於圖1a中之彼等。抗反射塗層108藉由碳氟化合物(例如CF4及CH2F2)及含氧氣體(例如O2)圖案化/蝕刻。非晶碳遮罩層藉由含氧氣體圖案化/蝕刻。SiO及SiN層104藉由所揭示之含氮有機氟化合物(例如2,3,3,3-四氟丙腈)及氬氣之電漿圖案化。以250sccm流速向腔室中獨立地引入氬氣。以15sccm向腔室中獨立地引入2,3,3,3-四氟丙腈。O2獨立地引入腔室中且在0sccm至20sccm間變化以測定最優蝕刻條件。產生縱橫比等於或大於30:1之孔口,其可用作垂直NAND中之通道孔。類似實例可用於如圖2及圖3a中展示之其他堆疊層。
在另一非限制性例示性電漿蝕刻製程中,使用可控的氣流裝置向200mm Dual CCP電漿蝕刻工具中引入二氟乙腈。可控的氣流裝置可為質量流量控制器。在高沸點分子之情況下,可使用來自Brooks Automation(編號GF120XSD),MKS Instruments等之特定低壓降質量流量控制器。設定反應室之壓力為約30毫托。不需要氣體源加熱,因為二氟乙腈之蒸氣壓在20℃下為約900托。保持兩個CCP電極之間之距離為1.35cm且頂部電極RF功率固定在750W。改變底部電極RF功率以分析二氟乙腈之效能。反應室含有上面具有厚SiO層104a之基板100,其類似於展示於圖2中之層。在此方法之前,抗反射塗層108藉由碳氟化合物(例如CF4)及含氧氣體(例如O2)移除且A-c遮罩層106藉由含氧氣體移除。以250sccm流速向腔室中獨立地引入氬氣。以15sccm向腔室中獨立地引入二氟乙腈。以0-20 sccm向腔室中獨立地引入O2以測定最優蝕刻條件。製備縱橫比等於或大於10:1之孔口,其可用作DRAM中之接觸孔。類似實例可用於如圖1a圖3a中展示之其他堆疊層。
含矽膜與活化蝕刻氣體反應以形成揮發性副產物,將其自反應室移除。a-C遮罩、抗反射塗層及光阻層與活化蝕刻氣體之反應性較小。因此,活化蝕刻氣體選擇性地與含矽膜反應而形成揮發性副產物。
使反應室內之溫度及壓力保持在適用於含矽膜與活化蝕刻氣體反應之條件下。舉例而言,視蝕刻參數所需,可使室中之壓力保持在約0.1毫托與約1000托之間,較佳地在約1毫托與約10托之間,更佳在約10毫托與約1托之間,且更佳在約10毫托與約100毫托之間。同樣地,腔室中之基板溫度可在約-196℃至約500℃之間,較佳在約-120℃至約300℃之間,更佳在約-100℃至約50℃之間;及更佳在約-10℃至約40℃之間範圍內。室壁溫度可視製程要求而定介於約-196℃至約300℃範圍內。
在含矽膜與活化蝕刻氣體之間的反應導致含矽膜自基板各向異性地移除。氮原子、氧原子及/或碳原子亦可存在於含矽膜中。移除係歸因於自電漿離子物理濺鍍含矽膜(藉由電漿加速)及/或藉由電漿物質之化學反應將Si轉化成揮發性物質,諸如SiFx,其中x在1至4範圍內。
所揭示之含氮蝕刻化合物之電漿活化蒸氣較佳地展現針對遮罩之高選擇性且經由SiO及SiN之交替層蝕刻,由此產生無彎曲或粗糙度之垂直蝕刻輪廓,其對於3D NAND應用而言為重要的。另外,側壁上之電漿活化蒸氣沉積物聚合物最小化構件輪廓變形。對於其他應用,諸如DRAM及2D NAND,例如在不同方法條件下之電漿活化蝕刻氣體可自SiN 選擇性地蝕刻SiO。電漿活化蝕刻氣體可自以下選擇性地蝕刻SiO及/或SiN:遮罩層,諸如a-C、光阻、p-Si或碳化矽;或金屬接觸層,諸如Cu;或由SiGe或多晶矽區域組成之通道區域。
使用所揭示之含氮蝕刻化合物作為蝕刻氣體的所揭示之蝕刻製程在含矽膜中產生通道孔、閘極溝槽、階梯式接點、電容器孔、接觸孔等。所得孔口可具有介於約10:1至約200:1範圍內之縱橫比及介於約5nm至約50nm範圍內之直徑。舉例而言,一般熟習此項技術者將認識到通道孔蝕刻在含矽膜中產生具有大於60:1之縱橫比的孔口。
需要蝕刻之典型的材料可為SiO。蝕刻SiO之方法可與硼磷矽玻璃(Borophosphosilicateglass;BPSG)、正矽酸四乙酯(Tetraethylorthosilicate;TEOS)或低沉積速率TEOS(low deposition rate TEOS;LDTEOS)中之蝕刻溝槽相關。蝕刻終止層可為氮化矽或氮化矽氧(SiON)或聚矽。所用遮罩材料可為a-C、p-Si或光阻材料。在本文中,施加所揭示之含氮蝕刻化合物以蝕刻SiO、SiN、p-Si及/或a-C基板膜。
實施例
提供以下非限制性實施例以進一步說明本發明之具體實例。然而,該等實施例並不意欲包括所有在內且並不意欲限制本文所述之發明範圍。
在以下實施例中,評價C2HF2N及C3HF4N含氮化合物之蝕刻效能且將其與C5F5N(CAS 700-16-3)、C2F3N及如cC4F8及C4F6之標準氣體進行比較。結果展示C2HF2N及C3HF4N含氮蝕刻化合物提供側壁保護且可用於蝕刻半導體結構,諸如接觸蝕刻。
在本文中,供接觸蝕刻用之蝕刻劑或蝕刻氣體的目標蝕刻要求為:1.氧化物(亦即SiO)之蝕刻速率必須較高;2.SiO與其他材料(通常為SiN)之選擇性應較高;3.SiO與其他材料(通常為p-Si或a-C)之選擇性應較高。
如將展示,化合物C3HF4N及C2HF2N之結果展示較大前景,因為其滿足用於接觸蝕刻應用之所有所要的蝕刻目標。
蝕刻實驗在具有四種不同基板材料包括SiO、SiN、p-Si及a-C之四個1×1cm2試片上進行。沉積及/或蝕刻速率使用橢圓偏光計及/或SEM藉由量測隨蝕刻時間而變化之蝕刻厚度的改變進行量測。試片置放於200mm直徑載體晶圓上且藉由使用獲自2spi製造商之雙面碳膠帶固持於接觸中。替代地,熱膏可用於將試片黏附於載體晶圓上。
沉積測試在30毫托及750W(27MHz)之電源功率下之1×1cm2 Si試片上進行,其中在基板處無偏壓功率。製程饋入混合物含有250sccm Ar及15sccm蝕刻氣體。接著輸送沉積測試樣品用於X射線光電子光譜測定法(X-ray Photoelectron spectrometry;XPS)分析以研究基板上形成之聚合膜之類型。
蝕刻測試亦在30毫托、750W(27MHz)之電源功率及1500W(2MHz)之偏壓功率下進行。饋入混合物含有250sccm之Ar、15sccm蝕刻氣體,同時O2在0至15sccm範圍內變化。
圖4為沉積及蝕刻測試中所應用的例示性反應器系統之例示性橫截面側視圖。如所示,反應器800包括反應器腔室802。在反應器腔 室802內部,附接於底部電極804之頂部上的晶圓806可置放於反應器腔室802之底部部分中,且矽頂部電極噴氣頭808可置放於反應器腔室802之頂部上。底部電極804可為具有向其中施加之偏壓功率的靜電卡盤。舉例而言,可將2MHz RF偏壓功率施加至底部電極804。晶圓806可具有需要蝕刻之多個層。矽頂部電極噴氣頭808在噴氣頭中具有通過氣體之複數個孔810。氣體可經由氣體入口812引入反應器腔室802中,且隨後穿過噴氣頭808中之孔810以供均一的氣體分佈。可將電源功率施加至矽頂部電極噴氣頭808。舉例而言,可將27MHz RF電源功率施加至矽頂部電極噴氣頭808。在矽頂部電極噴氣頭808與底部電極804之間為電漿區域。穿過噴氣頭808中之孔810的氣體可在電漿區域中電離且隨後在晶圓806上進行蝕刻。氣體可藉由自出口814將氣體自反應器腔室802抽出移除。
另外,質譜儀可用於研究蝕刻氣體之電子衝擊電離。關於此測試,使蝕刻氣體流經質譜儀腔室且四極質譜儀(Hiden Analytical Inc.)偵測器用於研究來自蝕刻氣體隨電子能量而變化之碎片。
實施例1
圖5為表明關於C3HF4N之電子衝擊電離資料的曲線。在圖5中,x軸代表電子能量且y軸代表碎片物質之分壓。圖5展示關於C3HF4N之主要碎片為CF3及C2HFN。C2HFN碎片具有1:2 F/C比率且在到達基板時可易於聚合。
實施例2
圖6為表明關於C2HF2N之電子衝擊電離資料的曲線。在圖6中,x軸代表電子能量且y軸代表碎片物質之分壓。圖6展示關於C2HF2N 之主要碎片為CF2及C2F2N。C2F2N碎片具有低F/C比率且到達基板時將易於聚合。
實施例3
沉積測試為在毯覆式1×1cm2 Si試片上進行的實驗,其中在無偏壓功率下施加電源功率(750W在27MHz下)。由於缺少偏壓功率,到達基板之離子可能不具有足夠能量來蝕刻。另外,到達表面之中性物及活性物質基於其黏附係數而黏附至表面,且沉積薄聚合物層。此薄聚合物層可造成側壁鈍化,其常常提供選擇性。沉積測試實驗條件有助於模擬在電漿處理圖案期間在表面上或在側壁上形成之聚合物層。
當沉積測試在30毫托壓力下在含有250sccm之Ar及15 sccm之C2F3N的處理氣體混合物下進行60秒時,260nm聚合物薄膜沉積於Si上。C2F3N之沉積速率因此為260nm/min。
對於相同的沉積測試條件,發現C2HF2N產生150nm/min之沉積速率且C3HF4N產生190nm/min之沉積速率。對於C5F5N,沉積測試在30毫托壓力下在含有250sccm之Ar及5sccm之C5F5N的處理氣體混合物下進行60秒,且其產生120nm/min之沉積速率。
進一步輸送樣品以供XPS分析,其中研究聚合層之性質。圖7為提供在沉積測試期間由C3HF4N形成之聚合膜之XPS資料的曲線。來自XPS分析、來自圖7之廣泛的元素掃描清楚地展示存在C、F、O及N峰,其展示聚合膜中存在氮之跡象。因此,氮存在於聚合膜中且其可充當更好的側壁鈍化層且有助於建立在高縱橫比蝕刻期間的垂直曲線。
實施例4
圖8為表明在C2HF2N及O2的情況下SiO、SiN、p-Si及a-C之蝕刻速率的曲線。在圖8中,正y軸代表蝕刻速率同時負y軸代表沉積速率;x軸為以sccm為單位之O2流速;C2HF2N流速固定在15sccm下同時O2流速在0至15sccm間變化。
如所示,當沒有添加氧氣(0sccm O2條件)時,C2HF2N易於蝕刻氧化矽但未蝕刻其他基板。此極其重要的結果,因為C2HF2N蝕刻氧化矽且保護其他基板材料,從而提供了氧化物與其他基板材料之無限制的選擇性。由於蝕刻氣體開始保護其他基板材料之前,預期有電漿離子轟擊,所以存在幾奈米的較小損壞。此損壞不經特性化且在選擇性量測中不計。當添加5sccm氧氣至混合物時,與0sccm氧條件相比,氧化矽之蝕刻速率減小,但C2HF2N仍沉積於所有其他基板上,由此保持了氧化物與其他基板材料之選擇性。當添加10sccm氧氣至混合物時,氧化矽之蝕刻速率繼續減少,但C2HF2N仍沉積於所有其他基板上,由此保持了氧化矽與其他基板材料之選擇性。當添加15sccm氧氣至混合物時,然而氧化矽之蝕刻速率再次減小,C2HF2N仍沉積於氮化物及p-Si上,且保持氧化矽與氮化矽及p-Si之選擇性。在15sccm O2測試條件下,在a-C上觀測到蝕刻且氧化矽與a-C之選擇性自無限大幅度減小至約2(亦即SiO之蝕刻速率/a-C之蝕刻速率)。總體而言,C2HF2N提供最廣泛可能的範圍之方法條件,其提供對氮化矽及p-Si基板的無限制選擇性。氧化矽之蝕刻速率低於標準cC4F8氣體(其高於550nm/min)但可藉由添加附加氣體(如CF4、C3F8、cC4F8或C4F6)而易於增加。
實施例5
圖9為表明在C3HF4N及O2的情況下SiO、SiN、p-Si及a-C之蝕刻速率的曲線。在圖9中,正y軸代表蝕刻速率同時負y軸代表沉積速率;x軸為以sccm為單位之O2流速;C3HF4N流速固定在15sccm下同時O2流速在0至15sccm間變化。
如所示,當不添加氧氣(0sccm O2條件)時,C3HF4N沉積於所有基板上。當添加5sccm氧氣至混合物時,C3HF4N開始蝕刻氧化矽但沉積於所有其他基板材料上,由此提供氧化矽與其他基板材料之無限制的選擇性。在10sccm O2下發現類似現象。當添加15sccm氧氣時,C3HF4N開始蝕刻氮化矽且對氮化矽之選擇性下降至約3(亦即SiO之蝕刻速率/SiN之蝕刻速率)同時仍維持對a-C之約40之高選擇性。
實施例6
圖10為表明在C3HF4N及CF4的情況下SiO、SiN、p-Si及a-C之蝕刻速率的曲線。在圖10中,y軸代表蝕刻速率且x軸為以sccm為單位之CF4流速。C3HF4N流速固定在15sccm下,O2流速固定在5sccm下,同時CF4流速在10至15sccm間變化。
為了促進SiO之蝕刻速率以與cC4F8之效能(參看以下比較實施例)相當,添加CF4至250sccm Ar及15sccm C3HF4N之蝕刻混合氣體。圖10為曲線,其表明藉由添加CF4至處理氣體混合物,SiO之蝕刻速率增加至500nm/min同時維持對p-Si及a-C之良好的選擇性。如CxF2x+2(x=1至5)、CxF2x(x=3至5)、CxF2x-2(x=4至5)之添加劑可添加至混合物以促進氧化物蝕刻速率。
比較實施例1
圖11為表明C3HF4N、C2HF2N、C5F5N、C2F3N、cC4F8及C4F6蝕刻氣體之氧化矽蝕刻速率的比較曲線。在圖11中,正y軸代表蝕刻速率同時負y軸代表沉積速率;x軸代表進行比較之化合物。圖11展示含氮化合物C2HF2N及C2F3N具有比C3HF4N及C5F5N之蝕刻速率更高的蝕刻速率。
比較實施例2
圖12為表明利用C3HF4N、C2HF2N、C5F5N、C2F3N、cC4F8及C4F6蝕刻氣體在無氧氣添加的情況下氧化矽與氮化矽之選擇性的比較曲線。在圖12中,y軸代表SiO:SiN選擇性;x軸代表比較化合物。圖12展示在0sccm氧氣添加的情況下C2HF2N及C5F5N具有氧化矽與氮化矽之無限制的選擇性(表示為150)。
比較實施例3
圖13為表明包括5sccm氧氣添加之C3HF4N、C2HF2N、C5F5N、C2F3N、cC4F8及C4F6蝕刻氣體之氧化矽蝕刻速率的比較曲線。在圖13中,y軸代表蝕刻速率且x軸代表比較化合物。如圖13中展示,在5sccm氧氣添加的情況下蝕刻速率之排序為cC4F8>C2F3N>C3HF4N>C2HF2N>C4F6>C5F5N。
比較實施例4
圖14為表明在5sccm氧氣添加的情況下C3HF4N、C2HF2N、C5F5N、C2F3N、cC4F8及C4F6蝕刻氣體之選擇性(SiO/SiN)的比較曲線。在圖14中,y軸代表SiO:SiN選擇性且x軸代表比較化合物。圖14展示C3HF4N、C2HF2N及C5F5N在5sccm氧氣添加的情況下具有表示為150之氧化物與氮化物之無限制的選擇性。
比較實施例5
圖15為表明在10sccm氧氣添加的情況下C3HF4N、C2HF2N、C5F5N、C2F3N、cC4F8及C4F6蝕刻氣體之氧化矽蝕刻速率的比較曲線。在圖15中,y軸代表蝕刻速率且x軸代表比較化合物。如圖15中展示,在10sccm氧氣添加的情況下蝕刻速率之排序為cC4F8>C4F6>C3HF4N>C2F3N>C2HF2N>C5F5N。
比較實施例6
圖16為表明在10sccm氧氣添加的情況下C3HF4N、C2HF2N、C5F5N、C2F3N、cC4F8及C4F6蝕刻氣體之選擇性(SiO/SiN)的比較曲線。在圖16中,y軸代表SiO:SiN選擇性且x軸代表比較化合物。圖16展示C3HF4N、C2HF2N及C5F5N在10sccm氧氣添加的情況下具有表示為150之氧化物與氮化物之無限制的選擇性。
比較實施例7
圖17為表明在15sccm氧氣添加的情況下C3HF4N、C2HF2N、C2F3N、cC4F8及C4F6蝕刻氣體之氧化矽蝕刻速率的比較曲線。在圖17中,y軸代表蝕刻速率且x軸代表比較化合物。如圖17中展示,在15sccm氧氣添加的情況下蝕刻速率之排序為C4F6>cC4F8>C3HF4N>C2F3N>C2HF2N。
比較實施例8
圖18為表明在15sccm氧氣添加的情況下C3HF4N、C2HF2N、C2F3N、cC4F8及C4F6蝕刻氣體之選擇性(SiO/SiN)的比較曲線。在圖18中,y軸代表蝕刻速率且x軸代表比較化合物。圖18展示在15sccm氧氣添加的情況下僅C2HF2N具有表示為150之氧化物與氮化物之無限制的選擇性。
比較實施例9
N2添加至蝕刻混合氣體(包括cC4F8)以查看N2對蝕刻速率及選擇性的效應。蝕刻測試在30毫托、750W(27MHz)之電源功率及1500W(2MHz)之偏壓功率下進行。饋入混合物含有250sccm Ar、15sccm cC4F8、10sccm O2,同時N2以0sccm至20sccm之量變化。圖19為表明添加N2對不同基板材料之蝕刻速率之效應的曲線;在圖19中,y軸代表蝕刻速率且x軸代表N2流速。
如所示,對於各基板(SiO、SiN、p-Si或a-C),與不添加氮(0sccm)之蝕刻速率相比,蝕刻速率變化小於10%。因此,基於展示於圖19中之結果,氮添加對不同基板材料之蝕刻速率具有最小的效應。
比較實施例10
NH3添加至蝕刻混合氣體以查看氮對蝕刻速率及選擇性之效應。蝕刻測試在30毫托、750W(27MHz)之電源功率及1500W(2MHz)之偏壓功率下進行。饋入混合物含有250sccm Ar、15sccm cC4F8、15sccm NH3,同時O2在0sccm至15sccm之間變化。圖20為表明添加O2對不同基板材料之蝕刻速率之效應的曲線。在圖20中,正y軸代表蝕刻速率同時負y軸代表沉積速率;x軸代表O2流速。
如所示,當不添加氧氣時,獲得氧化矽與氮化矽之無限制的選擇性。然而,此條件導致過量聚合,由此導致蝕刻終止。
如上文圖1b中所描述,聚合物可在蝕刻期間沉積於側壁上。為了獲得較深的豎直蝕刻輪廓,應很好地控制聚合物沉積量。若存在過量的聚合,則其可導致稱作蝕刻終止現象的條件。為了防止蝕刻終止, 氧氣常常添加至蝕刻混合氣體。然而,過量氧氣可導致選擇性降低。因此,在蝕刻終止與選擇性之間需要權衡。
圖20中展示,當添加氧氣時,選擇性減小。與藉由添加氨至cC4F8及在5sccm至15sccm之間之不同氧氣流速(參見圖8及圖9)相比,SiO與其他材料之選擇性對於含氮有機氟化合物顯著更好。此外,在混合物中其他基本有機氟化合物需要氨使其難以用於商業應用。
沉積測試在30毫托及750W(27MHz)之電源功率下在無偏壓功率下之1×1cm2 Si試片上進行。製程饋入混合物含有250sccm Ar、15sccm cC4F8及15sccm NH3。對於此等條件,發現沉積速率為180nm/min。
比較實施例11
圖21為表明關於C2F3N之電子衝擊電離資料的曲線。在圖21中,x軸代表電子能量且y軸代表碎片物質之分壓。圖21展示關於C2F3N之主要碎片為CF3及C2F2N。C2F2N碎片具有低F/C比率且到達基板時將易於聚合。
比較實施例12
圖22為表明在C2F3N及O2的情況下SiO、SiN、p-Si及a-C之蝕刻速率的曲線。在圖22中,正y軸代表蝕刻速率同時負y軸代表沉積速率;x軸為以sccm為單位之O2流速。C2F3N流速固定在15sccm下同時O2流速在0至15sccm間變化。
如所示,當不添加氧氣(0sccm O2條件)時,C2F3N易於蝕刻氧化矽但以極其緩慢速率蝕刻其他基板。此導致氧化矽與氮化矽之約40極高選擇性及氧化矽與a-C之約30選擇性及對p-Si之無限制的選擇性。然 而,當氧氣流速增加至5sccm且超過5sccm時,選擇性緩慢下降。
比較實施例13
圖23為表明關於C3H3F6N(1,1,1,3,3,3-六氟異丙胺,
Figure 105127842-A0305-02-0049-7
)之電子衝擊電離資料的曲線。在圖23中,x軸代表電子能量且 y軸代表碎片物質之分壓。圖23展示關於C3H3F6N之主要碎片為C2H3F3N。C2H3F3N碎片具有高F/C比率且可不在基板上提供抗蝕刻性聚合物。
比較實施例14
圖24為表明在不同基板材料上在無C3H3F6N之氧氣添加的情況下蝕刻速率之結果的曲線。對於沉積測試條件,其以210nm/min沉積且因此可提供側壁保護。甚至在無任何氧氣添加的情況下,此分子提供對p-Si及a-C之良好選擇性,但損失了對SiN膜之選擇性。
概言之,利用含氮有機氟化合物電漿對SiO、SiN、p-Si及a-C膜進行乾式蝕刻的評價展示與先前技術碳氟化合物相比,含氮HFC產生氧化矽與氮化矽及p-Si之最高的(至多無限制的)選擇性。高選擇性之原因可歸因於在蝕刻氣體之電漿分解期間形成低F/C含氮碎片,其導致在基板上形成保護性聚合物膜。當利用XPS分析時,聚合物膜展示C、F及N之跡象。所得膜具有式CFxNy,其中x,y=0.01至5。與標準cC4F8氣體,其中形成僅CFx類型聚合物,其中x=0.01至5相比,聚合膜中氮之存在提供保護性額外層。本文中呈現之蝕刻氣體結果展示其不僅適用於接觸蝕刻程序,並且可有益於含矽或金屬基板上之其他蝕刻方法。
雖然本發明之具體實例已展示且描述,但熟習此項技術者可 進行潤飾而不偏離本發明之精神或教示。本文所描述之具體實例僅為例示性且不具限制性。組成物及方法之許多變化及修改為可能的且在本發明範圍內。因此,保護範圍不限於本文所述之具體實例,而僅由隨附申請專利範圍限定,該範圍應包括申請專利範圍之標的物之所有等效物。
100‧‧‧基板
102‧‧‧Si晶圓
104‧‧‧層
104a‧‧‧SiO層
104b‧‧‧SiN層
106‧‧‧非晶碳遮罩層
108‧‧‧抗反射塗層
110‧‧‧經圖案化光阻層

Claims (13)

  1. 一種用於蝕刻含矽膜之方法,該方法包含以下步驟:將含氮蝕刻化合物之蒸氣引入基板上含有含矽膜之反應室中,其中該含氮蝕刻化合物包含有機氟化合物,其具有選自由以下組成之群的式:N≡C-R1,其中R1具有式HaFbCc,且a=1-11,b=1-11且c=0-5;(N≡C-)-(R2)-(-C≡N),其中R2具有式HaFbCc,其中a=0,b=1-11且c=0-5;及R1 x[-C=N(R2 z)]y,其中x=1-2,y=1-2,z=0-1,x+z=1-3,且各R1及R2獨立地具有式HaFbCc,其中a=0-11,b=0-11且c=0-5;向該反應室中引入惰性氣體;及活化電漿以產生能夠自該基板蝕刻該含矽膜之經活化含氮蝕刻化合物,其中該含氮蝕刻化合物具有範圍介於約95%至約99.999%體積之純度且包含在約萬億分之10至約5體積%之間的水汽雜質。
  2. 如申請專利範圍第1項之方法,其進一步包含自該反應室移除揮發性副產物,其中該經活化含氮蝕刻化合物與該含矽膜反應,形成該等揮發性副產物。
  3. 如申請專利範圍第2項之方法,其中含有C≡N官能基之該有機氟化合物具有下式:N≡C-R1,其中各R1獨立地為具有式HaFbCc之官能基,其中a=1-11,b=1-11且c=0-5。
  4. 如申請專利範圍第2項之方法,其中含有該C≡N官能基之該有機氟化 合物具有以下式:(N≡C-)-(R2)-(-C≡N),其中各R2獨立地為具有式HaFbCc之官能基,其中a=1-11,b=1-11且c=0-5。
  5. 如申請專利範圍第2項之方法,其中含有C=N官能基之該有機氟化合物具有下式:R1 x[-C=N(R2 z)]y,其中x=1-2,y=1-2,z=0-1,x+z=1-3,且各R1及R2獨立地具有式HaFbCc,其中a=0-11,b=0-11且c=0-5。
  6. 如申請專利範圍第1項之方法,其中該有機氟化合物選自由以下組成之群:2,2,3,3-四氟丙腈、2,3,3,3-四氟丙腈(C3HF4N)、二氟乙腈(C2HF2N)、六氟丙酮亞胺(C3HF6N);4,4,4-三氟丁烯-腈、3,3,3-三氟丙腈、氟乙腈、八氟己烷-1,6-二腈、1,1-雙(三氟甲基)-2,2-二氰乙烯、N,1,1,1,3,3,3-七氟-2-丙亞胺及1,1,1,6,6,6-六氟-3-氮雜己-3-烯。
  7. 如申請專利範圍第1項之方法,其中該有機氟化合物為2,3,3,3-四氟丙腈(C3HF4N)。
  8. 如申請專利範圍第1項之方法,其中該有機氟化合物為二氟乙腈(C2HF2N)。
  9. 如申請專利範圍第1項之方法,其進一步包含向該反應室中引入氧化劑。
  10. 如申請專利範圍第1項之方法,其中該含矽膜包含以下材料之層:氧化矽;氮化矽;多晶矽;結晶矽;低k SiCOH;SiOCN;SiON;SiaObHcCdNe,其中a>0;b、c、d及e
    Figure 105127842-A0305-02-0053-47
    0;或其組合。
  11. 如申請專利範圍第10項之方法,其中該含矽膜自非晶碳層或光阻層選擇性地蝕刻。
  12. 如申請專利範圍第10項之方法,其中該氧化矽層自氮化矽、多晶矽或 非晶碳層選擇性地蝕刻。
  13. 如申請專利範圍第2項之方法,其中該方法在該含矽膜中產生具有介於約10:1與約200:1之間之縱橫比的孔口。
TW105127842A 2015-08-31 2016-08-30 用於蝕刻半導體結構的含氮化合物 TWI716443B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/841,271 2015-08-31
US14/841,271 US9659788B2 (en) 2015-08-31 2015-08-31 Nitrogen-containing compounds for etching semiconductor structures

Publications (2)

Publication Number Publication Date
TW201714873A TW201714873A (zh) 2017-05-01
TWI716443B true TWI716443B (zh) 2021-01-21

Family

ID=54870307

Family Applications (2)

Application Number Title Priority Date Filing Date
TW109146301A TWI745202B (zh) 2015-08-31 2016-08-30 用於蝕刻半導體結構的含氮化合物
TW105127842A TWI716443B (zh) 2015-08-31 2016-08-30 用於蝕刻半導體結構的含氮化合物

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW109146301A TWI745202B (zh) 2015-08-31 2016-08-30 用於蝕刻半導體結構的含氮化合物

Country Status (7)

Country Link
US (2) US9659788B2 (zh)
EP (1) EP3345211A1 (zh)
JP (2) JP6871233B2 (zh)
KR (2) KR102625367B1 (zh)
CN (2) CN115394641A (zh)
TW (2) TWI745202B (zh)
WO (1) WO2017040518A1 (zh)

Families Citing this family (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US20180277387A1 (en) * 2014-08-06 2018-09-27 American Air Liquide, Inc. Gases for low damage selective silicon nitride etching
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US9406535B2 (en) 2014-08-29 2016-08-02 Lam Research Corporation Ion injector and lens system for ion beam milling
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9659788B2 (en) 2015-08-31 2017-05-23 American Air Liquide, Inc. Nitrogen-containing compounds for etching semiconductor structures
US9917097B2 (en) * 2016-01-07 2018-03-13 Toshiba Memory Corporation Method of manufacturing semiconductor device
US9779955B2 (en) 2016-02-25 2017-10-03 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
US10325779B2 (en) 2016-03-30 2019-06-18 Tokyo Electron Limited Colloidal silica growth inhibitor and associated method and system
US10515820B2 (en) 2016-03-30 2019-12-24 Tokyo Electron Limited Process and apparatus for processing a nitride structure without silica deposition
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
DE102016220248A1 (de) * 2016-10-17 2018-04-19 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Vorrichtung und verfahren zum anisotropen drie-ätzen mit fluorgasmischung
US10692880B2 (en) * 2016-12-27 2020-06-23 Applied Materials, Inc. 3D NAND high aspect ratio structure etch
US10607850B2 (en) * 2016-12-30 2020-03-31 American Air Liquide, Inc. Iodine-containing compounds for etching semiconductor structures
US10347498B2 (en) 2016-12-31 2019-07-09 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Methods of minimizing plasma-induced sidewall damage during low K etch processes
US20170110336A1 (en) * 2016-12-31 2017-04-20 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges CLuadeq Methods for minimizing sidewall damage during low k etch processes
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
JP6875152B2 (ja) * 2017-03-03 2021-05-19 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 多孔質膜封孔方法および多孔質膜封孔用材料
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
JP7036799B2 (ja) * 2017-04-06 2022-03-15 関東電化工業株式会社 ドライエッチングガス組成物及びドライエッチング方法
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10242883B2 (en) * 2017-06-23 2019-03-26 Lam Research Corporation High aspect ratio etch of oxide metal oxide metal stack
TW201909264A (zh) 2017-07-17 2019-03-01 美商應用材料股份有限公司 氮化物特徵的受控蝕刻
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10586710B2 (en) * 2017-09-01 2020-03-10 Tokyo Electron Limited Etching method
JP6883495B2 (ja) * 2017-09-04 2021-06-09 東京エレクトロン株式会社 エッチング方法
US10410878B2 (en) * 2017-10-31 2019-09-10 American Air Liquide, Inc. Hydrofluorocarbons containing —NH2 functional group for 3D NAND and DRAM applications
US10847374B2 (en) * 2017-10-31 2020-11-24 Lam Research Corporation Method for etching features in a stack
CN107833842B (zh) * 2017-11-01 2019-03-29 长江存储科技有限责任公司 一种3d nand存储器的层叠结构的薄膜层厚度测量方法
SG11202004796PA (en) * 2017-11-30 2020-06-29 Lam Res Corp Silicon oxide silicon nitride stack stair step etch
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
CN110010464B (zh) * 2017-12-25 2023-07-14 东京毅力科创株式会社 处理基板的方法
JP7067424B2 (ja) * 2017-12-27 2022-05-16 東京エレクトロン株式会社 エッチング方法及びエッチング装置
US10529581B2 (en) * 2017-12-29 2020-01-07 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude SiN selective etch to SiO2 with non-plasma dry process for 3D NAND device applications
US10903109B2 (en) 2017-12-29 2021-01-26 Micron Technology, Inc. Methods of forming high aspect ratio openings and methods of forming high aspect ratio features
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10361092B1 (en) 2018-02-23 2019-07-23 Lam Research Corporation Etching features using metal passivation
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
WO2019199922A1 (en) * 2018-04-13 2019-10-17 Mattson Technology, Inc. Processing of workpieces with reactive species generated using alkyl halide
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190132834A (ko) 2018-05-21 2019-11-29 삼성전자주식회사 3차원 반도체 메모리 장치 및 이의 제조 방법
US11011351B2 (en) * 2018-07-13 2021-05-18 Lam Research Corporation Monoenergetic ion generation for controlled etch
SG11202100018XA (en) * 2018-07-27 2021-02-25 Applied Materials Inc 3d nand etch
KR102272823B1 (ko) * 2018-07-30 2021-07-02 도쿄엘렉트론가부시키가이샤 에칭 방법 및 에칭 장치
WO2020051063A2 (en) * 2018-09-05 2020-03-12 Tokyo Electron Limited Surface modification process
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) * 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
CN111048415B (zh) * 2018-10-11 2023-03-14 上海新阳半导体材料股份有限公司 一种选择性刻蚀液组合物及其制备方法和应用
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7174634B2 (ja) 2019-01-18 2022-11-17 東京エレクトロン株式会社 膜をエッチングする方法
JPWO2020153066A1 (ja) * 2019-01-23 2021-12-02 セントラル硝子株式会社 ドライエッチング方法、ドライエッチング剤、及びその保存容器
KR20200127101A (ko) 2019-04-30 2020-11-10 삼성전자주식회사 반도체 메모리 소자 및 이의 제조 방법
KR102338512B1 (ko) * 2019-10-30 2021-12-10 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US11967524B2 (en) 2019-11-08 2024-04-23 Applied Materials, Inc. 3D NAND gate stack reinforcement
CN113035694A (zh) * 2019-12-25 2021-06-25 中微半导体设备(上海)股份有限公司 刻蚀方法
JP7403314B2 (ja) * 2019-12-26 2023-12-22 東京エレクトロン株式会社 エッチング方法及びエッチング装置
US11024511B1 (en) * 2020-04-21 2021-06-01 Winbond Electronics Corp. Patterning method
US11329064B2 (en) 2020-06-16 2022-05-10 Micron Technology, Inc. Integrated assemblies and methods of forming integrated assemblies
US11195723B1 (en) * 2020-12-11 2021-12-07 Tokyo Electron Limited Non-atomic layer deposition (ALD) method of forming sidewall passivation layer during high aspect ratio carbon layer etch
CN112635475B (zh) * 2020-12-18 2024-05-24 长江存储科技有限责任公司 一种堆叠结构及其制备方法
US20230193460A1 (en) * 2021-12-17 2023-06-22 American Air Liquide, Inc. Deposition of iodine-containing carbon films
US20240096640A1 (en) * 2022-09-20 2024-03-21 Tokyo Electron Limited High Aspect Ratio Contact (HARC) Etch

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3671509A (en) * 1971-03-22 1972-06-20 Du Pont Copolymers of fluoroketone imines with polymerizable unsaturated compounds
TW200629412A (en) * 2005-02-15 2006-08-16 Air Prod & Chem Method for defining a feature on a substrate
US20100105595A1 (en) * 2008-10-29 2010-04-29 Wai Mun Lee Composition comprising chelating agents containing amidoxime compounds
CN103429565A (zh) * 2011-03-09 2013-12-04 罗地亚经营管理公司 用于制备二氟乙腈及其衍生物的方法

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2730543A (en) 1950-05-20 1956-01-10 Minnesota Mining & Mfg Fluorinated nitriles
US2704769A (en) 1951-05-31 1955-03-22 Minnesota Mining & Mfg Preparation of alpha-hydroperfluoronitriles
US3585218A (en) * 1967-05-24 1971-06-15 Minnesota Mining & Mfg Preparation of difluoramines by oxidation with 1,1-bis(fluoroxy)perfluoroalkane
JPH0597478A (ja) * 1991-10-04 1993-04-20 Nippon Sheet Glass Co Ltd 撥水性ガラス物品およびその製造方法
US5242538A (en) 1992-01-29 1993-09-07 Applied Materials, Inc. Reactive ion etch process including hydrogen radicals
US5843847A (en) 1996-04-29 1998-12-01 Applied Materials, Inc. Method for etching dielectric layers with high selectivity and low microloading
US5814563A (en) 1996-04-29 1998-09-29 Applied Materials, Inc. Method for etching dielectric using fluorohydrocarbon gas, NH3 -generating gas, and carbon-oxygen gas
US6387287B1 (en) 1998-03-27 2002-05-14 Applied Materials, Inc. Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
US6569774B1 (en) 2000-08-31 2003-05-27 Micron Technology, Inc. Method to eliminate striations and surface roughness caused by dry etch
US6413877B1 (en) 2000-12-22 2002-07-02 Lam Research Corporation Method of preventing damage to organo-silicate-glass materials during resist stripping
US20020121500A1 (en) 2000-12-22 2002-09-05 Rao Annapragada Method of etching with NH3 and fluorine chemistries
JP5589984B2 (ja) * 2001-02-02 2014-09-17 ダイキン工業株式会社 電極表面被膜形成剤
US6777344B2 (en) 2001-02-12 2004-08-17 Lam Research Corporation Post-etch photoresist strip with O2 and NH3 for organosilicate glass low-K dielectric etch applications
US7311852B2 (en) 2001-03-30 2007-12-25 Lam Research Corporation Method of plasma etching low-k dielectric materials
JP2002319551A (ja) * 2001-04-23 2002-10-31 Nec Corp 半導体装置およびその製造方法
US6508948B2 (en) 2001-06-13 2003-01-21 Air Products And Chemicals, Inc. Cyanuric fluoride and related compounds for anisotropic etching
AU2003254851A1 (en) * 2002-08-07 2004-02-25 Kabushiki Kaisha Toyota Chuo Kenkyusho Laminate having adherent layer and laminate having protective film
JP2004158534A (ja) 2002-11-05 2004-06-03 Kobe Steel Ltd 微細構造体の洗浄方法
US6693047B1 (en) 2002-12-19 2004-02-17 Taiwan Semiconductor Manufacturing Co. Ltd. Method for recycling semiconductor wafers having carbon doped low-k dielectric layers
JP2005116801A (ja) * 2003-10-08 2005-04-28 Toshiba Corp 半導体装置の製造方法
JP4889199B2 (ja) * 2003-11-05 2012-03-07 株式会社アルバック 低誘電率層間絶縁膜のドライエッチング方法
US7695590B2 (en) * 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
EP1586674A1 (en) * 2004-04-14 2005-10-19 Nederlandse Organisatie voor Toegepast-Natuuurwetenschappelijk Onderzoek TNO Coatings, and methods and devices for the manufacture thereof
US20060062914A1 (en) * 2004-09-21 2006-03-23 Diwakar Garg Apparatus and process for surface treatment of substrate using an activated reactive gas
KR100683400B1 (ko) 2005-12-28 2007-02-15 동부일렉트로닉스 주식회사 저유전 물질 측정 방법
US7540971B2 (en) * 2006-04-28 2009-06-02 Applied Materials, Inc. Plasma etch process using polymerizing etch gases across a wafer surface and additional polymer managing or controlling gases in independently fed gas zones with time and spatial modulation of gas content
WO2008110216A1 (en) * 2007-03-15 2008-09-18 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Copper interconnection for flat panel display manufacturing
KR101660488B1 (ko) 2010-01-22 2016-09-28 삼성전자주식회사 3차원 반도체 장치 및 그 제조 방법
JP5698558B2 (ja) * 2011-02-21 2015-04-08 東京エレクトロン株式会社 基板処理方法及び記憶媒体
GB201107737D0 (en) 2011-05-09 2011-06-22 Univ Birmingham Extraction from cells
KR101276258B1 (ko) * 2011-11-21 2013-06-20 피에스케이 주식회사 반도체 제조 장치 및 반도체 제조 방법
US9093389B2 (en) 2013-01-16 2015-07-28 Applied Materials, Inc. Method of patterning a silicon nitride dielectric film
CN104752307B (zh) * 2013-12-25 2018-03-20 中芯国际集成电路制造(上海)有限公司 一种浅沟槽隔离结构及其制造方法
US9659788B2 (en) 2015-08-31 2017-05-23 American Air Liquide, Inc. Nitrogen-containing compounds for etching semiconductor structures

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3671509A (en) * 1971-03-22 1972-06-20 Du Pont Copolymers of fluoroketone imines with polymerizable unsaturated compounds
TW200629412A (en) * 2005-02-15 2006-08-16 Air Prod & Chem Method for defining a feature on a substrate
US20100105595A1 (en) * 2008-10-29 2010-04-29 Wai Mun Lee Composition comprising chelating agents containing amidoxime compounds
CN103429565A (zh) * 2011-03-09 2013-12-04 罗地亚经营管理公司 用于制备二氟乙腈及其衍生物的方法

Also Published As

Publication number Publication date
TW202124361A (zh) 2021-07-01
CN115394641A (zh) 2022-11-25
EP3345211A1 (en) 2018-07-11
KR20180048689A (ko) 2018-05-10
JP7079872B2 (ja) 2022-06-02
JP6871233B2 (ja) 2021-05-12
KR102625367B1 (ko) 2024-01-15
CN107924842B (zh) 2022-09-06
TW201714873A (zh) 2017-05-01
US10256109B2 (en) 2019-04-09
KR20240011245A (ko) 2024-01-25
US20170229316A1 (en) 2017-08-10
WO2017040518A1 (en) 2017-03-09
JP2018529225A (ja) 2018-10-04
US20150371869A1 (en) 2015-12-24
TWI745202B (zh) 2021-11-01
CN107924842A (zh) 2018-04-17
JP2021108391A (ja) 2021-07-29
US9659788B2 (en) 2017-05-23

Similar Documents

Publication Publication Date Title
TWI716443B (zh) 用於蝕刻半導體結構的含氮化合物
TWI756330B (zh) 用於蝕刻半導體結構之含碘化合物
TWI623510B (zh) 用於高縱橫比氧化物蝕刻之氟碳分子
TWI642809B (zh) 用蝕刻氣體蝕刻半導體結構的方法
TWI746753B (zh) 用於低k值蝕刻製程期間最小化側壁損壞的方法
TW202204297A (zh) 用於蝕刻半導體結構之含碘的氟碳化合物及氫氟烴化合物
JP2024500969A (ja) 高導電性パッシベーション層及び高アスペクト比プラズマエッチング中にそれを形成する方法