TWI756330B - 用於蝕刻半導體結構之含碘化合物 - Google Patents

用於蝕刻半導體結構之含碘化合物 Download PDF

Info

Publication number
TWI756330B
TWI756330B TW106145082A TW106145082A TWI756330B TW I756330 B TWI756330 B TW I756330B TW 106145082 A TW106145082 A TW 106145082A TW 106145082 A TW106145082 A TW 106145082A TW I756330 B TWI756330 B TW I756330B
Authority
TW
Taiwan
Prior art keywords
iodine
silicon
etching
layer
etching compound
Prior art date
Application number
TW106145082A
Other languages
English (en)
Other versions
TW201825446A (zh
Inventor
維傑 蘇爾拉
拉吾爾 古普達
孫卉
凡卡特斯瓦拉R 帕雷姆
納坦 史特佛
法比李歐 馬切加尼
維森M 歐馬吉
詹姆斯 羅爾
Original Assignee
法商液態空氣喬治斯克勞帝方法研究開發股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 filed Critical 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司
Publication of TW201825446A publication Critical patent/TW201825446A/zh
Application granted granted Critical
Publication of TWI756330B publication Critical patent/TWI756330B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3085Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Abstract

本發明揭示一種用於蝕刻含矽膜之方法。該方法包括以下步驟:將含碘蝕刻化合物之蒸氣引入在基板上含有含矽膜之反應室中,其中該含碘蝕刻化合物具有式CaHxFyIz,其中a=1-3,x=0-6,y=1-7,z=1-2,當a=1時,x+y+z=4,當a=2時,x+y+z=4或6,且當a=3時x+y+z=6或8;將惰性氣體引入該反應室中;以及活化電漿以產生能夠自該基板蝕刻該含矽膜的經活化含碘蝕刻化合物。

Description

用於蝕刻半導體結構之含碘化合物 【相關申請案之交叉引用】
本申請案主張2016年12月30日申請之美國申請案第15/396,220號之權益,該申請案出於所有目的以全文引用的方式併入本文中。
揭示用於蝕刻含矽膜之方法。該方法包括以下步驟:將含碘蝕刻化合物之蒸氣引入在基板上含有含矽膜之反應室中。含碘蝕刻化合物具有式CaHxFyIz,其中a=1-3,x=0-6,y=1-7,z=1-2,當a=1時,x+y+z=4,當a=2時,x+y+z=4或6,且當a=3時x+y+z=6或8;較佳地,含碘蝕刻化合物為CF2I2、C2F3I、C2H2FI、C2H3F2I、C2H4FI、C2F4I2、C3F5I、C3HF4I、C3H2F3I、C3HF6I、C3H2F5I、C3H3F4I、C3H4F3I、C3HF4I、C3H2F3I、C3H2F5I、C3H3F4I以及C3H4F3I;或其組合。將惰性氣體引入反應室中。活化電漿以產生能夠自基板蝕刻含矽膜之經活化含碘蝕刻化合物。
在半導體行業中之記憶體應用中,電漿蝕刻自半導體基板移除含矽膜,諸如二氧化矽或氮化矽層。DRAM及2D NAND為例示性記憶體應用。對於3D NAND而言,多個SiO/SiN或SiO/多晶矽(p-Si)層之堆疊之蝕刻為至關重要的。參見例如三星電子(Samsung Electronics Co.,Ltd)的US 2011/0180941。在遮罩與正受蝕刻之層之間具有高選擇性的蝕刻劑為必不可少的。另外,經蝕刻結構應具有豎直垂直輪廓而無彎曲及低線邊緣粗糙度(LER)。
傳統蝕刻氣體包括八氟環丁烷(cC4F8)、六氟-1,3-丁二烯(C4F6)、CF4、CH2F2、CH3F及/或CHF3。已熟知選擇性及聚合物沉積速率隨著C:F之比率增加而增加(亦即C4F6>C4F8>CF4)。參見例如Hung等人之US6387287。另外,將諸如如Ar、Kr或Xe之惰性氣體的其他氣體添加至電漿,在該電漿中,該等氣體經電離且加速至晶圓表面,從而轟擊該表面且支持蝕刻製程。因為其為惰性氣體,所以其並不直接參與蝕刻製程之化學反應。
然而,至少由於在電漿蝕刻製程期間抵抗側壁上之聚合物沉積之不充分的蝕刻,傳統的蝕刻化學物質可能不能夠提供諸如具有高於20:1之縱橫比之孔或溝槽的構件,此為較新應用(例如3D NAND)中所需要的。x範圍介於0.01至1且y範圍介於0.01至4之側壁-CxFy-聚合物可易受蝕刻。因此,經蝕刻圖案可不為垂直的且蝕刻結構可展示彎曲、維度改變、圖案崩潰及/或粗糙度增加。
彎曲可由可常常為非晶碳(a-C)材料之遮罩層之側壁蝕刻引起。可藉由電漿中之氧自由基來蝕刻a-C材料,此可導致增加之遮罩開口且產生彎曲狀或成角/曲線的蝕刻結構。
含碘化合物已用作蝕刻氣體。舉例而言,Chung(美國專利第9,460,935號)揭示在使用除其他之外的1,1,2,2-四氟-1-碘-乙烷所產生的電漿下蝕刻第一及第二蝕刻層。亦參見Karecki等人,Plasma etching of dielectric films with novel iodofluorocarbon chemistries:iodotrifluoroethylene and 1-iodoheptafluoropropane,J.Vac.Sci.Technol.A 16,755(1998);Ulvac之JP2006/108484;Ulvac之TWI343601。
重要的為最小化彎曲且達成當前應用(例如接觸蝕刻或3D NAND)所需的高縱橫比(亦即至多200:1)。另外,當今蝕刻已不限於對光阻遮罩之選擇性。同樣重要的為在其他材料,諸如a-C、SiN、p-Si、SiC或其他形式之SiaCbOcHdNe材料(其中a>0;b、c、d及e
Figure 106145082-A0305-02-0004-109
0)中獲得高選擇性。
因此,仍需要適用於維持用於廣泛範圍之製程條件之選擇性及高縱橫比的電漿蝕刻應用的蝕刻氣體組成物。
揭示用於電漿蝕刻含矽膜之方法。該等方法包括以下步驟:將含碘蝕刻化合物之蒸氣引入在基板上含有含矽膜之反應室中,其中該含碘蝕刻化合物具有式CaHxFyIz,其中a=1-3,x=0-6,y=1-7,z=1-2,當a=1時,x+y+z=4,當a=2時,x+y+z=4或6,且當a=3時x+y+z=6或8;將惰性氣體引入該反應室中;以及活化等離子體以產生能夠自該基板蝕刻該含矽膜的經活化的含碘蝕刻化合物。所揭示之方法可包括以下態樣中之一或多者:˙含碘蝕刻化合物具有式CHxFyIz,其中x為0-2,y為1-3,z為1-2,且x+y+z=4;˙含碘蝕刻化合物為CF3I;˙含碘蝕刻化合物為CF2I2;˙含碘蝕刻化合物具有式CHxFyIz,其中x為1-2,y為1-2,z為1-2,且x+y+z=4;˙含碘蝕刻化合物為CHF2I;˙含碘蝕刻化合物為CH2FI;˙含碘蝕刻化合物為CHFI2;˙含碘蝕刻化合物具有式C2HxFyIz,其中x為0-2,y為1-3,z為1-2,且x+y+z=4;˙含碘蝕刻化合物為C2F3I且具有以下結構:
Figure 106145082-A0305-02-0005-1
˙含碘蝕刻化合物具有式C2HxFyIz,其中x為1-2,y為1-2,z為1-2,且 x+y+z=4;˙含碘蝕刻化合物為C2HF2I且具有以下結構:
Figure 106145082-A0305-02-0006-2
˙含碘蝕刻化合物為C2HF2I且具有以下結構:
Figure 106145082-A0305-02-0006-3
˙含碘蝕刻化合物為C2H2FI且具有以下結構:
Figure 106145082-A0305-02-0006-4
˙含碘蝕刻化合物為C2H2FI且具有以下結構:
Figure 106145082-A0305-02-0006-5
˙含碘蝕刻化合物具有式C2HxFyIz,其中x為0-4,y為1-5,z為1-2,且x+y+z=6;˙含碘蝕刻化合物為C2H5I且具有以下結構:
Figure 106145082-A0305-02-0006-6
˙含碘蝕刻化合物具有式C2HxFyIz,其中x為1-4,y為1-4,z為1-2,且x+y+z=6;˙含碘蝕刻化合物為C2HF4I且具有以下結構:
Figure 106145082-A0305-02-0006-7
˙含碘蝕刻化合物為C2HF4I且具有以下結構:
Figure 106145082-A0305-02-0007-8
˙含碘蝕刻化合物為C2H2F3I且具有以下結構:
Figure 106145082-A0305-02-0007-9
˙含碘蝕刻化合物為C2H2F3I且具有以下結構:
Figure 106145082-A0305-02-0007-10
˙含碘蝕刻化合物為C2H2F3I且具有以下結構:
Figure 106145082-A0305-02-0007-11
˙含碘蝕刻化合物為C2H3F2I且具有以下結構:
Figure 106145082-A0305-02-0007-12
˙含碘蝕刻化合物為C2H3F2I且具有以下結構:
Figure 106145082-A0305-02-0007-13
˙含碘蝕刻化合物為C2H4FI且具有以下結構:
Figure 106145082-A0305-02-0007-14
˙含碘蝕刻化合物為C2H4FI且具有以下結構:
Figure 106145082-A0305-02-0008-15
˙含碘蝕刻化合物為C2F4I2且具有以下結構:
Figure 106145082-A0305-02-0008-16
˙含碘蝕刻化合物為C2F4I2且具有以下結構:
Figure 106145082-A0305-02-0008-17
˙含碘蝕刻化合物具有式C3HxFyIz,其中x為0-4,y為1-5,z為1-2,且x+y+z=6;˙含碘蝕刻化合物為C3F5I且具有以下結構:
Figure 106145082-A0305-02-0008-18
˙含碘蝕刻化合物具有式C3HxFyIz,其中x為1-4,y為1-4,z為1-2,且x+y+z=6;˙含碘蝕刻化合物為C3HF4I且具有以下結構:
Figure 106145082-A0305-02-0008-19
˙含碘蝕刻化合物為C3HF4I且具有以下結構:
Figure 106145082-A0305-02-0009-20
˙含碘蝕刻化合物為C3HF4I且具有以下結構:
Figure 106145082-A0305-02-0009-21
˙含碘蝕刻化合物為C3H2F3I且具有以下結構:
Figure 106145082-A0305-02-0009-22
˙含碘蝕刻化合物為C3H2F3I且具有以下結構:
Figure 106145082-A0305-02-0009-23
˙含碘蝕刻化合物為C3H2F3I且具有以下結構:
Figure 106145082-A0305-02-0009-24
˙含碘蝕刻化合物為C3H2F3I且具有以下結構:
Figure 106145082-A0305-02-0010-25
˙含碘蝕刻化合物具有式C3HxFyIz,其中x為0-6,y為1-7,z為1-2,且x+y+z=8;˙含碘蝕刻化合物為C3F7I且具有以下結構:
Figure 106145082-A0305-02-0010-26
˙含碘蝕刻化合物為C3F7I且具有以下結構:
Figure 106145082-A0305-02-0010-27
˙含碘蝕刻化合物具有式C3HxFyIz,其中x為1-6,y為1-6,z為1-2,且x+y+z=8;˙含碘蝕刻化合物為C3HF6I且具有以下結構:
Figure 106145082-A0305-02-0010-28
˙含碘蝕刻化合物為C3HF6I且具有以下結構:
Figure 106145082-A0305-02-0010-29
˙含碘蝕刻化合物為C3H2F5I且具有以下結構:
Figure 106145082-A0305-02-0011-30
˙含碘蝕刻化合物為C3H2F5I且具有以下結構:
Figure 106145082-A0305-02-0011-31
˙含碘蝕刻化合物為C3H2F5I且具有以下結構:
Figure 106145082-A0305-02-0011-32
˙含碘蝕刻化合物為C3H2F5I且具有以下結構:
Figure 106145082-A0305-02-0011-33
˙含碘蝕刻化合物為C3H2F5I且具有以下結構:
Figure 106145082-A0305-02-0011-34
˙含碘蝕刻化合物為C3H3F4I且具有以下結構:
Figure 106145082-A0305-02-0012-35
˙含碘蝕刻化合物為C3H3F4I且具有以下結構:
Figure 106145082-A0305-02-0012-36
˙含碘蝕刻化合物為C3H3F4I且具有以下結構:
Figure 106145082-A0305-02-0012-37
˙含碘蝕刻化合物為C3H3F4I且具有以下結構:
Figure 106145082-A0305-02-0012-38
˙含碘蝕刻化合物為C3H3F4I且具有以下結構:
Figure 106145082-A0305-02-0012-39
˙含碘蝕刻化合物為C3H3F4I且具有以下結構:
Figure 106145082-A0305-02-0012-40
˙含碘蝕刻化合物為C3H3F4I且具有以下結構:
Figure 106145082-A0305-02-0013-41
˙含碘蝕刻化合物為C3H3F4I且具有以下結構:
Figure 106145082-A0305-02-0013-42
˙含碘蝕刻化合物為C3H4F3I且具有以下結構:
Figure 106145082-A0305-02-0013-43
˙含碘蝕刻化合物為C3H4F3I且具有以下結構:
Figure 106145082-A0305-02-0013-44
˙含碘蝕刻化合物為C3H4F3I且具有以下結構:
Figure 106145082-A0305-02-0013-45
˙含碘蝕刻化合物為C3H4F3I且具有以下結構:
Figure 106145082-A0305-02-0013-46
˙含碘蝕刻化合物為C3H4F3I且具有以下結構:
Figure 106145082-A0305-02-0014-47
˙含碘蝕刻化合物為C3H4F3I且具有以下結構:
Figure 106145082-A0305-02-0014-48
˙含碘蝕刻化合物為C3H4F3I且具有以下結構:
Figure 106145082-A0305-02-0014-49
˙經活化含碘蝕刻化合物與含矽膜反應以形成揮發性副產物;˙將揮發性副產物自反應室移除;˙惰性氣體選自由以下組成之群:He、Ar、Xe、Kr及Ne;˙惰性氣體為Ar;˙混合含碘蝕刻化合物之蒸氣與惰性氣體,隨後引入反應室中以產生混合物;˙將含碘蝕刻化合物之蒸氣和惰性氣體分別地引入反應室;˙將惰性氣體連續引入反應室中且將含碘蝕刻化合物之蒸氣以脈衝形式引入反應室中;˙惰性氣體佔引入反應室中之含碘蝕刻化合物蒸氣及惰性氣體總體積之約0.01% v/v至約99.9% v/v;˙惰性氣體佔引入反應室中之含碘蝕刻化合物蒸氣及惰性氣體總體積之 約90% v/v至約99% v/v;˙向反應室中引入氧化劑;˙不向反應室中引入氧化劑;˙氧化劑選自由以下組成之群:O2、CO、CO2、NO、N2O及NO2;˙氧化劑為O2;˙混合含碘蝕刻化合物之蒸氣及氧化劑,隨後引入反應室中;˙將含碘蝕刻化合物之蒸氣和氧化劑分別地引入反應室;˙將氧化劑連續引入反應室中且將含碘蝕刻化合物之蒸氣以脈衝形式引入反應室中;˙氧化劑佔引入反應室中之含碘蝕刻化合物蒸氣及氧化劑總體積之約0.01% v/v至約99.9% v/v;˙氧化劑佔引入反應室中之含碘蝕刻化合物蒸氣及氧化劑總體積之約0.01% v/v至約10% v/v;˙含矽膜包含氧化矽、氮化矽、多晶矽、結晶矽、SiON、SiOCH、SiaObCcNdHe(其中a>0;b、c、d及e
Figure 106145082-A0305-02-0015-110
0)或其組合之層;˙含矽膜包含氧原子、氮原子、碳原子、氫原子或其組合;˙含矽膜包含碳化矽;˙含矽膜自a-C層經選擇性地蝕刻;˙含矽膜自光阻層選擇性地經蝕刻;˙含矽膜自氮化矽層選擇性地經蝕刻;˙含矽膜自多晶矽層選擇性地經蝕刻;˙含矽膜自結晶矽層選擇性地經蝕刻;˙含矽膜自金屬接觸層選擇性地經蝕刻;˙含矽膜自氮化鈦層選擇性地經蝕刻; ˙含矽膜自鉭層選擇性地經蝕刻;˙含矽膜為氧化矽層;˙自a-C層選擇性地蝕刻氧化矽層;˙自光阻層選擇性地蝕刻氧化矽層;˙自p-Si層選擇性地蝕刻氧化矽層;˙自結晶矽層選擇性地蝕刻氧化矽層;˙自金屬接觸層選擇性地蝕刻氧化矽層;˙自SiN層選擇性地蝕刻氧化矽層;˙含矽膜為氮化矽層;˙自a-C層選擇性地蝕刻氮化矽層;˙自圖案化光阻層選擇性地蝕刻氮化矽層;˙自p-Si層選擇性地蝕刻氮化矽層;˙自結晶矽層選擇性地蝕刻氮化矽層;˙自金屬接觸層選擇性地蝕刻氮化矽層;˙自氧化矽層選擇性地蝕刻氮化矽層;˙含矽膜為SiON層;˙自光阻層選擇性地蝕刻SiON層;˙含矽膜為SiCOH層;˙自氮化鈦層選擇性地蝕刻SiCOH層;˙自a-C層選擇性地蝕刻SiCOH層;˙自光阻層選擇性地蝕刻SiCOH層;˙含矽膜為交替的氧化矽及氮化矽層;˙以類似的蝕刻速率蝕刻氧化矽及氮化矽層兩者;˙自矽層選擇性地蝕刻氧化矽及氮化矽層兩者; ˙自p-Si層選擇性地蝕刻氧化矽及氮化矽層兩者;˙自結晶矽層選擇性地蝕刻氧化矽及氮化矽層兩者;˙自a-C層選擇性地蝕刻氧化矽及氮化矽層兩者;˙含矽膜為交替的氧化矽及p-Si層;˙以類似的蝕刻速率蝕刻氧化矽及p-Si層兩者;˙自a-C層選擇性地蝕刻氧化矽及p-Si層兩者;˙自氮化矽層選擇性地蝕刻氧化矽及p-Si層兩者;˙在含矽膜中產生具有介於約10:1與約200:1之間之縱橫比的孔口;˙產生閘極溝槽;˙產生階梯接點;˙產生通道孔;˙產生具有在約60:1與約100:1之間的縱橫比之通道孔;˙產生直徑介於約5nm至約100nm範圍內之通道孔;˙產生直徑介於約10nm至約50nm範圍內之通道孔;˙藉由向反應室中引入蝕刻氣體改良選擇性;˙蝕刻氣體選自由以下組成之群:cC4F8、cC5F8、C4F6、CF4、CH3F、CF3H、CH2F2、COS、F-C≡N、CS2、SO2、反-1,1,1,4,4,4-六氟-2-丁烯(反-C4H2F6)、順-1,1,1,4,4,4-六氟-2-丁烯(順-C4H2F6)、六氟異丁烯(C4H2F6)、反-1,1,2,2,3,4-六氟環丁烷(反-C4H2F6)、1,1,2,2,3-五氟環丁烷(C4H3F5)、1,1,2,2-四氟環丁烷(C4H4F4)或順-1,1,2,2,3,4-六氟環丁烷(順-C4H2F6);˙蝕刻氣體為cC5F8;˙蝕刻氣體為cC4F8;˙蝕刻氣體為C4F6;˙混合含碘蝕刻化合物之蒸氣及蝕刻氣體,隨後引入反應室中; ˙將含碘蝕刻化合物之蒸氣和蝕刻氣體分別地引入反應室;˙向反應室中引入約0.01% v/v至約99.99% v/v之蝕刻氣體;˙藉由施加RF功率使電漿活化;˙藉由範圍介於約25W至約10,000W之RF功率使電漿活化;˙反應室之壓力範圍介於約1毫托至約10托;˙將含碘蝕刻化合物之蒸氣以範圍介於約0.1sccm至約1slm之流速引入反應室中;˙使基板維持在介於約-196℃至約500℃範圍內之溫度下;˙使基板維持於在約-120℃至約300℃範圍內之溫度下;˙使基板維持於在約-100℃至約50℃範圍內之溫度下;˙使基板維持在範圍介於約-10℃至約40℃之溫度下;及˙藉由四極質譜儀、光學發射光譜儀、FTIR或其他自由基/離子量測工具量測經活化含碘蝕刻化合物。
亦揭示具有式CaHxFyIz之含碘蝕刻化合物,其中a=1-3,x=0-6,y=1-7,z=1-2,當a=1時,x+y+z=4,當a=2時,x+y+z=4或6,且當a=3時,x+y+z=6或8。所揭示之含碘蝕刻化合物包括以下態樣中之一或多者:˙具有式CHxFyIz之含碘蝕刻化合物,其中x為0-2,y為1-3,z為1-2,且x+y+z=4;˙為CF3I之含碘蝕刻化合物;˙為CF2I2之含碘蝕刻化合物;˙具有式CHxFyIz之含碘蝕刻化合物,其中x為1-2,y為1-2,z為1-2,且x+y+z=4;˙為CHF2I之含碘蝕刻化合物;˙為CH2FI之含碘蝕刻化合物; ˙為CHFI2之含碘蝕刻化合物;˙具有式C2HxFyIz之含碘蝕刻化合物,其中x為0-2,y為1-3,z為1-2,且x+y+z=4;˙為C2F3I且具有以下結構之含碘蝕刻化合物:
Figure 106145082-A0305-02-0019-50
˙具有式C2HxFyIz之含碘蝕刻化合物,其中x為1-2,y為1-2,z為1-2,且x+y+z=4;˙為C2HF2I且具有以下結構之含碘蝕刻化合物:
Figure 106145082-A0305-02-0019-51
˙為C2HF2I且具有以下結構之含碘蝕刻化合物:
Figure 106145082-A0305-02-0019-52
˙為C2H2FI且具有以下結構之含碘蝕刻化合物:
Figure 106145082-A0305-02-0019-53
˙為C2H2FI且具有以下結構之含碘蝕刻化合物:
Figure 106145082-A0305-02-0019-54
˙具有式C2HxFyIz之含碘蝕刻化合物,其中x為0-4,y為1-5,z為1-2,且x+y+z=6;˙為C2H5I且具有以下結構之含碘蝕刻化合物:
Figure 106145082-A0305-02-0020-55
˙具有式C2HxFyIz之含碘蝕刻化合物,其中x為1-4,y為1-4,z為1-2,且x+y+z=6;˙為C2HF4I且具有以下結構之含碘蝕刻化合物:
Figure 106145082-A0305-02-0020-56
˙為C2HF4I且具有以下結構之含碘蝕刻化合物:
Figure 106145082-A0305-02-0020-57
˙為C2H2F3I且具有以下結構之含碘蝕刻化合物:
Figure 106145082-A0305-02-0020-58
˙為C2H2F3I且具有以下結構之含碘蝕刻化合物:
Figure 106145082-A0305-02-0020-59
˙為C2H2F3I且具有以下結構之含碘蝕刻化合物:
Figure 106145082-A0305-02-0020-60
˙為C2H3F2I且具有以下結構之含碘蝕刻化合物:
Figure 106145082-A0305-02-0020-61
˙為C2H3F2I且具有以下結構之含碘蝕刻化合物:
Figure 106145082-A0305-02-0021-62
˙為C2H4FI且具有以下結構之含碘蝕刻化合物:
Figure 106145082-A0305-02-0021-63
˙為C2H4FI且具有以下結構之含碘蝕刻化合物:
Figure 106145082-A0305-02-0021-64
˙為C2F4I2且具有以下結構之含碘蝕刻化合物:
Figure 106145082-A0305-02-0021-115
˙為C2F4I2且具有以下結構之含碘蝕刻化合物:
Figure 106145082-A0305-02-0021-66
˙具有式C3HxFyIz之含碘蝕刻化合物,其中x為0-4,y為1-5,z為1-2,且x+y+z=6;˙為C3F5I且具有以下結構之含碘蝕刻化合物:
Figure 106145082-A0305-02-0021-67
˙具有式C3HxFyIz之含碘蝕刻化合物,其中x為1-4,y為1-4,z為1-2,且x+y+z=6;˙為C3HF4I且具有以下結構之含碘蝕刻化合物:
Figure 106145082-A0305-02-0022-68
˙為C3HF4I且具有以下結構之含碘蝕刻化合物:
Figure 106145082-A0305-02-0022-69
˙為C3HF4I且具有以下結構之含碘蝕刻化合物:
Figure 106145082-A0305-02-0022-70
˙為C3H2F3I且具有以下結構之含碘蝕刻化合物:
Figure 106145082-A0305-02-0022-71
˙為C3H2F3I且具有以下結構之含碘蝕刻化合物:
Figure 106145082-A0305-02-0022-72
˙為C3H2F3I且具有以下結構之含碘蝕刻化合物:
Figure 106145082-A0305-02-0023-73
˙為C3H2F3I且具有以下結構之含碘蝕刻化合物:
Figure 106145082-A0305-02-0023-74
˙具有式C3HxFyIz之含碘蝕刻化合物,其中x為0-6,y為1-7,z為1-2,且x+y+z=8;˙為C3F7I且具有以下結構之含碘蝕刻化合物:
Figure 106145082-A0305-02-0023-75
˙為C3F7I且具有以下結構之含碘蝕刻化合物:
Figure 106145082-A0305-02-0023-76
˙具有式C3HxFyIz之含碘蝕刻化合物,其中x為1-6,y為1-6,z為1-2,且x+y+z=8;˙為C3HF6I且具有以下結構之含碘蝕刻化合物:
Figure 106145082-A0305-02-0024-77
˙為C3HF6I且具有以下結構之含碘蝕刻化合物:
Figure 106145082-A0305-02-0024-78
˙為C3H2F5I且具有以下結構之含碘蝕刻化合物:
Figure 106145082-A0305-02-0024-79
˙為C3H2F5I且具有以下結構之含碘蝕刻化合物:
Figure 106145082-A0305-02-0024-80
˙為C3H2F5I且具有以下結構之含碘蝕刻化合物:
Figure 106145082-A0305-02-0024-81
˙為C3H2F5I且具有以下結構之含碘蝕刻化合物:
Figure 106145082-A0305-02-0025-82
˙為C3H2F5I且具有以下結構之含碘蝕刻化合物:
Figure 106145082-A0305-02-0025-83
˙為C3H3F4I且具有以下結構之含碘蝕刻化合物:
Figure 106145082-A0305-02-0025-84
˙為C3H3F4I且具有以下結構之含碘蝕刻化合物:
Figure 106145082-A0305-02-0025-85
˙為C3H3F4I且具有以下結構之含碘蝕刻化合物:
Figure 106145082-A0305-02-0025-86
˙為C3H3F4I且具有以下結構之含碘蝕刻化合物:
Figure 106145082-A0305-02-0025-117
˙為C3H3F4I且具有以下結構之含碘蝕刻化合物:
Figure 106145082-A0305-02-0026-88
˙為C3H3F4I且具有以下結構之含碘蝕刻化合物:
Figure 106145082-A0305-02-0026-89
˙為C3H3F4I且具有以下結構之含碘蝕刻化合物:
Figure 106145082-A0305-02-0026-118
˙為C3H3F4I且具有以下結構之含碘蝕刻化合物:
Figure 106145082-A0305-02-0026-91
˙為C3H4F3I且具有以下結構之含碘蝕刻化合物:
Figure 106145082-A0305-02-0026-92
˙為C3H4F3I且具有以下結構之含碘蝕刻化合物:
Figure 106145082-A0305-02-0027-93
˙為C3H4F3I且具有以下結構之含碘蝕刻化合物:
Figure 106145082-A0305-02-0027-94
˙為C3H4F3I且具有以下結構之含碘蝕刻化合物:
Figure 106145082-A0305-02-0027-95
˙為C3H4F3I且具有以下結構之含碘蝕刻化合物:
Figure 106145082-A0305-02-0027-96
˙為C3H4F3I且具有以下結構之含碘蝕刻化合物:
Figure 106145082-A0305-02-0027-97
˙為C3H4F3I且具有以下結構之含碘蝕刻化合物:
Figure 106145082-A0305-02-0027-98
˙具有範圍介於約95%至約99.999%體積之純度;˙包含在約萬億分之10至約5體積%之間的微量氣體雜質;˙微量氣體雜質包含水;˙微量氣體雜質包含CO2;˙微量氣體雜質包含N2;且˙含碘蝕刻化合物具有少於20ppmw之含水量。
以下詳細描述及申請專利範圍利用多種縮寫、符號及術語,其一般在此項技術中為熟知的。同時定義典型地與各縮寫字之第一實例一起提供,為方便起見,表1提供所用縮寫、符號及術語以及其各別定義之列表。
Figure 106145082-A0305-02-0028-99
Figure 106145082-A0305-02-0029-100
如本文所用,術語「蝕刻(etch)或(etching)」意謂使用電漿藉助離子轟擊、遠程電漿或蝕刻氣體與基板之間的化學氣相反應移除物質。蝕刻製程可為各向異性或各向同性。舉例而言,電漿乾式蝕刻製程產生各向異性蝕刻;化學蝕刻或濕式蝕刻製程產生各向同性蝕刻。蝕刻製程可用於在基板中產生孔口,諸如通孔、溝槽、通道孔、閘極溝槽、階梯接點、電容器孔、接觸孔等。
術語「圖案蝕刻」或「圖案化蝕刻」係指蝕刻非平面結構,諸如含矽膜堆疊上之圖案化遮罩層。
術語「遮罩(mask)」係指抗蝕刻之層。遮罩層可定位於待蝕刻層以上。
術語「蝕刻終止層」係指位於待蝕刻層底下保護下面層的層。
術語「裝置通道」係指實際裝置之部分且其任何損壞將影響裝置性能之層。
術語「縱橫比」係指溝槽(或通孔)高度與溝槽(或通孔直徑)寬度之比。
術語「選擇性」意謂一種材料之蝕刻速率與另一材料之蝕刻速率之比。術語「選擇性蝕刻(selective etch)」或「選擇性地蝕刻(selectively etch)」意謂蝕刻一種材料多於另一材料,或換言之,兩種材料之間所具有之蝕刻選擇性大於或小於1:1。
術語「獨立地」在用於描述R基團之情形中時應理解為表示對象 R基團不僅相對於帶有相同或不同下標或上標之其他R基團獨立地選擇,而且亦相對於任何其他物種之相同R基團獨立地選擇。舉例而言,在其中M為原子,x為2或3之式MR1 x(NR2R3)(4-x)中,兩個或三個R1基團可(但無需)彼此或與R2或R3相同,。另外,應理解,除非另外特定陳述,否則當用於不同式中時R基團之值彼此獨立。
在本文中應注意,術語「膜」及「層」可互換使用。應理解膜可對應於或係關於層,且該層可指膜。此外,一般熟習此項技術者將認識到本文所用之術語「膜」或「層」係指放在或擴散在表面上之一定厚度之一些材料且表面可範圍介於大至整個晶圓至小至溝槽或線。
在本文中應注意,術語「蝕刻化合物」與「蝕刻氣體」可互換使用。應理解,蝕刻化合物可對應於或係關於蝕刻氣體,且蝕刻氣體可指代蝕刻化合物。
如本文所用,縮寫「2D」係指平面基板上之2維閘極結構;縮寫「3D」係指3維或垂直閘極結構,其中閘極結構沿垂直方向堆疊。
本文使用元素週期表之元素之標準縮寫。應理解,可藉由此等縮寫指代元素(例如Si指代矽、N指代氮、O指代氧、C指代碳、H指代氫、F指代氟等)。
提供由化學摘要服務社(Chemical Abstract Service)指定的唯一CAS登記號(亦即「CAS」)以有助於更好地標識所揭示之分子。
請注意,含矽膜,諸如氮化矽及氧化矽在整個說明書及申請專利範圍中是在不提及其適當化學計量學的情況下列舉。含矽膜可包括純矽(Si)層,諸如結晶Si、多晶矽(p-Si或多晶Si)或非晶矽;氮化矽(SikNl)層;或氧化矽(SinOm)層;或其混合物,其中k、I、m及n範圍介於0.1至6(包括0.1及6)。較佳地,氮化矽為SikNl,其中k及I各自範圍介於0.5至1.5。更佳地,氮化矽為Si3N4。 較佳地,氧化矽為SinOm,其中n在0.5至1.5範圍內且m在1.5至3.5範圍內。更佳地,氧化矽為SiO2。在本文中,以下描述中之SiN及SiO分別用於表示含有層之SikNl及SinOm。含矽膜亦可為基於氧化矽之介電材料,諸如基於有機物或基於氧化矽之低k介電材料,諸如Applied Materials公司之具有式SiOCH之Black Diamond II或III材料。含矽膜亦可包括SiaObNc,其中a、b、c範圍介於0.1至6。含矽膜亦可包括摻雜劑,諸如B、C、P、As及/或Ge。
圖1a、圖1b、圖1c、圖1d及圖2
100:基板
102:矽晶圓
104:交替SiO/SiN層
104a:SiO層
104b:SiN層或p-Si層
106:非晶碳遮罩層
108:抗反射塗層
110:光阻層
212:聚合物鈍化層
214:通孔
316:粒子
418:選擇性側壁SiN蝕刻
圖3a及圖3b
600:基板
602:矽晶圓
604:SiO隔離區域
606:摻雜矽區域
608:薄SiN層
610:SiO層
612:光阻遮罩
614:電晶體閘極介電
616:下方閘極電極
718:通孔
圖12
800:反應器
802:反應器腔室
804:底部電極
806:晶圓
808:矽頂部電極噴頭
810:孔
812:氣體入口
814:出口
為進一步理解本發明之性質及目的,應結合隨附圖式參考以下實施方式,其中相同要素給出相同或類似參考編號且其中:圖1a為3D NAND堆疊中例示性層之橫截面側視圖;圖1b為3D NAND堆疊中例示性層之橫截面側視圖,其展示在蝕刻3D NAND堆疊期間沉積於側壁上之聚合物;圖1c為3D NAND堆疊中例示性層之橫截面側視圖,其展示在3D NAND堆疊之交替SiO/SiN層蝕刻期間所生成之粒子;圖1d為3D NAND堆疊中例示性層之橫截面側視圖,其展示3D NAND堆疊中側壁上所曝露SiN之選擇性蝕刻;圖2為DRAM堆疊中例示性層之橫截面側視圖;圖3a為例示性層之橫截面側視圖,其展示典型的電晶體裝置區域周圍SiO絕緣層上方產生電晶體結構之光致抗蝕劑圖案;圖3b為蝕刻SiO絕緣層之後圖3a之例示性層之橫截面側視圖;圖4為表明電子衝擊電離能量(eV)相對於CF3I之物種濃度(托)的曲線;圖5為表明電子衝擊電離能量(eV)相對於C2F3I之物種濃度(托)的曲線;圖6為表明電子衝擊電離能量(eV)相對於C2F5I之物種濃度(托)的曲線;圖7為表明電子衝擊電離能量(eV)相對於C2HF4I之物種濃度(托)的曲線; 圖8為表明電子衝擊電離能量(eV)相對於C3F5I之物種濃度的曲線;圖9為表明電子衝擊電離能量(eV)相對於C3F7I之物種濃度(托)的曲線;圖10為表明電子衝擊電離能量(eV)相對於C2F6之物種濃度(托)的曲線;圖11為表明電子衝擊電離能量(eV)相對於C3F8之物種濃度(托)的曲線;圖12為例示性沉積及蝕刻測試中所應用的例示性反應器系統之橫截面側視圖;圖13為表明在CF3I及O2的情況下SiO、SiN、p-Si及a-C之蝕刻速率的曲線;圖14為表明在C2F3I及O2的情況下SiO、SiN、p-Si及a-C之蝕刻速率的曲線;圖15為表明在C2F5I及O2的情況下SiO、SiN、p-Si及a-C之蝕刻速率的曲線;圖16為表明在C2HF4I及O2的情況下SiO、SiN、p-Si及a-C之蝕刻速率的曲線;圖17為表明在C3F5I及O2的情況下SiO、SiN、p-Si及a-C之蝕刻速率的曲線;圖18為表明在C3F7I及O2的情況下SiO、SiN、p-Si及a-C之蝕刻速率的曲線;且圖19為表明在C2F3I及CF4的情況下SiO、SiN、p-Si及a-C之蝕刻速率的曲線。
揭示在含矽膜中用於電漿蝕刻半導體結構之方法,該等結構諸如通道孔、閘極溝槽、階梯式接點、電容器孔、接觸孔等。所揭示之方法包括以下步驟:i)將含碘蝕刻化合物之蒸汽引入在基板上含有含矽膜之反應室中,其中該含碘蝕刻化合物具有式CaHxFyIz,其中a=1-3,x=0-6,y=1-7,z=1-2,當a=1時,x+y+z=4,當a=2時,x+y+z=4或6,且當a=3時x+y+z=6或8;ii)將惰性氣體引入該反應室中;以及iii)活化電漿以產生能夠自該基板蝕刻含矽膜之經活化含碘蝕刻化合物。
如以下實例中所示,所揭示之含碘蝕刻化合物之碘離子在低能量(eV)(亦即<20eV)下自化合物分解。因此,申請者認為所揭示之蝕刻化合物 可用於因為其可在降低電漿能量下進行而對下層基板產生較少損壞之乾式電漿蝕刻製程。舉例而言,所揭示之含碘蝕刻化合物可尤其適合於其中尤其關注損壞之低k蝕刻製程,因為較低之電漿能量可對蝕刻部位周圍之多孔低k物質產生較少損壞。所揭示之含碘蝕刻化合物亦可防止臨界尺寸之損失且降低低k表面粗糙度。另外,具有兩個碘原子之分子可提昇此等益處,儘管由於碘原子之尺寸而損失揮發性。
碘(10.5eV)之電離臨限值低於氟(17.4eV)及惰性氣體:Ar(15.8eV)、Xe(12.1eV)及Kr(14eV)。碘因此藉由電漿可比氟更易離子化。碘在電漿中亦可表現地類似於惰性氣體且朝向晶圓加速。氟之電離臨限值用以維持其為中性物種。因此,氟藉助化學反應典型地參與蝕刻製程。碘呈現低於氟之化學反應性且在電漿蝕刻製程期間經電離且轟擊表面。C-I之鍵能亦低於C-F之鍵能,進而導致C-I鍵與C-F鍵相比在電漿中更易於斷裂。
如以下實例中所示,碘離子在低eV(亦即<20eV)下自所揭示之蝕刻化合物分解。所得碘離子也可過大以至於無法進入具有窄寬度或直徑之構件。然而,可能將碘離子植入遮罩構件中。此植入可有助於加強遮罩構件且在蝕刻製程期間幫助其維持其形狀。
所揭示之含碘蝕刻化合物具有式CaHxFyIz,其中a=1-3,x=0-6,y=1-7,z=1-2;當a=1時,x+y+z=4;當a=2時,x+y+z=4或6;且當a=3時,x+y+z=6或8。例示性含碘蝕刻化合物列於表2中。此等分子為市售的或藉由此項技術中已知之方法合成。其結構式、CAS編號及沸點包括於表2中。
Figure 106145082-A0305-02-0034-101
Figure 106145082-A0305-02-0035-102
Figure 106145082-A0305-02-0036-104
Figure 106145082-A0305-02-0037-105
Figure 106145082-A0305-02-0038-106
Figure 106145082-A0305-02-0039-107
Figure 106145082-A0305-02-0040-108
「~」指示已藉由SciFinder資料庫所預測之沸點
當a=1時,所揭示之含碘蝕刻化合物具有式CHxFyIz,其中x=0-2,y=1-2,z=1-2,x+y+z=4。例示性化合物包括CF3I、CF2I2、CHF2I、CH2FI及CHFI2、較佳CF2I2。申請者認為當所揭示之含碘蝕刻化合物包括至少一個H時,可提高 SiN之蝕刻速率同時維持對遮罩層之高選擇性。當a=1時具有一個H之含碘蝕刻化合物具有式CHxFyIz,其中x=1-2,y=1-2且z=1-2。例示性化合物包括CHF2I、CH2FI及CHFI2
申請者認為具有2-3個碳原子之分子可在蝕刻製程期間提供比C1分子更好的側壁保護。
對於側壁保護而言,C3分子較佳,因為申請者認為其將產生較厚的鈍化層。C3含碘蝕刻化合物具有式C3HxFyIz,其中x=0-6,y=1-7,z=1-2且x+y+z=6或8。例示性C3含碘蝕刻化合物包括C3F5I、C3HF4I、C3H2F3I、C3F7I、C3HF6I、C3H2F5I、C3H3F4I及C3H4F3I、較佳包括C3F5I、C3HF4I、C3H2F3I、C3HF6I、C3H2F5I、C3H3F4I或C3H4F3I、甚至更佳包括C3HF4I、C3H2F3I、C3H2F5I、C3H3F4I或C3H4F3I。
然而,C2分子可能更易於遞送至反應器,或對於一些應用而言,可能需要更薄的鈍化層。C2含碘蝕刻化合物具有式C2HxFyIz,其中x=0-4,y=1-5,z=1-2且x+y+z=4或6。例示性C2含碘蝕刻化合物包括C2F3I、C2HF2I、C2H2FI、C2F5I、C2HF4I、C2H2F3I、C2H3F2I、C2H4FI及C2F4I2、較佳地包括C2F3I、C2H2FI、C2H3F2I、C2H4FI或C2F4I2、且更佳包括C2H2FI、C2H3F2I或C2H4FI、且甚至更佳包括C2HF2I、C2HF4I或1,1-二氟-1-碘-乙烷。
申請者另外認為當所揭示之含碘蝕刻化合物包括至少一個H時(亦即C2HF2I、C2HF4I、C2H2F3I、C2H3F2I、C2H4FI、C3HF4I、C3H2F3I、C3HF6I、C3H2F5I、C3H3F4I及C3H4F3I、較佳C2H2FI、C2H3F2I、C2H4FI、C3HF4I、C3H2F3I、C3HF6I、C3H2F5I、C3H3F4I及C3H4F3I)可提高SiN之蝕刻速率同時維持對遮罩層之高選擇性。
同樣,C3分子對於側壁保護而言為較佳的。含有一個H之C3含碘蝕刻化合物具有式C3HxFyIz,其中x=1-6,y=1-6,z=1-2且x+y+z=6或8。例示性分 子包括C3HF4I、C3H2F3I、C3HF6I、C3H2F5I、C3H3F4I及C3H4F3I。
但此外,C2分子可更易遞送至反應器。含有一個H之C2含碘蝕刻化合物具有式C2HxFyIz,其中x=1-4,y=1-4,z=1-2且x+y+z=4或6。例示性分子包括C2HF2I、C2H2FI、C2HF4I、C2H2F3I、C2H3F2I及C2H4FI、較佳C2H2FI、C2H3F2I或C2H4FI、及更佳C2HF2I、C2HF4I或1,1-二氟-1-碘-乙烷。
所揭示之含碘蝕刻化合物可在高縱橫比結構,諸如縱橫比範圍介於10:1至200:1之彼等結構,諸如DRAM及3D NAND應用中提供對遮罩層之高選擇性、蝕刻停止層及裝置通道材料以及無輪廓變形。可替代地,所揭示之含碘蝕刻化合物亦可在接觸蝕刻應用中提供對遮罩層或氮化矽,諸如縱橫比範圍介於1:1至50:1之彼等的高選擇性。
所揭示之含碘蝕刻化合物可提供用於廣泛製程條件之蝕刻的無限制選擇性。在本文中選擇性係指兩種不同層之蝕刻速率比率。舉例而言,SiO層相對於a-C層之選擇性為SiO之蝕刻速率除以a-C層之蝕刻速率。所揭示之含碘蝕刻化合物可在圖案高縱橫比結構中提供在含矽膜與遮罩材料之間之經改良選擇性、對通道區域之較少損壞及減少之彎曲。所揭示之含碘蝕刻化合物亦可經由p-Si、SiO及/或SiN之交替層蝕刻,其產生垂直蝕刻輪廓(亦即表明在交替層之間之選擇性介於2:1至1:2)。
以大於95% v/v純度,較佳大於99.99% v/v純度且更佳以大於99.999% v/v純度提供所揭示之含碘蝕刻化合物。所揭示之含碘蝕刻化合物含有少於5體積%微量氣體雜質,其中少於150ppm體積雜質氣體,諸如N2及/或H2O及/或CO2含於該等微量氣態雜質中。較佳地,電漿蝕刻氣體中之含水量少於20ppmw重量。可藉由蒸餾及/或使氣體或液體通過合適吸附劑(諸如4A分子篩)產生經純化之產物。
所揭示之含碘蝕刻化合物含有少於10% v/v,較佳少於1% v/v, 更佳少於0.1% v/v且甚至更佳少於0.01% v/v之其異構體中之任一者,其可藉由蒸餾氣體或液體以移除異構體純化且可提供更好的製程重複性。
可替代地,特別當異構體混合物提供經改良方法參數時或若目標異構體之分離過於困難或昂貴,則所揭示之含碘蝕刻化合物可含有在5%v/v與50%v/v之間的其異構體。舉例而言,所揭示之含碘蝕刻化合物可包含在約50% v/v與約75% v/v之間的(1E)-1,2-二氟-1-碘-乙烯及在約25% v/v與約50% v/v之間的(1Z)-1,2-二氟-1-碘-乙烯。異構體混合物亦可減少對兩個或更多個至反應室之氣體管線之需要。
一些所揭示之含碘蝕刻化合物在室溫及大氣壓下為氣態的。對於非氣態(亦即液體或固體)化合物,其氣體形式可藉由習知汽化步驟,諸如直接汽化來汽化化合物或藉由用惰性氣體(N2、Ar、He)進行鼓泡製備。非氣態化合物可以液態饋入汽化器中,其中在將其引入反應器中之前使其。
所揭示之含碘蝕刻化合物在含矽膜中適用於電漿蝕刻半導體結構,諸如通道孔、閘極溝槽、階梯式接點、電容器孔、接觸孔等。所揭示之含碘蝕刻化合物不僅與目前可用之遮罩材料相容而且與未來各代之遮罩材料相容,因為所揭示之含碘蝕刻化合物幾乎不誘發對遮罩以及高縱橫比結構之良好輪廓之損壞。換言之,所揭示之含碘蝕刻化合物可產生具有最小化至無彎曲、圖案崩潰或粗糙度之垂直蝕刻圖案。為了獲得此等特性,所揭示之含碘蝕刻化合物可在蝕刻期間沉積抗蝕刻聚合物層,以幫助在蝕刻程序期間減少氧及氟自由基之直接影響。所揭示之含碘蝕刻化合物亦可在蝕刻期間減少對p-Si或結晶Si通道結構之損壞。較佳地,所揭示之含碘蝕刻化合物在遞送至反應器/室中的蝕刻製程期間具有適當地揮發性及穩定性。
材料相容性測試對測定所揭示之含碘蝕刻化合物中之任一者是否與腔室材料反應且降低具有短期或長期用途之腔室之效能為重要的。腔室、 閥門等部件所涉及之關鍵材料包括不鏽鋼、鋁、鎳、PCTFE、PVDF、PTFE及其他金屬及聚合物。有時此等材料暴露於例如高於20℃高溫及例如高於1atm高壓,其可促進其降解。計量方法可包括目視檢查、重量量測、量測掃描電子顯微法(scanning electron microscopy,SEM)中之奈米尺度改變、抗拉強度、硬度等。
所揭示之含碘蝕刻化合物可用於基板上之電漿蝕刻含矽膜。所揭示之電漿蝕刻方法可適用於製造半導體裝置,諸如NAND或3D NAND閘極或閃存或DRAM記憶體或電晶體,諸如鰭狀場效電晶體(fin-shaped field-effect transistor,FinFET)、塊體互補金屬-氧化物-半導體(Bulk complementary metal-oxide-semiconductor,Bulk CMOS)、完全耗盡型絕緣體上矽(fully depleted silicon-on-insulator,FD-SOI)結構。所揭示之含碘蝕刻化合物可用於其他領域之應用,諸如不同前段製程(front end of the line,FEOL)及後段製程(back end of the line,BEOL)蝕刻應用。另外,所揭示之含碘蝕刻化合物亦可用於在3D矽穿孔(through silicon via,TSV)蝕刻應用中蝕刻Si以將記憶體互連至基板上之邏輯。
電漿蝕刻方法包括提供其中安置有基板之反應室。反應室可為任何裝置內之殼體或腔室其中蝕刻方法進行諸如且不限於反應性離子蝕刻(reactive ion etching,RIE)、具有單一或多個頻率RF來源之電容耦合式電漿(capacitively coupled plasma,CCP)、感應耦合式電漿(inductively coupled plasma,ICP)或微波電漿反應器或能夠選擇性移除含矽膜之一部分或產生活性物種的其他類型之蝕刻系統。一般熟習此項技術者將認識到不同電漿反應室設計提供不同電子溫度控制。適合之市售電漿反應室包括但不限於以商標eMAXTM出售之Applied Materials磁場強化反應性離子蝕刻器或以商標2300® FlexTM出售之Lam Research雙重CCP反應性離子蝕刻器介電蝕刻產品家族。在此類電漿反應 腔室中之RF功率可為脈衝式以控制電漿特性且因此進一步改良蝕刻效能(選擇性及損壞)。
可替代地,經電漿處理之反應物可在反應腔室外部製備。MKS Instruments之ASTRONi®反應氣體產生器可用於在反應物遞送至反應腔室中之前處理反應物。在2.45GHz、7kW電漿功率及範圍為約0.5托至大約10托之壓力下操作,反應物O2可分解成兩個O自由基。較佳地,可藉由約1kW至約10kW,更佳約2.5kW至約7.5kW範圍內之功率產生遠程電漿。
反應室可含有一個或超過一個基板。舉例而言,反應室可含有1至200個具有25.4mm至450mm直徑之矽晶圓。基板可為用於半導體、光伏、平板或LCD-TFT裝置製造之任何適合之基板。適合基板之實例包括晶圓,諸如矽、二氧化矽、玻璃或GaAs晶圓。晶圓其上將自先前製造步驟具有多個膜或層,包括含矽膜或層。層可或可不經圖案化。適合層之實例包括但不限於矽(諸如非晶矽、p-Si、結晶矽,其中任一者可進一步經B、C、P、As及/或Ge進行p摻雜或n摻雜)、二氧化矽、氮化矽、氧化矽、氮氧化矽、SiaObHcCdNe(其中a>0;b、c、d、e
Figure 106145082-A0305-02-0045-111
0)、遮罩層材料(諸如非晶碳、抗反射塗層、光阻材料、鎢、氮化鈦、氮化鉭或其組合)、蝕刻終止層材料(諸如氮化矽、多晶矽、結晶矽、碳化矽、SiCN或其組合)、裝置通道材料(諸如結晶矽、磊晶矽、摻雜矽、SiaObHcCdNe(其中a>0;b、c、d、e
Figure 106145082-A0305-02-0045-112
0)或其組合)。氧化矽層可形成介電材料,諸如基於有機物或基於氧化矽之低k介電材料(例如多孔SiCOH膜)。例示性低k介電材料係由Applied Materials以商標名Black Diamond II或III出售。另外,可使用包含鎢或貴金屬(例如鉑、鈀、銠或金)之層。另外,含矽膜之實例可為SiaObHcCdNe(其中a>0;b、c、d、e
Figure 106145082-A0305-02-0045-113
0)。在通篇說明書及申請專利範圍中,晶圓及其上之任何相關層被稱為基板。
以下為基板之例示性具體實例,可在該等基板上施加所揭示之含 碘蝕刻化合物以蝕刻。
在一個具體實例中,如圖1a中展示,基板100可包括多層之堆疊。圖1a為3D NAND堆疊中產生3D NAND閘極之例示性層之橫截面側視圖。在圖1a中,7個交替SiO/SiN(亦即104a/104b)層之堆疊104位於矽晶圓102之頂部上(亦即ONON或TCAT技術)。一般熟習此項技術者將認識到一些技術用p-Si層置換SiN層104b(亦即SiO/p-Si或P-BICS技術)。非晶碳(a-C)遮罩層106位於7個SiO/SiN層104之頂部上。a-C遮罩層106可含有C及H以及其他元素,諸如硼、氮等以在SiO/SiN層蝕刻期間改良抗蝕刻性。抗反射塗層108位於a-C遮罩層106之頂部上。圖案化光阻層110位於抗反射塗層108之頂部上。在本文中,SiON層(圖中未示)可存在於抗反射塗層108與a-C遮罩層106之間以將光阻層110中之圖案轉移至a-C層106。一般熟習此項技術者將認識到圖1a中基板100中之層堆疊僅出於例示性目的提供且所揭示之含碘蝕刻化合物可用於蝕刻其他類型之層堆疊。此外,一般熟習此項技術者將認識到基板100之堆疊中之數值交替SiO/SiN或SiO/p-Si層104可變化(亦即,可包括多於或少於7個所描繪之SiO/SiN(104a/104b)層)。
圖1b圖1a之3D NAND堆疊中例示性層之橫截面側視圖,其展示在蝕刻期間側壁上所沉積之聚合物。如圖1b中展示,所揭示之含碘蝕刻化合物可在適用於各向異性地蝕刻含矽膜104及將含I聚合物鈍化層212沉積於正經蝕刻之結構側壁上的電漿製程期間產生碎片。圖1b圖1a之間的差異在圖1b中,通孔214藉由電漿蝕刻使用所揭示之含碘蝕刻化合物形成於基板100中,其亦將聚合物鈍化層212沉積於通孔214之側壁上。聚合物鈍化層212亦提供更光滑的側壁、較少彎曲及在通孔214之底部處較少變形。然而,可藉由此項技術中已知之乾式或濕式蝕刻化學物質易於移除或清除聚合物鈍化層212
圖1c圖1a之3D NAND堆疊中例示性層之橫截面側視圖,其展 示在3D NAND堆疊中交替SiO/SiN層蝕刻期間所生成之粒子316。在如圖1c中所示之交替SiO/SiN(亦即104a/104b)層104之側壁上產生的粒子316可藉由使用所揭示之含碘蝕刻化合物最小化。圖1c圖1b之間的差異在圖1c中,經交替的SiO/SiN暴露之側壁具有電漿蝕刻期間所生成之粒子316。申請者不認為所揭示之含碘化合物將生成展示於圖1c中之粒子316
圖1d圖1a之3D NAND堆疊中例示性層之橫截面側視圖,其展示在各向異性蝕刻製程之後在3D NAND堆疊中暴露於側壁上之SiN層104b之選擇性各向同性蝕刻。藉由使用所揭示之含碘化合物選擇性破裂在SiO層104a中Si-O鍵上方的SiN層104b中之Si-N鍵,在通孔214中SiO/SiN層之堆疊104上形成選擇性側壁SiN蝕刻418,堆疊100中SiN曝露之側壁可如圖1d中展示經選擇性蝕刻。圖1d圖1b之間的差異在圖1d中,交替的SiO/SiN側壁上所曝露之SiN藉由所揭示之含碘蝕刻化合物選擇性蝕刻,形成選擇性側壁SiN蝕刻418。典型地,選擇性側壁SiN蝕刻418藉由使用具有磷酸之混合物的濕式蝕刻進行。由於濕式蝕刻需要將基板移動至不同濕式蝕刻設備,所以已知用乾式電漿蝕刻方法替換濕式蝕刻製程極大地改良了半導體裝置製造方法之經濟情況。在所揭示之方法下,包括圖1d之選擇性側壁SiN蝕刻之所有蝕刻均可在一件蝕刻設備中進行,此可降低半導體製造之成本。
在替代性具體實例中,如圖2中展示,基板100其上可包括多層堆疊。圖2為DRAM堆疊中產生DRAM記憶體之例示性層之橫截面側視圖。在圖2中,四個層之堆疊位於矽晶圓102之頂部上。a-C遮罩層106位於大SiO層104a之頂部上。抗反射塗層108位於a-C遮罩106之頂部上。圖案光阻層110位於抗反射塗層108之頂部上。SiON層(圖中未示)可存在於抗反射塗層108與a-C遮罩層106之間以將光阻層110中之圖案轉移至a-C層106。一般熟習此項技術者將認識到圖2中之層堆疊僅出於例示性目的提供且所揭示之含碘蝕刻化合物可用於蝕刻其他 層堆疊,例如用於其中a-C遮罩層106經TiN層替換的堆疊。此外,一般熟習此項技術者將認識到堆疊中之層數目可變化(亦即可包括多於或少於所描繪之層)。
圖3a為例示性層之橫截面側視圖,其展示典型的電晶體裝置區域周圍SiO絕緣層上方產生電晶體結構之光致抗蝕劑圖案。如圖3a中展示,基板600可包括在矽晶圓602上支撐的電晶體閘電極區域周圍四個層之堆疊。展示於圖3a中之電晶體區域包括兩個充當源極及汲極之摻雜矽區域606。電晶體閘極介電614存在於閘電極616下面。全部電晶體,亦即電晶體閘極介電614及閘極電極616由薄SiN層608包圍,該薄SiN層608後續可在接觸蝕刻期間充當蝕刻終止層。各電晶體裝置區域616/606由矽晶圓602中之SiO隔離區域604間隔開以最小化電性干擾。一般熟習此項技術者將認識到層602可位於絕緣體上矽(Silicon on Insulator,SOI)晶圓之氧化矽層頂部上。另一SiO層610沉積於電晶體上,且用於使任何金屬接觸與電晶體裝置區域606絕緣。光阻遮罩612用於圖案化SiO層610。蝕刻在電漿環境中使用所揭示之含碘蝕刻化合物進行。光阻遮罩612充當模板以蝕刻SiO層610,且在如圖3b中展示之SiN層608上停止蝕刻。
圖3b為蝕刻SiO絕緣層之後圖3a之例示性層之橫截面側視圖。圖3b圖3a之間的差異在圖3b中,通孔718藉由所揭示之含碘蝕刻化合物經由蝕刻形成於SiO層610中。SiO層610可利用光阻層612作為遮罩層蝕刻。遮罩層可為任何適合的光阻遮罩材料,諸如TiN、a-C等。蝕刻可在下面的SiN層608處停止。
所揭示之含碘蝕刻化合物亦可用於在不同電漿條件及不同混合物下蝕刻SiN層608。一般熟習此項技術者將認識到圖3a圖3b中層之堆疊及幾何結構僅出於例示性目的提供且所揭示之含碘蝕刻化合物可用於蝕刻其他類型之層堆疊。此外,一般熟習此項技術者將認識到堆疊中之層數目可變化(亦即可包括多於或少於所描繪之四個層)。
將所揭示之含碘蝕刻化合物之蒸氣引入含有基板及含矽膜之反 應室中。可以在約0.1sccm至約1slm範圍內之流速下將蒸氣引入至腔室。舉例而言,對於200mm晶圓大小,可以在約5sccm至約50sccm範圍內之流速下將蒸氣引入至腔室。可替代地,對於450mm晶圓大小,可以在約25sccm至約250sccm範圍內之流速下將蒸氣引入至腔室。一般熟習此項技術者應認識到流速可隨工具不同而不同。
所揭示之含碘蝕刻化合物可以純形式或以與惰性氣體(諸如N2、Ar、He、Xe等)或溶劑之摻合物形式供應。所揭示之含碘蝕刻化合物可在摻合物中以不同濃度存在。對於含碘蝕刻化合物而言,含碘蝕刻化合物之蒸氣形式可藉由習知汽化步驟(諸如直接汽化)來汽化純或摻合之含碘蝕刻化合物溶液或藉由鼓泡製備。純或摻合之含碘蝕刻化合物可以液態形式饋入至汽化器中,在汽化器其在引入反應器中之前經汽化。
可替代地,純或摻合之含碘蝕刻化合物可藉由使運載氣體通過含有所揭示之含碘蝕刻化合物之容器或藉由將運載氣體鼓泡至所揭示之含碘蝕刻化合物中汽化。運載氣體可包括但不限於Ar、He、N2及其混合物。利用運載氣體鼓泡亦可移除純或摻合之含碘蝕刻化合物溶液中存在之任何溶解氧。運載氣體及所揭示之含碘蝕刻化合物接著作為蒸氣引入反應器中。
必要時,含有所揭示之含碘蝕刻化合物之容器可加熱至准許含碘蝕刻化合物處於液相且具有足夠蒸氣壓用於遞送至蝕刻工具中之溫度。容器可維持在例如約0℃至約150℃、較佳約25℃至約100℃、更佳約25℃至約50℃之溫度範圍內。更佳地,容器維持在室溫(約25℃)下以便避免加熱通往蝕刻工具之管線。熟習此項技術者認識到可以已知方式調節容器之溫度以控制含碘蝕刻化合物蒸發量。
另外,含碘蝕刻化合物以範圍介於95體積%至99.999體積%之純度遞送且可利用移除CO、CO2、N2、H2O、HF、H2S、SO2、鹵化物及其他烴或 氫鹵化碳之已知標準純化技術加以純化。
亦向反應室中引入惰性氣體以便維持電漿。惰性氣體可為He、Ar、Xe、Kr、Ne、N2或其組合。可混合蝕刻氣體與惰性氣體,隨後引入腔室中,其中惰性氣體佔所得混合物之約0.01% v/v與約99.9% v/v之間。可替代地,可向該室中連續地引入惰性氣體,同時向該室中以脈衝形式引入蝕刻氣體。
藉由電漿活化所揭示之蝕刻氣體及惰性氣體之蒸氣以產生經活化蝕刻氣體。電漿將蝕刻氣體分解成自由基形式(亦即經活化蝕刻氣體)。電漿可藉由施加RF或DC功率產生。電漿可用介於約25W至約10,000W範圍內之RF功率產生。電漿可遠程產生或在反應器自身內產生。電漿可用在兩個電極處施加之RF以雙重CCP或ICP模式產生。電漿之RF頻率可在200KHz至1GHz範圍內。可在同一電極處耦合及施加不同頻率之不同RF源。電漿RF脈衝可進一步用於控制基板處之分子斷裂及反應。熟習此項技術者將認識到適合於此類電漿處理之方法及設備。
四極質譜儀(quadrupole mass spectrometer,QMS)、光學發射光譜儀、FTIR或其他自由基/離子量測工具可自腔室量測所耗費之經活化蝕刻氣體以測定所製備物種之類型及數目。必要時,可調節蝕刻氣體及/或惰性氣體之流速以增加或減少所產生之自由基物種之數目。
所揭示之蝕刻氣體可在引入反應室中之前或在反應室內與其他氣體混合。較佳地,可在引入至腔室之前混合該等氣體以便得到輸入氣體之均一濃度。
在另一替代方案中,含碘蝕刻化合物之蒸氣可獨立於其他氣體(諸如當兩種或更多種氣體反應時)引入腔室中或更易於獨立地遞送。
在另一替代方案中,蝕刻氣體及惰性氣體為蝕刻製程期間所用之唯一的兩種氣體。
例示性其他氣體包括但不限於諸如O2、O3、CO、CO2、NO、N2O、NO2及其組合之氧化劑。所揭示之蝕刻氣體及氧化劑可混合在一起,隨後引入反應室中。
可替代地,可向室中連續地引入氧化劑且向室中以脈衝形式引入蝕刻氣體。氧化劑可占引入腔室中之混合物之約0.01% v/v至約99.99% v/v之間的引入腔室中之混合物(其中99.99% v/v表示引入幾乎純的氧化劑用於連續引入替代方案)。
可與所揭示之含碘蝕刻化合物混合之其他例示性氣體包括另外的蝕刻氣體,諸如cC4F8、C4F8、C4F6、CF4、CH3F、CF3H、CH2F2、COS、CS2、CF3I、C2F3I、C2F5I、SO2、反-1,1,1,4,4,4-六氟-2-丁烯(反-C4H2F6)、順-1,1,1,4,4,4-六氟-2-丁烯(順-C4H2F6)、六氟異丁烯(C4H2F6)、反-1,1,2,2,3,4-六氟環丁烷(反-C4H2F6)、1,1,2,2,3-五氟環丁烷(C4H3F5)、1,1,2,2-四氟環丁烷(C4H4F4)或順-1,1,2,2,3,4-六氟環丁烷(順-C4H2F6)。舉例而言,約1% v/v至約25% v/v之所揭示之含碘蝕刻化合物可與餘量之C4F6或cC4F8一起使用。如以下實例中所示,所揭示之含碘蝕刻化合物與習知蝕刻氣體之組合可導致蝕刻速率提高,同時維持基板與與所揭示之含碘蝕刻化合物相關之待蝕刻層之間的較高的選擇性。
所揭示之含碘蝕刻化合物與蝕刻氣體之蒸氣可在引入反應室之前混合。另外的蝕刻氣體可佔引入腔室中之混合物之約0.01% v/v至約99.99% v/v之間。
在一個非限制性例示性電漿蝕刻製程中,使用可控的氣流裝置將1,1,3,3-四氟-3-碘-1-丙烯(CAS編號674-03-3)之蒸氣引入200mm雙重CCP電漿蝕刻工具中。可控的氣流裝置可為質量流量控制器或鼓泡器設計,其中惰性氣體流遞送所需分子之蒸氣。在高沸點分子之情況下,可使用來自Brooks Automation(編號GF120XSD),MKS Instruments等之特定低壓降質量流量控制 器。設定反應室之壓力為約30毫托。若蒸氣壓足夠,則可能不需要氣體源加熱。將兩個CCP電極之間的距離保持在1.35cm且使頂部電極RF功率固定在750W。改變底部電極RF功率以分析分子效能。反應室含有上面具有含矽膜之基板,其類似於展示於圖1a中之彼等。抗反射塗層108藉由碳氟化合物(例如CF4及CH2F2)及含氧氣體(例如O2)圖案化/蝕刻。非晶碳遮罩層藉由含氧氣體圖案化/蝕刻。SiO及SiN層104藉由所揭示之含碘蝕刻化合物(例如1,1,3,3-四氟-3-碘-1-丙烯)及氬氣之電漿圖案化。以250sccm流速向室中獨立地引入氬氣。以15sccm向室中獨立地引入1,1,3,3-四氟-3-碘-1-丙烯。O2獨立地引入室中且在0sccm至20sccm間變化以測定最優蝕刻條件。產生縱橫比等於或大於30:1之孔口,其可用作垂直NAND中之通道孔。類似實例可用於如圖2及圖3a中展示之其他堆疊層。
在另一非限制性例示性電漿蝕刻製程中,使用可控的氣流裝置向200mm雙重CCP電漿蝕刻工具中引入1,2-二氟-1-碘-乙烯。可控的氣流裝置可為質量流量控制器。在高沸點分子之情況下,可使用來自Brooks Automation(編號GF120XSD),MKS Instruments等之特定低壓降質量流量控制器。設定反應室之壓力為約30毫托。若蒸氣壓足夠,則可能不需要氣體源加熱。將兩個CCP電極之間的距離保持在1.35cm且使頂部電極RF功率固定在750W。改變底部電極RF功率以分析1,2-二氟-1-碘-乙烯之效能。反應室含有上面具有厚SiO層104a之基板100,其類似於展示於圖2中之層。在此製程之前,抗反射塗層108藉由碳氟化合物(例如CF4)及含氧氣體(例如O2)移除且a-C遮罩層106藉由含氧氣體移除。以250sccm流速向室中獨立地引入氬氣。以15sccm向室中獨立地引入1,2-二氟-1-碘-乙烯。以0-20sccm向室中獨立地引入O2以測定最優蝕刻條件。產生縱橫比等於或大於10:1之孔口,其可用作DRAM中之接觸孔。類似實例可用於如圖1a及3a中展示之其他堆疊層。
含矽膜與經活化含碘蝕刻氣體反應以形成自反應室移除之揮發 性副產物。a-C遮罩、抗反射塗層及光阻層與活化蝕刻氣體之反應性較小。因此,經活化蝕刻氣體選擇性地與含矽膜反應以形成揮發性副產物。
使反應室內之溫度及壓力保持在適用於含矽膜與經活化蝕刻氣體反應之條件下。舉例而言,視蝕刻參數所需,可使室中之壓力保持在約0.1毫托與約1000托之間、較佳地在約1毫托與約10托之間、更佳在約10毫托與約1托之間、且更佳在約10毫托與約100毫托之間。同樣地,室中之基板溫度可在約-196℃至約500℃之間、較佳在約-120℃至約300℃之間、更佳在約-100℃至約50℃之間;及更佳在約-10℃至約40℃之間範圍內。室壁溫度可視製程要求而定介於約-196℃至約300℃範圍內。
在含矽膜與經活化蝕刻氣體之間的反應導致含矽膜自基板各向異性地移除。氮原子、氧原子及/或碳原子亦可存在於含矽膜中。移除係歸因於自電漿離子物理濺鍍含矽膜(藉由電漿加速)及/或藉由電漿物種之化學反應將Si轉化成揮發性物種,諸如SiFx,其中x在1至4範圍內。
所揭示之含碘蝕刻化合物之電漿活化蒸氣較佳地展現針對遮罩之高選擇性且經由SiO及SiN之交替層蝕刻,由此產生無彎曲或粗糙度之垂直蝕刻輪廓,其對於3D NAND應用而言為重要的。另外,側壁上之電漿活化蒸氣沉積物聚合物最小化構件輪廓變形。對於其他應用而言,諸如DRAM及2D NAND,例如在不同製程條件下之電漿活化蝕刻氣體可自SiN選擇性地蝕刻SiO。電漿活化蝕刻氣體可自以下選擇性地蝕刻SiO及/或SiN:遮罩層,諸如a-C、光阻、p-Si或碳化矽;或金屬接觸層,諸如Cu;或由SiGe或多晶矽區域組成之通道區域。
使用所揭示之含碘蝕刻化合物作為蝕刻氣體的所揭示之蝕刻製程在含矽膜中產生通道孔、閘極溝槽、階梯式接點、電容器孔、接觸孔等。所得孔口可具有介於約10:1至約200:1範圍內之縱橫比及介於約5nm至約50nm範圍內之直徑。舉例而言,一般熟習此項技術者將認識到通道孔蝕刻在含矽膜中 產生具有大於60:1之縱橫比的孔口。
亦揭示使用所揭示之含碘蝕刻化合物用於在電漿蝕刻條件下製造半導體結構之方法。所揭示之方法提供含碘蝕刻化合物用於電漿蝕刻含Si膜之用途。所揭示之方法亦用於抑制對圖案化光阻遮罩層或圖案硬質遮罩層之損壞,保護圖案化光阻遮罩層或圖案硬質遮罩層,或將對圖案化光阻遮罩層或圖案硬質遮罩層之損壞減至最少,或強化圖案化光阻遮罩層或圖案硬質遮罩層同時在基板中形成孔口、孔或溝槽。所揭示之方法適用於製造半導體,諸如3D NAND及DARM應用中。
所揭示之形成圖案化結構之方法包括:將含碘蝕刻化合物之蒸氣引入在基板上含有含矽膜之反應室中,其中含碘蝕刻化合物選自由以下組成之群:CF2I2、C2F3I、C2H2FI、C2H3F2I、C2H4FI、C2F4I2、C3F5I、C3HF4I、C3H2F3I、C3HF6I、C3H2F5I、C3H3F4I、C3H4F3I、C3HF4I、C3H2F3I、C3H2F5I、C3H3F4I及C3H4F3I;將惰性氣體引入反應室中;以及活化電漿以產生能夠自基板蝕刻含矽膜之經活化含碘蝕刻化合物,以形成圖案化結構。
所揭示之將對圖案化遮罩層之損壞減至最少同時在基板中形成通孔或溝槽的方法包括:將含碘蝕刻化合物之蒸氣引入反應室中,該反應室含有在上面安置含矽膜之基板及安置在含矽層上之圖案化遮罩層,其中含碘蝕刻化合物選自由C2H2FI、C2H3F2I或C2H4FI組成之群;將惰性氣體引入反應室中;以及藉由活化電漿以形成經活化含碘蝕刻化合物,自基板蝕刻含矽膜以形成通孔或溝槽。
所揭示強化圖案化遮罩層同時在基板中形成通孔或溝槽之方法包括:將含碘蝕刻化合物之蒸氣引入反應室中,該反應室含有在上面安置有含矽膜之基板及安置在含矽層上之圖案化遮罩層,其中含碘蝕刻化合物選自由C2H2FI、C2H3F2I或C2H4FI組成之群;將惰性氣體引入反應室中;以及將來自含 碘蝕刻化合物之I離子植入圖案化遮罩,同時藉由活化電漿以形成經活化含碘蝕刻化合物,自基板蝕刻含矽膜以形成通孔或溝槽。
需要蝕刻之典型材料可為SiO。蝕刻SiO之方法可與硼磷矽玻璃(Borophosphosilicateglass,BPSG)、正矽酸四乙酯(Tetraethylorthosilicate,TEOS)或低沉積速率TEOS(low deposition rate TEOS,LDTEOS)中之蝕刻溝槽相關。蝕刻終止層可為氮化矽或氮化矽氧(SiON)或聚矽。所用遮罩材料可為a-C、p-Si或光阻材料。在本文中,施加所揭示之含碘蝕刻化合物以蝕刻SiO、SiN、p-Si及/或a-C基板膜。
實施例
提供以下非限制性實例以進一步說明本發明之具體實例。然而,該等實施例並不意欲包括所有且並不意欲限制本文所描述之發明範圍。
實施例1
質譜儀可用於研究若干所揭示之含碘蝕刻氣體之電子衝擊電離。關於此測試,使蝕刻氣體流經質譜儀室且四極質譜儀(Hiden Analytical Inc.)偵測器用於研究來自蝕刻氣體隨電子能量而變化之碎片。在以下圖式中,x軸表示0-100eV之電子能量且y軸表示碎片物種之以托為單位之分壓。
圖4為表明相對於CF3I(CAS編號2314-97-8)之物種濃度(托)的電子衝擊電離能量(eV)的曲線。在10與20eV之間產生之主要片段或電離產物為CF3、I及CF3I。
圖5為表明相對於C2F3I(CAS編號359-37-5)之物種濃度(托)的電子衝擊電離能量(eV)的曲線。在10與20eV之間產生之主要片段為CFI、CF及I。
圖6為表明相對於C2F5I(CAS編號354-64-3)之物種濃度(托)之電子衝擊電離能量(eV)的曲線。在10與20eV之間產生之主要片段或電離產 物為C2F5、C2F5I、CF3及I。
圖7為表明相對於C2HF4I(CAS編號354-41-6)之物種濃度(托)之電子衝擊電離能量(eV)的曲線。在10與20eV之間產生之主要片段為C2HF4、CHF2、C2HF3及I。
圖8為表明相對於C3F5I(CAS編號431-65-2)之物種濃度(托)之電子衝擊電離能量(eV)的曲線。在10與20eV之間產生之主要片段為C3F5、CF3及I。
圖9為表明相對於C3F7I(CAS編號754-34-7)之物種濃度(托)之電子衝擊電離能量(eV)的曲線。在10與20eV之間產生之主要片段為CF3、C3F7及I。
比較實施例
將一些所揭示之含碘蝕刻化合物之不含碘類似物注入QMS中且收集10-100eV之資料。
圖10為表明相對於C2F6(CAS編號76-16-4)之物種濃度(托)之電子衝擊電離能量(eV)的曲線。在10與20eV之間產生之主要片段為CF3、C3F7及I。
圖11為表明相對於C3F8(CAS編號76-19-7)之物種濃度(托)之電子衝擊電離能量(eV)的曲線。
當與需要電離能量以產生圖5-9之類似C2及C3化合物之主要物種相比時,圖10-11之主要CF3物種在較高電離能量下活化。申請者認為在較低能量下分解所揭示之含碘蝕刻化合物的能力提供蝕刻物種之增加之分裂以及蝕刻製程所需之較低電漿能量。
實施例2
在此實施例中,使用Lam 4520XLe 200mm雙重CCP刻蝕器在具 有包括SiO、SiN、p-Si及a-C之四種不同基板材料之四個1×1cm2試片上進行蝕刻實驗。使用橢偏儀及/或掃描電子顯微鏡(scanning electron microscope,SEM)藉由量測蝕刻厚度隨蝕刻時間而變之變化來量測沉積及/或蝕刻速率。試片置放於200mm直徑載體晶圓上且藉由使用獲自2spi製造商之雙面碳膠帶固持於接觸中。可替代地,熱膏可用於將試片黏附於載體晶圓上。
沉積測試在30毫托及750W(27MHz)之電源功率下之1×1cm2 Si試片上進行,其中在基板處無偏壓功率。製程饋入混合物含有250sccm Ar及15sccm蝕刻氣體。接著輸送沉積測試樣品用於X射線光電子光譜測定法(X-ray Photoelectron spectrometry,XPS)分析以研究基板上形成之聚合膜之類型。
蝕刻測試亦在30毫托、750W(27MHz)之電源功率及1500W(2MHz)之偏壓功率下進行。饋入混合物含有250sccm之Ar、15sccm蝕刻氣體,同時O2在0至15sccm範圍內變化。
圖12為沉積及蝕刻測試中所應用之例示性反應器系統之例示性橫截面側視圖。如所示,反應器800包括反應器腔室802。在反應器腔室802內部,附接於底部電極804之頂部上的晶圓806可置放於反應器腔室802之底部部分中,且矽頂部電極噴頭808可置放於反應器腔室802之頂部上。底部電極804可為具有向其中施加之偏壓功率的靜電卡盤。舉例而言,可將2MHz RF偏壓功率施加至底部電極804。晶圓806可具有需要蝕刻之多個層。矽頂部電極噴頭808在噴頭中具有通過氣體之複數個孔810。氣體可經由氣體入口812引入反應器腔室802中,且隨後穿過噴頭808中之孔810以供均一的氣體分佈。可將電源功率施加至矽頂部電極噴頭808。舉例而言,可將27MHz RF電源功率施加至矽頂部電極噴頭808。在矽頂部電極噴頭808與底部電極804之間為電漿區域。穿過噴頭808中之孔810的氣體可在電漿區域中電離且隨後在晶圓806上進行蝕刻。氣體可藉由自出口814將氣體自反應器腔室802抽出移除。
圖13為表明在CF3I及O2的情況下SiO、SiN、p-Si及a-C之蝕刻速率的曲線。在圖13中,y軸表示蝕刻速率;x軸為以sccm為單位之O2流速;CF3I流速固定在15sccm同時O2流速在0至20sccm間變化。
圖14為表明在C2F3I及O2的情況下SiO、SiN、p-Si及a-C之蝕刻速率的曲線。在圖14中,正y軸表示蝕刻速率同時負y軸表示沉積速率;x軸為以sccm為單位之O2流速;C2F3I流速固定在15sccm下同時O2流速在0至10sccm間變化。
圖15為表明在C2F5I及O2的情況下SiO、SiN、p-Si及a-C之蝕刻速率的曲線。在圖15中,y軸表示蝕刻速率;x軸為以sccm為單位之O2流速;C2F5I流速固定在15sccm同時O2流速在0至20sccm間變化。
圖16為表明在C2HF4I及O2的情況下SiO、SiN、p-Si及a-C之蝕刻速率的曲線。在圖16中,正y軸表示蝕刻速率同時負y軸表示沉積速率;x軸為以sccm為單位之O2流速;C2HF4I流速固定在15sccm下同時O2流速在0至15sccm間變化。
圖17為表明在C3F5I及O2的情況下SiO、SiN、p-Si及a-C之蝕刻速率的曲線。在圖17中,正y軸表示蝕刻速率同時負y軸表示沉積速率;x軸為以sccm為單位之O2流速;C3F5I流速固定在15sccm下同時O2流速在0至15sccm間變化。
圖18為表明在C3F7I及O2的情況下SiO、SiN、p-Si及a-C之蝕刻速率的曲線。在圖18中,y軸表示蝕刻速率;x軸為以sccm為單位之O2流速;C3F7I固定在15sccm同時O2流速在0至20sccm間變化。
由於C-I鍵較弱,所以添加碘提供增加之斷裂。轟擊表面之I離子之較低電離臨限值相對於非晶碳(a-C)或多晶矽(多-Si)獲得用於蝕刻氧化物之提高之選擇性。圖14、16及17來自具有H或不飽和基團之聚合氣體且展示沉積及蝕刻氧化物對a-C、多晶Si及SiN之無限選擇性。儘管圖13、15及18之飽和分子並不展現沉積且因此並不具有無限選擇性,但仍展現高於不含碘蝕刻氣體之選 擇性。
實施例3
為提高SiO之蝕刻速率,與cC4F8之效能類似,將CF4添加至250sccm Ar與15sccm C2F3I之蝕刻氣體混合物。
圖19為表明在C2F3I及CF4的情況下SiO、SiN、p-Si及a-C之蝕刻速率的曲線。在圖19中,y軸表示蝕刻速率且x軸為以sccm為單位之CF4流速。C2F3I流速固定在15sccm且CF4流速在10至15sccm間變化。
圖19表明藉由將CF4添加至處理氣體混合物,SiO之蝕刻速率增加至近似500nm/min同時維持對p-Si及a-C之良好的選擇性。如CxF2x+2(x=1至5)、CxF2x(x=3至5)、CxF2x-2(x=4至5)之添加劑可添加至混合物以促進氧化物蝕刻速率。
相比於圖14,用CF4取代氧提高對a-C之選擇性同時亦提高SiO2蝕刻速率,此對於處理量而言為重要的。
概言之,利用含碘蝕刻化合物對SiO、SiN、p-Si及a-C膜進行乾式蝕刻的評價展示與先前技術碳氟化合物相比,含碘HFC產生氧化矽與氮化矽及p-Si之最高的(至多無限制的)選擇性。高選擇性之原因可歸因於在蝕刻氣體之電漿分解期間形成低F/C含碘碎片,其導致在基板上形成保護性聚合物膜。另外,碘經電離且用類似於諸如Ar、Kr及Xe之惰性氣體的重I離子轟擊表面。本文中呈現之蝕刻氣體結果展示其不僅可用於接觸蝕刻製程,而且對含矽或金屬之基板上之其他蝕刻製程,包括低k蝕刻製程而言為有益的。
雖然本發明之具體實例已展示且描述,但熟習此項技術者可進行修改而不偏離本發明之精神或教示。本文所述之具體實例僅具例示性而無限制性。可對組成物及方法進行諸多改變及修改且此等改變及修改屬於本發明之範圍內。因此,保護範圍不限於本文所述之具體實例,而僅受隨附申請專利範圍 限制,該範圍應包括申請專利範圍之標的物之所有等效物。
100‧‧‧基板
102‧‧‧矽晶圓
104‧‧‧交替SiO/SiN層
104a‧‧‧SiO層
104b‧‧‧SiN層或p-Si層
106‧‧‧非晶碳遮罩層
108‧‧‧抗反射塗層
110‧‧‧光阻層

Claims (14)

  1. 一種形成圖案化結構之方法,該方法包含:將含碘蝕刻化合物之蒸氣引入在基板上含有含矽膜之反應室中,其中該含碘蝕刻化合物選自由以下組成之群:CF2I2、C2H3F2I、C2H4FI、C2F4I2、C3HF6I、C3H2F5I、C3H3F4I、C3H4F3I、C3H2F5I、C3H3F4I及C3H4F3I;向該反應室中引入惰性氣體;及活化電漿以產生能夠自該基板蝕刻該含矽膜之經活化含碘蝕刻化合物,以形成該圖案化結構。
  2. 如申請專利範圍第1項之方法,其進一步包含自該反應室移除揮發性副產物,其中該經活化含碘蝕刻化合物與該含矽膜反應以形成該等揮發性副產物。
  3. 如申請專利範圍第2項之方法,其進一步包含向該反應室中引入氧化劑。
  4. 如申請專利範圍第3項之方法,其中該氧化劑選自由以下組成之群:O2、O3、CO、CO2、NO、N2O、NO2及其組合。
  5. 如申請專利範圍第2項之方法,其進一步包含向該反應室中引入蝕刻氣體。
  6. 如申請專利範圍第5項之方法,其中該蝕刻氣體選自由以下組成之群:cC4F8、cC5F8、C4F6、CF4、CH3F、CF3H、CH2F2、COS、F-C≡N、CS2、SO2、反-1,1,1,4,4,4-六氟-2-丁烯(反-C4H2F6)、順-1,1,1,4,4,4-六氟-2-丁烯(順-C4H2F6)、六氟異丁烯(C4H2F6)、反-1,1,2,2,3,4-六氟環丁烷(反-C4H2F6)、1,1,2,2,3-五氟環丁烷(C4H3F5)、1,1,2,2-四氟環丁烷(C4H4F4)及順-1,1,2,2,3,4-六氟環丁烷(順-C4H2F6)。
  7. 如申請專利範圍第1項之方法,其中該惰性氣體選自由以下組成 之群:He、Ar、Xe、Kr、Ne、N2或其組合。
  8. 如申請專利範圍第1項至第7項中任一項之方法,其中該含矽膜包含以下各者之層:氧化矽;氮化矽;多晶矽;結晶矽;低k SiCOH;SiOCN;SiON;SiaObHcCdNe,其中a>0;b、c、d及e
    Figure 106145082-A0305-02-0063-114
    0;或其組合。
  9. 如申請專利範圍第1項至第7項中任一項之方法,其中該含矽膜自非晶碳層或光阻層選擇性蝕刻。
  10. 如申請專利範圍第8項之方法,其中該氧化矽層自氮化矽、多晶矽或非晶碳層選擇性蝕刻。
  11. 如申請專利範圍第1項至第7項中任一項之方法,其中該方法在該含矽膜中產生具有在約10:1與約200:1之間之縱橫比的孔口。
  12. 一種將對圖案化遮罩層之損壞減至最少同時在基板中形成通孔或溝槽之方法,該方法包含:將含碘蝕刻化合物之蒸氣引入反應室中,該反應室含有在上面安置含矽膜之基板及安置在該含矽膜上之圖案化遮罩層,其中該含碘蝕刻化合物選自由C2H3F2I及C2H4FI組成之群;向該反應室中引入惰性氣體;及藉由活化電漿以形成經活化含碘蝕刻化合物,自該基板蝕刻該含矽膜以形成該通孔或溝槽。
  13. 如申請專利範圍第12項之方法,其中該經活化含碘蝕刻化合物產生加強該圖案化遮罩層之碘離子。
  14. 一種強化圖案化遮罩層同時在基板中形成通孔或溝槽之方法,該方法包含:將含碘蝕刻化合物之蒸氣引入反應室中,該反應室含有在上面安置含矽膜之基板及安置在該含矽膜上之圖案化遮罩層,其中該含碘蝕刻化合物選自由 C2H3F2I及C2H4FI組成之群;向該反應室中引入惰性氣體;及將來自該含碘蝕刻化合物之I離子植入該圖案化遮罩,同時藉由活化電漿以形成經活化含碘蝕刻化合物,自該基板蝕刻該含矽膜以形成該通孔或溝槽。
TW106145082A 2016-12-30 2017-12-21 用於蝕刻半導體結構之含碘化合物 TWI756330B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/396,220 2016-12-30
US15/396,220 US10607850B2 (en) 2016-12-30 2016-12-30 Iodine-containing compounds for etching semiconductor structures

Publications (2)

Publication Number Publication Date
TW201825446A TW201825446A (zh) 2018-07-16
TWI756330B true TWI756330B (zh) 2022-03-01

Family

ID=59066602

Family Applications (2)

Application Number Title Priority Date Filing Date
TW111112039A TW202229216A (zh) 2016-12-30 2017-12-21 用於蝕刻半導體結構之含碘化合物
TW106145082A TWI756330B (zh) 2016-12-30 2017-12-21 用於蝕刻半導體結構之含碘化合物

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW111112039A TW202229216A (zh) 2016-12-30 2017-12-21 用於蝕刻半導體結構之含碘化合物

Country Status (7)

Country Link
US (2) US10607850B2 (zh)
EP (1) EP3563406B1 (zh)
JP (2) JP7227135B2 (zh)
KR (2) KR102626466B1 (zh)
CN (2) CN110178206B (zh)
TW (2) TW202229216A (zh)
WO (1) WO2018126206A1 (zh)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180277387A1 (en) * 2014-08-06 2018-09-27 American Air Liquide, Inc. Gases for low damage selective silicon nitride etching
US10607850B2 (en) * 2016-12-30 2020-03-31 American Air Liquide, Inc. Iodine-containing compounds for etching semiconductor structures
US10446681B2 (en) * 2017-07-10 2019-10-15 Micron Technology, Inc. NAND memory arrays, and devices comprising semiconductor channel material and nitrogen
US10276398B2 (en) * 2017-08-02 2019-04-30 Lam Research Corporation High aspect ratio selective lateral etch using cyclic passivation and etching
DE102017128070B4 (de) * 2017-08-31 2023-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Ätzen zum Verringern von Bahnunregelmässigkeiten
JP6883495B2 (ja) * 2017-09-04 2021-06-09 東京エレクトロン株式会社 エッチング方法
JP2019050305A (ja) * 2017-09-11 2019-03-28 東芝メモリ株式会社 プラズマエッチング方法、及び、半導体装置の製造方法
US10559466B2 (en) 2017-12-27 2020-02-11 Micron Technology, Inc. Methods of forming a channel region of a transistor and methods used in forming a memory array
US10297611B1 (en) 2017-12-27 2019-05-21 Micron Technology, Inc. Transistors and arrays of elevationally-extending strings of memory cells
US10529581B2 (en) * 2017-12-29 2020-01-07 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude SiN selective etch to SiO2 with non-plasma dry process for 3D NAND device applications
KR102272823B1 (ko) * 2018-07-30 2021-07-02 도쿄엘렉트론가부시키가이샤 에칭 방법 및 에칭 장치
JP7209567B2 (ja) * 2018-07-30 2023-01-20 東京エレクトロン株式会社 エッチング方法およびエッチング装置
EP3901991A4 (en) * 2018-12-21 2022-08-17 Showa Denko K.K. ETCHING METHOD USING HALOGEN FLUORIDE AND SEMICONDUCTOR PRODUCTION METHOD
US11145504B2 (en) * 2019-01-14 2021-10-12 Applied Materials, Inc. Method of forming film stacks with reduced defects
US20220115240A1 (en) * 2019-01-23 2022-04-14 Central Glass Company, Limited Dry Etching Method, and Dry Etching Agent and Storage Container Therefor
CN113614891A (zh) 2019-03-22 2021-11-05 中央硝子株式会社 干蚀刻方法及半导体装置的制造方法
US11521846B2 (en) * 2019-12-16 2022-12-06 Taiwan Semiconductor Manufacturing Company Limited Methods for patterning a silicon oxide-silicon nitride-silicon oxide stack and structures formed by the same
KR102664702B1 (ko) * 2020-02-14 2024-05-09 한양대학교 산학협력단 식각 선택비의 조절에 의한 미세패턴의 형성방법
FR3107280B1 (fr) * 2020-02-19 2023-01-13 Arkema France Composition comprenant un composé iodofluorocarbure
JP2021163839A (ja) * 2020-03-31 2021-10-11 東京エレクトロン株式会社 エッチング方法及びプラズマ処理装置
US11798811B2 (en) * 2020-06-26 2023-10-24 American Air Liquide, Inc. Iodine-containing fluorocarbon and hydrofluorocarbon compounds for etching semiconductor structures
US20220223431A1 (en) * 2020-12-28 2022-07-14 American Air Liquide, Inc. High conductive passivation layers and method of forming the same during high aspect ratio plasma etching
US11538919B2 (en) 2021-02-23 2022-12-27 Micron Technology, Inc. Transistors and arrays of elevationally-extending strings of memory cells
KR20220122260A (ko) * 2021-02-26 2022-09-02 에스케이스페셜티 주식회사 실리콘 함유막의 다중 적층체의 식각 방법 및 이를 포함하는 반도체 디바이스의 제조방법
KR20220126045A (ko) * 2021-03-08 2022-09-15 에스케이스페셜티 주식회사 실리콘 함유막의 다중 적층체의 식각 방법 및 이를 포함하는 반도체 디바이스의 제조방법
US20220293430A1 (en) * 2021-03-12 2022-09-15 Applied Materials, Inc. Isotropic silicon nitride removal
JP2022141138A (ja) * 2021-03-15 2022-09-29 株式会社Screenホールディングス 基板処理方法および基板処理装置
US20230193460A1 (en) * 2021-12-17 2023-06-22 American Air Liquide, Inc. Deposition of iodine-containing carbon films
US20230197465A1 (en) * 2021-12-17 2023-06-22 American Air Liquide,Inc. Oxygen and iodine-containing hydrofluorocarbon compound for etching semiconductor structures
WO2024059467A1 (en) * 2022-09-13 2024-03-21 Lam Research Corporation Method for etching features using hf gas
WO2024064526A1 (en) * 2022-09-13 2024-03-28 Lam Research Corporation Method for etching features in a stack

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201125956A (en) * 2009-09-10 2011-08-01 Matheson Tri Gas Inc High aspect ratio silicon oxide etch

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3371143B2 (ja) * 1991-06-03 2003-01-27 ソニー株式会社 ドライエッチング方法
EP0854502A3 (en) * 1997-01-21 1998-09-02 Texas Instruments Incorporated Iodofluorocarbon gas for the etching of dielectric layers and the cleaning of process chambers
JPH10223614A (ja) 1997-02-12 1998-08-21 Daikin Ind Ltd エッチングガスおよびクリーニングガス
JP3559691B2 (ja) * 1997-09-04 2004-09-02 株式会社日立製作所 半導体装置の製造方法
US6387287B1 (en) 1998-03-27 2002-05-14 Applied Materials, Inc. Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
JP3570903B2 (ja) 1998-09-25 2004-09-29 株式会社ルネサステクノロジ 半導体装置の製造方法
US7931820B2 (en) * 2000-09-07 2011-04-26 Daikin Industries, Ltd. Dry etching gas and method for dry etching
US20040035825A1 (en) 2000-11-08 2004-02-26 Shingo Nakamura Dry etching gas and method for dry etching
JP2002319574A (ja) * 2001-04-23 2002-10-31 Nec Corp 窒化シリコン膜の除去方法
US6921725B2 (en) * 2001-06-28 2005-07-26 Micron Technology, Inc. Etching of high aspect ratio structures
US7547635B2 (en) * 2002-06-14 2009-06-16 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
WO2004067152A1 (en) * 2003-01-29 2004-08-12 Showa Denko K. K. Process for decomposing fluorine compounds
US20050241671A1 (en) * 2004-04-29 2005-11-03 Dong Chun C Method for removing a substance from a substrate using electron attachment
JP4761502B2 (ja) 2004-10-07 2011-08-31 株式会社アルバック 層間絶縁膜のドライエッチング方法
US20090191715A1 (en) * 2006-03-09 2009-07-30 Toshio Hayashi Method for etching interlayer dielectric film
TW200735206A (en) 2006-03-10 2007-09-16 Philtech Inc Method of dry etching of interlayer insulation film and etching device
US8125069B2 (en) * 2006-04-07 2012-02-28 Philtech Inc. Semiconductor device and etching apparatus
US7517804B2 (en) 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
US20080191163A1 (en) 2007-02-09 2008-08-14 Mocella Michael T Laser-Assisted Etching Using Gas Compositions Comprising Unsaturated Fluorocarbons
US8614151B2 (en) * 2008-01-04 2013-12-24 Micron Technology, Inc. Method of etching a high aspect ratio contact
JP4982443B2 (ja) * 2008-07-14 2012-07-25 株式会社アルバック 層間絶縁膜のドライエッチング方法
US8372756B2 (en) * 2008-08-29 2013-02-12 Air Products And Chemicals, Inc. Selective etching of silicon dioxide compositions
JP2011071223A (ja) * 2009-09-24 2011-04-07 Ulvac Japan Ltd ドライエッチング方法
KR101660488B1 (ko) 2010-01-22 2016-09-28 삼성전자주식회사 3차원 반도체 장치 및 그 제조 방법
KR101877827B1 (ko) 2011-03-17 2018-07-12 제온 코포레이션 에칭 가스 및 에칭 방법
US8603921B2 (en) * 2011-07-25 2013-12-10 Applied Materials, Inc. Maintaining mask integrity to form openings in wafers
US20150270135A1 (en) * 2011-09-01 2015-09-24 Tel Epion Inc. Gas cluster ion beam etching process
US8512586B2 (en) * 2011-09-01 2013-08-20 Tel Epion Inc. Gas cluster ion beam etching process for achieving target etch process metrics for multiple materials
SG11201503321XA (en) 2012-10-30 2015-05-28 Air Liquide Fluorocarbon molecules for high aspect ratio oxide etch
TWI642809B (zh) 2013-09-09 2018-12-01 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 用蝕刻氣體蝕刻半導體結構的方法
KR102333443B1 (ko) 2014-10-24 2021-12-02 삼성전자주식회사 반도체 소자의 제조 방법
US9728422B2 (en) 2015-01-23 2017-08-08 Central Glass Company, Limited Dry etching method
JP6544215B2 (ja) * 2015-01-23 2019-07-17 セントラル硝子株式会社 ドライエッチング方法
US9659788B2 (en) * 2015-08-31 2017-05-23 American Air Liquide, Inc. Nitrogen-containing compounds for etching semiconductor structures
US10607850B2 (en) 2016-12-30 2020-03-31 American Air Liquide, Inc. Iodine-containing compounds for etching semiconductor structures

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201125956A (en) * 2009-09-10 2011-08-01 Matheson Tri Gas Inc High aspect ratio silicon oxide etch

Also Published As

Publication number Publication date
KR20230070539A (ko) 2023-05-23
KR102537653B1 (ko) 2023-05-26
US20200203174A1 (en) 2020-06-25
EP3563406B1 (en) 2024-04-24
JP2023053121A (ja) 2023-04-12
TW201825446A (zh) 2018-07-16
CN110178206A (zh) 2019-08-27
CN110178206B (zh) 2023-08-18
KR102626466B1 (ko) 2024-01-17
US20170178923A1 (en) 2017-06-22
US11430663B2 (en) 2022-08-30
EP3563406A1 (en) 2019-11-06
TW202229216A (zh) 2022-08-01
KR20190093221A (ko) 2019-08-08
JP7470834B2 (ja) 2024-04-18
JP7227135B2 (ja) 2023-02-21
JP2020515047A (ja) 2020-05-21
CN116884838A (zh) 2023-10-13
WO2018126206A1 (en) 2018-07-05
US10607850B2 (en) 2020-03-31
EP3563406A4 (en) 2020-08-26

Similar Documents

Publication Publication Date Title
TWI756330B (zh) 用於蝕刻半導體結構之含碘化合物
JP7079872B2 (ja) 半導体構造物上に窒素含有化合物を堆積させる方法
US20170365487A1 (en) Chemistries for etching multi-stacked layers
CN107275206B (zh) 用于高纵横比氧化物蚀刻的氟碳分子
KR102546860B1 (ko) 저-k 에치 공정 동안 측벽 손상을 최소화하는 방법
KR20220065902A (ko) 에칭 기체로 반도체 구조를 에칭하는 방법
TW202204297A (zh) 用於蝕刻半導體結構之含碘的氟碳化合物及氫氟烴化合物
TWI846218B (zh) 用於蝕刻半導體結構的含氧和碘的氫氟烴化合物