TWI746753B - 用於低k值蝕刻製程期間最小化側壁損壞的方法 - Google Patents

用於低k值蝕刻製程期間最小化側壁損壞的方法 Download PDF

Info

Publication number
TWI746753B
TWI746753B TW106145725A TW106145725A TWI746753B TW I746753 B TWI746753 B TW I746753B TW 106145725 A TW106145725 A TW 106145725A TW 106145725 A TW106145725 A TW 106145725A TW I746753 B TWI746753 B TW I746753B
Authority
TW
Taiwan
Prior art keywords
layer
etching
low
nitrogen
formula
Prior art date
Application number
TW106145725A
Other languages
English (en)
Other versions
TW201841879A (zh
Inventor
徐志宇
沈鵬
納坦 史特佛
Original Assignee
法商液態空氣喬治斯克勞帝方法研究開發股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 filed Critical 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司
Publication of TW201841879A publication Critical patent/TW201841879A/zh
Application granted granted Critical
Publication of TWI746753B publication Critical patent/TWI746753B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

揭示用於低k值蝕刻製程期間最小化側壁損壞的方法。該等方法使用具有選自由以下組成之群之式的有機氟化合物的電漿活化蒸氣蝕刻低k值層:N≡C-R;(N≡C-)-(R)-(-C≡N);Rx[-C=N(Rz)]y;及R(3-a)-N-Ha,其中a=1-2,x=1-2,y=1-2,z=0-1,x+z=1-3,且各R獨立地具有式HaFbCc,其中a=0-11,b=0-11,且c=0-5。

Description

用於低k值蝕刻製程期間最小化側壁損壞的方法 〔相關申請案之交叉引用〕
本申請案主張2016年12月31日申請之美國申請案第15/396,486號之權益,該申請案以全文引用的方式併入本文中以達成所有目的。
揭示用於低k值蝕刻製程期間最小化側壁損壞的方法。該等方法使用具有選自由以下組成之群之式的有機氟化合物的電漿活化蒸氣蝕刻低k值層:N≡C-R;(N≡C-)-(R)-(-C≡N);Rx[-C=N(Rz)]y;及R(3-a)-N-Ha,其中a=1-2,x=1-2,y=1-2,z=0-1,x+z=1-3,且各R獨立地具有式HaFbCc,其中a=0-11,b=0-11,且c=0-5。
微處理器上之電晶體使用銅線來連接以形成非常複雜之電路。使用低k值介電材料將銅線絕緣。
低k值介電材料典型地為氫化摻碳氧化矽(SiCOH),其中自由表面經結合於矽之甲基(CH3)封端。諸如典型地由反應性離子蝕刻進行之蝕刻的加工步驟有效地移除甲基封端,留下懸空鍵或羥基(Si-OH)。因此,膜親水性更強且容易吸收水分。此損壞為所謂的電漿引起之損壞,又導致介電常數增加,增加程度視損壞性製程之嚴重程度而定。此類損壞使所得到之電晶體 裝置之效能下降。
碳耗盡之另一作用為其對臨界尺寸之影響。舉例而言,用於穿過低k值膜形成溝槽之蝕刻製程往往使溝槽壁耗盡碳。在隨後濕法剝離或清洗製程中,溝槽可顯著加寬,此問題在特徵尺寸減小時變得更加嚴重。
Ji等人(US 5,814,563)揭示使用氟代烴、碳-氧及產生NH3之氣體的混合物實現介電質(諸如SiO及SiN)相對於p-Si層之高選擇性。Shane(美國專利申請公開案第2003/0162395號)揭示添加含氮氣體至碳氟化合物以使聚合物沉積在遮罩上,從而在蝕刻二氧化矽層的同時提高選擇性。Nemani等人(美國專利申請公開案第2014/0199851號)揭示使用電漿製程,其藉由使NF3及NH3流動以移除氮化矽層之經改質部分從而將氮化矽介電膜圖案化來進行。Hamrah等人(美國專利第5,242,538號)揭示使用CF4及NH3蝕刻氣體,且觀測到氧化矽:多晶矽之選擇性高達100:1。Pu等人(美國專利第5,843,847號)亦揭示添加另外的氮氣至經氟化之蝕刻氣體以幫助進行特徵尺寸控制。
已使用含氮化合物作為蝕刻氣體。舉例而言,Khandelwal等人(「Dry removal technology for advanced CMOS devices」,Nanochip Tech.J.,第11卷,第2期,2013,第17頁-第19頁)揭示使用NH4F作為蝕刻劑之原位乾式移除製程。Garg等人(美國專利申請公開案第2006/0062914號)揭示一種處理基板表面之活化反應氣體。Garg等人在段落[0019]描述活化反應氣體可包括許多種含氟氣體,包括C3F3N3、氟胺(諸如CF5N)、氟腈(諸如C2F3N、C3F6N及CF3NO)。Felker等人(US 6,508,948)揭示全氟化雜芳族胺蝕刻化合物,包括三聚氰氟化物。一種所揭示之三聚氰氟化物為五氟吡啶C5F5N。
頒予Trapp之美國專利第6,569,774號及第7,153,779號揭示一種電漿蝕刻製程,該蝕刻製程穿過氧化矽層形成高縱橫比之接觸開口。使用至少一種蝕刻氣體,其包括一或多種含氮氣體以在蝕刻期間沉積聚合物表面材料,從 而維持遮蔽層在氧化矽層上。
頒予Surla等人之美國專利申請公開案第2015/0371869號揭示一種使用含有至少一個C≡N或C=N官能基之有機氟化合物蝕刻含矽膜的方法。
頒予Annapragada之美國專利第6,413,877號揭示一種在基板上製造經蝕刻之有機矽酸鹽玻璃(organo-silicate-glass,OSG)層的方法。在不剝離側壁下,使用N2/O2、N2/H2或N2/NH3之中等密度電漿剝離經圖案化之抗蝕劑遮罩。
頒予Annapragada等人之美國專利第6,777,344號及美國專利申請公開案第2004/0211517號揭示一種自用至少一層OSG介電質形成之半導體晶圓剝離光致抗蝕劑之製程,及分別使用含氟氣體及含氨氣體蝕刻堆疊之方法。
在若干研究中亦報導用於低k值蝕刻/剝離的氮電漿或與碳氟化合物氣體之共反應物(N2、NH3)。參見例如Y.Miyawaki等人,JJAP 52(2013)020204;SK Yang等人,JKPS 52(2008)1786;H.Nagai等人,JJAP 42(2003)L212;及X.Su,JVST B 25(2007)156。
因此,需要改良之低k值電漿蝕刻製程,以減少低k值蝕刻製程期間低k值膜之損壞及碳損失,同時維持對遮罩及蝕刻終止層之輪廓控制及選擇性。
亦揭示用於低k值蝕刻製程期間最小化側壁損壞的方法。經圖案化之遮罩層沉積於低k值層上。經圖案化之遮罩層具有開口,該開口界定在低k值層中產生孔口之區域。用含氮蝕刻組成物之電漿活化蒸氣蝕刻低k值層,以產生具有具第一界定側壁寬度之孔口的經蝕刻低k值層。含氮蝕刻組成物包含具有選自由以下組成之群之式的有機氟化合物:N≡C-R;(N≡C-)-(R)-(-C≡N); Rx[-C=N(Rz)]y;及R(3-a)-N-Ha,其中a=1-2,x=1-2,y=1-2,z=0-1,x+z=1-3,且各R獨立地具有式HaFbCc,其中a=0-11,b=0-11,且c=0-5。自孔口移除損壞之低k值層,以產生第二界定側壁寬度。第一界定側壁寬度與第二界定側壁寬度之間的差異在0nm至11nm之間的範圍內。
任一所揭示製程可包括以下態樣中之一或多者:˙有機氟化合物含有C≡N官能基;˙有機氟化合物具有式N≡C-R,其中R具有式HaFbCc且a=0,b=1-11,且c=1-5;˙有機氟化合物為具有下式之三氟乙腈(C2F3N):
Figure 106145725-A0202-12-0004-1
˙有機氟化合物為具有下式之九氟戊腈(C5F9N):
Figure 106145725-A0202-12-0004-2
˙有機氟化合物為具有下式之五氟烯丙腈(C4F5N):
Figure 106145725-A0202-12-0004-5
; ˙有機氟化合物具有式N≡C-R,其中R具有式HaFbCc且a=1-11,b=1-11,且c=1-5; ˙有機氟化合物為具有式
Figure 106145725-A0202-12-0004-4
之二氟乙腈(C2HF2N); ˙有機氟化合物為具有式
Figure 106145725-A0202-12-0005-6
之2,3,3,3-四氟丙腈 (C3HF4N); ˙有機氟化合物為具有式
Figure 106145725-A0202-12-0005-7
之2,2,3,3-四氟丙腈 (C3HF4N); ˙有機氟化合物為具有式
Figure 106145725-A0202-12-0005-62
之4,4,4-三氟丁烯腈 (C4H2F3N); ˙有機氟化合物為具有式
Figure 106145725-A0202-12-0005-10
之3,3,3-三氟丙腈 (C3H2F3N); ˙有機氟化合物為具有式
Figure 106145725-A0202-12-0005-11
之氟乙腈(C2H2FN); ˙有機氟化合物具有式(N≡C-)-(R)-(-C≡N),其中R具有式HaFbCc,其中a=0,b=1-11,且c=1-5; ˙有機氟化合物為具有式
Figure 106145725-A0202-12-0006-12
之八氟己-1,6-二腈 (C6F8N2); ˙有機氟化合物為具有式
Figure 106145725-A0202-12-0006-14
之1,1-雙(三氟甲基)-2,2- 二氰基乙烯(C6F6N2);˙有機氟化合物具有式(N≡C-)-(R)-(-C≡N),其中R具有式HaFbCc,其中a=1-11,b=1-11,且c=1-5; ˙有機氟化合物為具有式
Figure 106145725-A0202-12-0006-15
之2-[1-(二氟甲基)-2,2,2- 三氟亞乙基]-丙二腈(C6HF5N2);˙有機氟化合物含有C=N官能基;˙有機氟化合物具有式Rx[-C=N(Rz)]y,其中x=1-2,y=1-2,z=0-1,x+z=1-3,且各R獨立地具有式HaFbCc,其中a=0,b=1-11且c=0-5; ˙有機氟化合物為具有式
Figure 106145725-A0202-12-0006-17
之N,1,1,1,3,3,3-七氟-丙胺 (C3F7N);˙有機氟化合物具有式Rx[-C=N(Rz)]y,其中x=1-2,y=1-2,z=0-1,x+z=1-3,且各R獨立地具有式HaFbCc,其中a=0-11,b=0-11且c=0-5; ˙有機氟化合物為具有式
Figure 106145725-A0202-12-0007-19
之六氟丙酮亞胺(C3HF6N); ˙有機氟化合物為具有式
Figure 106145725-A0202-12-0007-21
之1,1,1,6,6,6-六氟-3-氮雜 己-3-烯(C5H5F6N);˙有機氟化合物含有C-N官能基;˙有機氟化合物具有式R(3-a)-N-Ha,其中a=1-2且各R獨立地具有式HaFbCc,其中a=1-11,b=1-11且c=1-5; ˙有機氟化合物為具有式
Figure 106145725-A0202-12-0007-22
之2,2,2-三氟乙胺 (C2H4F3N); ˙有機氟化合物為具有式
Figure 106145725-A0202-12-0007-23
之2,2,3,3,3-五氟丙胺 (C3H4F5N); ˙有機氟化合物為具有式
Figure 106145725-A0202-12-0008-24
之2,2,3,3,4,4,4-七氟丁 胺(C4H4F7N); ˙有機氟化合物為具有式
Figure 106145725-A0202-12-0008-25
之1,1,1,3,3,3-六氟異丙胺 (C3H3F6N); ˙有機氟化合物為具有式
Figure 106145725-A0202-12-0008-26
之雙(2,2,2-三氟乙基)胺 (C4H5F6N);˙使用Piranha(H2SO4+H2O2+H2O)、SC1(1:1:100 NH4OH:H2O2:H2O)、SC2(1:1000 HCl:H2O)或HF移除殘餘聚合物;˙使用Piranha(H2SO4+H2O2+H2O)、SC1(1:1:100 NH4OH:H2O2:H2O)、SC2(1:1000 HCl:H2O)或HF移除損壞低k值層;˙連同移除殘餘聚合物一起移除整個損壞低k值層;˙連同移除殘餘聚合物一起移除損壞低k值層之一部分;˙含氮蝕刻組成物之電漿活化蒸氣選擇性地蝕刻低k值層且不蝕刻經圖案化之遮罩層;˙含氮蝕刻組成物之電漿活化蒸氣展示在約20:1至約120:1範圍內的在低k值層與經圖案化之遮罩層之間的選擇性; ˙含氮蝕刻組成物之電漿活化蒸氣展示在約70:1至約120:1範圍內的在低k值層與經圖案化之遮罩層之間的選擇性;˙經活化之含氮蝕刻組成物與低k值層反應,形成揮發性副產物;˙將揮發性副產物自反應室移除;˙進一步包含在蝕刻步驟期間引入惰性氣體;˙該惰性氣體選自由以下組成之群:He、Ar、Xe、Kr及Ne;˙惰性氣體為Ar;˙混合含氮蝕刻組成物之蒸氣與惰性氣體,隨後引入蝕刻步驟中;˙在蝕刻步驟期間分開引入含氮蝕刻組成物之蒸氣與惰性氣體;˙在蝕刻步驟期間連續引入惰性氣體且以脈衝引入含氮蝕刻組成物之蒸氣;˙惰性氣體佔在蝕刻步驟期間引入之含氮蝕刻組成物之蒸氣與惰性氣體的總體積的約0.01% v/v至約99.9% v/v;˙惰性氣體佔在蝕刻步驟期間引入之含氮蝕刻組成物之蒸氣與惰性氣體的總體積的約10% v/v至約90% v/v;˙惰性氣體佔在蝕刻步驟期間引入之含氮蝕刻組成物之蒸氣與惰性氣體的總體積的約90% v/v至約99% v/v;˙進一步包含在蝕刻步驟期間引入含氧反應物;˙在蝕刻步驟期間不引入含氧反應物;˙該含氧反應物選自由以下組成之群:O2、COS、CO、CO2、NO、N2O、NO2、SO2及O3;˙含氧反應物為O2;˙在蝕刻步驟之前將含氮蝕刻組成物之蒸氣與含氧反應物混合;˙在蝕刻步驟期間分開引入含氮蝕刻組成物之蒸氣與含氧反應物; ˙在蝕刻步驟期間連續引入含氧反應物且以脈衝引入含氮蝕刻組成物之蒸氣;˙含氧反應物佔在蝕刻步驟期間引入之含氮蝕刻組成物之蒸氣與含氧反應物的總體積的約0.01% v/v至約99.9% v/v;˙含氧反應物佔在蝕刻步驟期間引入之含氮蝕刻組成物之蒸氣與含氧反應物的總體積的約40% v/v至約60% v/v;˙含氧反應物佔在蝕刻步驟期間引入之含氮蝕刻組成物之蒸氣與含氧反應物的總體積的約0.01% v/v至約10% v/v;˙低k值層包含SiaObCcHd之層(其中a>0;b、c及d
Figure 106145725-A0202-12-0010-55
0);˙低k值層包含氧原子、碳原子、氫原子或其組合;˙低k值層為多孔的;˙相對於a-C層,選擇性地蝕刻低k值層;˙相對於光致抗蝕劑層,選擇性地蝕刻低k值層;˙相對於氮化矽層,選擇性地蝕刻低k值層;˙相對於碳氮化矽層,選擇性地蝕刻低k值層;˙相對於多晶矽層,選擇性地蝕刻低k值層;˙相對於結晶矽層,選擇性地蝕刻低k值層;˙相對於金屬接觸層,選擇性地蝕刻低k值層;˙相對於氮化鈦層,選擇性地蝕刻低k值層;˙相對於鉭層,選擇性地蝕刻低k值層;˙低k值層為氧化矽層;˙相對於a-C層,選擇性地蝕刻氧化矽層;˙相對於光致抗蝕劑層,選擇性地蝕刻氧化矽層;˙相對於p-Si層,選擇性地蝕刻氧化矽層; ˙相對於結晶矽層,選擇性地蝕刻氧化矽層;˙相對於金屬接觸層,選擇性地蝕刻氧化矽層;˙相對於SiN層,選擇性地蝕刻氧化矽層;˙相對於SiCN層,選擇性地蝕刻氧化矽層;˙含矽膜為SiCOH層;˙相對於氮化鈦層,選擇性地蝕刻SiCOH層;˙相對於a-C層,選擇性地蝕刻SiCOH層;˙相對於光致抗蝕劑層,選擇性地蝕刻SiCOH層;˙相對於SiN層,選擇性地蝕刻SiCOH層;˙相對於SiCN層,選擇性地蝕刻SiCOH層;˙孔口具有介於約10:1與約200:1之間的縱橫比;˙孔口具有介於約1:1與約20:1之間的縱橫比;˙孔口為閘極溝槽;˙孔口為階梯接點;˙孔口為通道孔;˙孔口為具有介於約60:1與約100:1之間的縱橫比之通道孔;˙孔口為具有介於約5nm至約100nm範圍內之直徑的通道孔;˙孔口為具有介於約10nm至約50nm範圍內之直徑的通道孔;˙進一步包含藉由在蝕刻步驟期間引入蝕刻氣體來提高選擇性;˙進一步包含藉由在蝕刻步驟期間引入蝕刻氣體來提高蝕刻速率;˙蝕刻氣體選自由以下組成之群:cC4F8、C4F8、C4F6、CF4、CH3F、CF3H、CH2F2、COS、CF3I、C2F3I、C2F5I、F-C≡N、CS2、SO2、反式-1,1,1,4,4,4-六氟-2-丁烯(反式-C4H2F6)、順式-1,1,1,4,4,4-六氟-2-丁烯(順式-C4H2F6)、六氟異丁烯(C4H2F6)、反式-1,1,2,2,3,4-六氟環丁烷(反式- C4H2F6)、1,1,2,2,3-五氟環丁烷(C4H3F5)、1,1,2,2-四氟環丁烷(C4H4F4)或順式-1,1,2,2,3,4-六氟環丁烷(順式-C4H2F6);˙蝕刻氣體為cC5F8;˙蝕刻氣體為cC4F8;˙蝕刻氣體為C4F6;˙在蝕刻步驟前將含氮蝕刻組成物之蒸氣與蝕刻氣體混合;˙在蝕刻步驟期間分開引入含氮蝕刻組成物之蒸氣與蝕刻氣體;˙引入佔在蝕刻步驟期間引入之含氮蝕刻組成物之蒸氣與蝕刻氣體的總體積的約0.01% v/v至約99.99% v/v的蝕刻氣體;˙藉由施加RF功率將電漿活化;˙藉由介於約25W至約10,000W範圍內之RF功率將電漿活化;˙在約1毫托至約10托範圍內之壓力下進行蝕刻步驟;˙在蝕刻步驟期間以在約0.1sccm至約1slm範圍內之流速將含氮蝕刻組成物之蒸氣引入蝕刻反應室中;˙使低k值層維持在約-196℃至約500℃範圍內之溫度下;˙使低k值層維持在約-120℃至約300℃範圍內之溫度下;˙使低k值層維持在約-100℃至約50℃範圍內之溫度下;或˙使低k值層維持在約-50℃至約40℃範圍內之溫度下。
亦揭示包含具有C-N官能基之有機氟化合物的含氮蝕刻化合物。所揭示之含氮蝕刻組成物包括以下態樣中之一或多個:˙有機氟化合物具有式R(3-a)-N-Ha,其中a=1-2且R獨立地具有式HaFbCc,其中a=1-11,b=1-11且c=1-5; ˙有機氟化合物為具有式
Figure 106145725-A0202-12-0012-27
之2,2,2-三氟乙胺 (C2H4F3N); ˙有機氟化合物為具有式
Figure 106145725-A0202-12-0013-28
之2,2,3,3,3-五氟丙胺 (C3H4F5N); ˙有機氟化合物為具有式
Figure 106145725-A0202-12-0013-29
之2,2,3,3,4,4,4-七氟丁 胺(C4H4F7N); ˙有機氟化合物為具有式
Figure 106145725-A0202-12-0013-30
之1,1,1,3,3,3-六氟異丙胺 (C3H3F6N); ˙有機氟化合物為具有式
Figure 106145725-A0202-12-0013-31
之雙(2,2,2-三氟乙基)胺 (C4H5F6N);˙具有在約95體積%至約99.999體積%範圍內之純度;˙包含介於約10兆分率(parts per trillion)至約5體積%之間的微量氣體雜質;˙微量氣體雜質包含水;˙微量氣體雜質包含CO2; ˙微量氣體雜質包含N2;或˙含氮蝕刻組成物具有小於20ppmw之含水量。
符號及命名
以下實施方式及申請專利範圍利用多種縮寫、符號及術語,該等縮寫、符號及術語一般為此項技術中熟知。雖然定義通常具備各縮寫字之第一種情況,但為方便起見,表1提供所用縮寫、符號及術語以及其各別定義之列表。
Figure 106145725-A0202-12-0015-32
Figure 106145725-A0202-12-0016-33
如本文所用,不定冠詞「一(a/an)」意謂一或多個。
如本文所用,正文或申請專利範圍中之「約(about)」或「大約」或「約(approximately)」意謂所述值±10%。
如本文所用,正文或申請專利範圍中之「介電常數增加」或「增加之介電常數」意謂在蝕刻前後,k值改變
Figure 106145725-A0202-12-0016-56
0,其中「改變」意謂蝕刻後k值增加
Figure 106145725-A0202-12-0016-57
0,限制條件為蝕刻後之k值高於蝕刻前之k值或與蝕刻前之k值相同。
如本文所用,術語「蝕刻(etch或etching)」係指一種電漿蝕刻製程(亦即乾式蝕刻製程),其中離子轟擊加速垂直方向上之化學反應,從而沿著遮蔽特徵之邊緣在垂直於基板之角度下形成垂直側壁(Manos及Flamm,Plasma Etching An Introduction,Academic Press公司,1989第12-13頁)。蝕刻製程在基板中產生孔口,諸如通孔、溝槽、通道孔、閘極溝槽、階梯接點、電容器孔、接觸孔等。
術語「圖案蝕刻(pattern etch)」或「圖案化蝕刻(patterned etch)」係指蝕刻非平面結構,諸如含矽膜堆疊上之經圖案化之遮罩層。
術語「遮罩(mask)」係指抗蝕刻之層。遮罩層可位於待蝕刻之層上方。
術語「蝕刻終止層」係指位於待蝕刻之層下方的保護下面層的 抗蝕刻之層。
術語「裝置通道」係指作為實際裝置之一部分且任何損壞將影響裝置性能之層。
術語「縱橫比」係指溝槽(或通孔)高度與溝槽寬度(或通孔直徑)之比率。
術語「選擇性」意謂一種材料之蝕刻速率與另一材料之蝕刻速率之比。術語「選擇性蝕刻(selective etch)」或「選擇性地蝕刻(selectively etch)」意謂蝕刻一種材料多於另一材料,或換言之,兩種材料之間的蝕刻選擇性大於或小於1:1。
術語「獨立地」在用於描述R基團之情形中時應理解為表示對象R基團不僅相對於帶有相同或不同下標或上標之其他R基團獨立地選擇,而且亦相對於任何其他種類之相同R基團獨立地選擇。舉例而言,在其中M為原子,x為2或3之式MR1 x(NR2R3)(4-x)中,兩個或三個R1基團可(但無需)彼此相同或與R2或R3相同。另外,應瞭解,除非另外特定陳述,否則當用於不同式中時R基團之值彼此獨立。
在本文中應注意,術語「膜」及「層」可互換使用。應瞭解膜可對應於層或與層相關,且該層可指膜。此外,一般技術者將認識到本文所用之術語「膜」或「層」係指放在或擴散在表面上之一定厚度之一些材料且表面可在大至整個晶圓至小至溝槽或線之範圍內。
如本文所用,縮寫「NAND」係指「否定AND」或「非AND」閘極;縮寫「2D」係指平面基板上之2維度閘極結構;縮寫「3D」係指3維或垂直閘極結構,其中閘極結構沿垂直方向堆疊。
本文使用元素週期表之元素之標準縮寫。應瞭解元素可藉由此等縮寫提及(例如Si係指矽,N係指氮,O係指氧,C係指碳,H係指氫,F係指 氟等)。
提供由化學摘要服務社(Chemical Abstract Service)指定的唯一CAS登記號(亦即「CAS」)來標識所揭示之特定分子。
請注意,含矽膜,諸如氮化矽及氧化矽在整個說明書及申請專利範圍中是在不提及其適當化學計量學的情況下列舉。含矽膜可包括純矽(Si)層,諸如結晶Si、多晶矽(p-Si或多晶Si)或非晶矽;氮化矽(SikNl)層;或氧化矽(SinOm)層;或其混合物,其中k、I、m及n在0.1至6範圍內(包括0.1及6)。較佳地,氮化矽為SikNl,其中k及I各在0.5至1.5範圍內。更佳地,氮化矽為Si3N4。較佳地,氧化矽為SinOm,其中n在0.5至1.5範圍內且m在1.5至3.5範圍內。更佳地,氧化矽為SiO2。本文中,在以下描述中SiO或氧化矽表示含有SinOm之層。含矽膜亦可為基於氧化矽之介電材料,諸如基於有機物或基於氧化矽之低k值介電材料,諸如Applied Materials公司之具有式SiOCH之Black Diamond II或III材料。含矽膜亦可包括SiaObNc,其中a、b、c在0.1至6範圍內。含矽膜亦可包括摻雜劑,諸如B、C、P、As及/或Ge。
100‧‧‧基板
101‧‧‧銅線
102a‧‧‧低k值層
102b‧‧‧第二低k值層
102c‧‧‧損壞
103a‧‧‧蝕刻終止層
103b‧‧‧第二蝕刻終止層
104‧‧‧硬遮罩
105‧‧‧有機平面化層
106‧‧‧底部抗反射塗層
107‧‧‧光致抗蝕劑
800‧‧‧反應器
802‧‧‧反應器腔室
804‧‧‧底部電極
806‧‧‧晶圓
808‧‧‧矽頂部電極噴頭
810‧‧‧穿孔
812‧‧‧進氣口
814‧‧‧出口
為進一步理解本發明之性質及目的,應結合隨附圖式參考以下〔實施方式〕,其中相同元件給出相同或類似參考編號且其中:圖1a為在低k值層蝕刻之前在後段金屬化中示例性層之橫截面側視圖;圖1b為在低k值層蝕刻之後在後段金屬化中示例性層之橫截面側視圖;圖2為在示例性沉積及蝕刻測試中利用的示例性反應器系統之橫截面側視圖;圖3為顯示利用CF4、C3HF4N與O2、C2HF2N與O2或C2H4F3N與O2蝕刻低k值、SiCN、SiN及TiN之速率的圖;圖4為顯示相對於SiCN、SiN及TiN,所指定之蝕刻氣體對低k值之選擇性;圖5為展示在所指定之氣體蝕刻前後在約1300-900cm-1下低k值晶圓之FTIR光譜的圖。
圖6為聚焦在所指定之氣體蝕刻前後在約1270cm-1圖5之FTIR光譜的圖;圖7為顯示在所指定之氣體蝕刻低k值層前後C1百分比之X射線光電子光譜(X-ray Photoelectron Spectrometric,XPS)圖;圖8a為在HF浸漬之前由C3HF4N蝕刻之經圖案化晶圓的掃描電子顯微照片;圖8b為在HF浸漬之後由C3HF4N蝕刻之經圖案化晶圓的掃描電子顯微照片;圖9為藉由使用C3HF4N之電漿沉積所形成之聚合物膜之XPS圖;圖10為藉由使用C2H4F3N之電漿沉積所形成之聚合物膜之XPS圖; 圖11為展示在C2H3F3N2蝕刻前後在約1300-1200cm-1下低k值層之FTIR光譜的圖;圖12為顯示在C2H3F3N2蝕刻低k值層前後的C1百分比的XPS圖;圖13為展示在CH2F2及CF4蝕刻前後在約1350-1200cm-1下低k值晶圓之FTIR光譜的圖;以及圖14為顯示在CH2F2及CF4蝕刻低k值層前後的C1百分比的XPS圖。
揭示用於低k值蝕刻製程期間最小化側壁損壞的方法。低k值蝕刻製程可用於在後端金屬化期間電漿蝕刻低k值膜。
所揭示之方法包括以下步驟:i)將含氮蝕刻組成物之蒸氣引入在基板上含有含矽膜之反應室中,其中該含氮蝕刻組成物為含有C≡N、C=N或C-N官能基之有機氟化合物;ii)視情況將惰性氣體引入該反應室中;及iii)活化電漿以產生能夠自該基板蝕刻該含矽膜的經活化之含氮蝕刻組成物。
所揭示之包含C≡N官能基之有機氟化合物具有通式:N≡C-R (I) (N≡C-)(R)(-C≡N) (II)其中R具有式HaFbCc,其中a=0-11,b=1-11,且c=1-5。HaFbCc官能基可為直鏈、分支鏈或環狀。HaFbCc官能基可為飽和或不飽和的。申請者認為與CxFy聚合物(其中x在0.01至1範圍內且y在0.01至4範圍內)相比,C≡N官能基可提供改良之抗蝕刻聚合物沉積益處。如實施例6中所示,C≡N官能基在任何所產生之聚合物鈍化層中提供的氮少於C-N官能基提供之氮,此可能為更小結構中所需。當有機氟化合物包括至少一個H(亦即,R具有式HaFbCc,其中a=1-11,b=1-11,且c=1-5)時,可增強SiN之蝕刻速率,同時維持相對於遮罩層之高選擇性。
包含C≡N官能基之示例性有機氟化合物包括三氟乙腈(C2F3N)、九氟戊腈(C5F9N)、五氟烯丙腈(C4F5N)、二氟乙腈(C2HF2N)、2,3,3,3-四氟丙腈(C3HF4N)、2,2,3,3-四氟丙腈、4,4,4-三氟丁烯腈、3,3,3-三氟丙腈、氟乙腈、八氟己-1,6-二腈、1,1-雙(三氟甲基)-2,2-二氰乙烯或2-[1-(二氟甲基)-2,2,2-三氟亞乙基]-丙二腈。較佳地,有機氟化合物為2,3,3,3-四氟丙腈(C3HF4N)或二氟乙腈(C2HF2N)。
所揭示之包含C=N官能基之有機氟化合物具有通式:Rx[-C=N(Rz)]y (III)其中x=1-2,y=1-2,z=0-1,x+z=1-3且各R獨立地具有式HaFbCc,其中a=0-11,b=1-11且c=0-5。HaFbCc官能基可為直鏈、分支鏈或環狀。HaFbCc官能基可為飽和或不飽和的。申請者認為與CxFy聚合物(其中x在0.01至1範圍內且y在0.01至4範圍內)相比,C=N官能基可提供改良之在含矽層之間的選擇性。當有機氟化合物包括至少一個H(亦即,至少一個R具有式HaFbCc,其中a=1-11,b=1-11,且c=0-5,且較佳當至少一個Rx為H時)時,可增強SiN之蝕刻速率,同時維持相對於遮罩層之高選擇性。
包含C=N官能基之示例性有機氟化合物包括N,1,1,1,3,3,3-七氟-丙胺、六氟丙酮亞胺(C3HF6N)或1,1,1,6,6,6-六氟-3-氮雜己-3-烯。
所揭示之包含C-N官能基之有機氟化合物具有通式:R(3-a)-N-Ha (IV)其中a=1-2且各R獨立地具有式HaFbCc,其中a=0-11,b=1-11,且c=0-5。HaFbCc官能基可為直鏈、分支鏈或環狀。HaFbCc官能基可為飽和或不飽和的。申請者認為與CxFy聚合物(其中x在0.01至1範圍內且y在0.01至4範圍內)相比,C-N官能基可提供改良之在含矽層之間的選擇性。如實施例6中所示,C-N官能基在任何所產生之聚合物鈍化層中提供的氮多於C≡N官能基提供之氮。當有機氟化合物包括至少一個H(亦即,當至少一個R具有式HaFbCc,其中a=1-11,b=1-11,且c=1-5時)時,可增強SiN之蝕刻速率,同時維持相對於遮罩層之高選擇性。
包含C-N官能基之示例性有機氟化合物包括2,2,2-三氟乙胺(C2H4F3N)、2,2,3,3,3-五氟丙胺(C3H4F5N)、2,2,3,3,4,4,4-七氟丁胺(C4H4F7N)、1,1,1,3,3,3-六氟異丙胺(C3H3F6N)或雙(2,2,2-三氟乙基)胺(C4H5F6N)。
示例性有機氟化合物列於表2中。此等化合物可購得且其結構式、CAS編號及沸點亦包括於表2中。
Figure 106145725-A0202-12-0021-34
Figure 106145725-A0202-12-0022-35
Figure 106145725-A0202-12-0023-36
Figure 106145725-A0202-12-0024-37
所揭示之含氮蝕刻組成物可提供相對於遮罩層、蝕刻終止層及裝置通道材料之高選擇性,且在諸如縱橫比在10:1至200:1範圍內之結構(諸如DRAM及3D NAND應用)的高縱橫比結構中無輪廓變形。可替代地,所揭示之含氮蝕刻組成物亦可提供相對於遮罩層或氮化矽之高選擇性,諸如接觸蝕刻應用中縱橫比在1:1至50:1範圍內之結構。
所揭示之含氮蝕刻組成物可提供用於廣泛蝕刻製程條件之無限制選擇性。在本文中選擇性係指兩種不同層之蝕刻速率比率。舉例而言,SiO層相對於非晶碳(a-C)層之選擇性為SiO之蝕刻速率除以a-C層之蝕刻速率。所揭示之含氮蝕刻組成物可提供改良的在含矽膜與遮罩材料之間的選擇性、更少之通道區域損壞及減少之圖案化高縱橫比結構中之彎曲。所揭示之含氮蝕刻組成物亦可蝕刻穿過p-Si、SiO及/或SiN之交替層,產生垂直蝕刻輪廓(亦即,證實交替層之間在2:1至1:2範圍內的選擇性)。
所揭示之含氮蝕刻組成物可包含超過95% v/v有機氟化合物,較 佳超過99.99% v/v純度且更佳超過99.999% v/v純度。所揭示之含氮蝕刻組成物含有小於5體積%微量氣體雜質,其中小於按體積計150ppm雜質氣體,諸如N2及/或H2O及/或CO2,含於該等微量氣態雜質中。較佳地,電漿蝕刻氣體中之含水量小於按重量計20ppmw。可藉由蒸餾及/或使氣體或液體通過適合吸附劑(諸如4A分子篩)產生經純化之產物。
所揭示之含氮蝕刻組成物含有小於10% v/v、較佳小於1% v/v、更佳小於0.1% v/v且甚至更佳小於0.01% v/v之有機氟化合物之任一異構體,其可藉由蒸餾氣體或液體來純化以移除異構體且可提供更佳的製程可重複性。
可替代地,所揭示之含氮蝕刻組成物可含有5% v/v與50% v/v之間的有機氟化合物之異構體,尤其當異構體混合物提供改良之製程參數或分離目標異構體過於困難或昂貴時。舉例而言,所揭示之含氮蝕刻組成物可包含約50% v/v與約75% v/v之間的2,3,3,3-四氟丙腈及約25% v/v與約50% v/v之間的2,2,3,3-四氟丙腈。異構體混合物亦可減少對兩個或更多個通往反應室之氣體管線之需要。
一些所揭示之含氮蝕刻組成物在室溫及大氣壓下為氣態的。對於非氣態(亦即液體或固體)組成物,其氣體形式可藉由習知汽化步驟,諸如直接汽化來汽化組成物或藉由用惰性氣體(N2、Ar、He)進行鼓泡來產生。非氣態組成物可呈液態饋至汽化器(直接液體注入或「DLI」),在汽化器中其經汽化,之後將其引入反應器中。
所揭示之含氮蝕刻組成物適用於在含矽膜中電漿蝕刻半導體結構,諸如通道孔、閘極溝槽、階梯接點、電容器孔、接觸孔等。所揭示之含氮蝕刻組成物不僅與目前可用之遮罩材料相容而且與未來各代之遮罩材料相容,因為所揭示之含氮蝕刻組成物幾乎不引起對遮罩以及高縱橫比結構之良好輪廓之損壞。換言之,所揭示之含氮蝕刻組成物可產生具有最小化至無彎曲、圖案 崩潰或粗糙之垂直蝕刻圖案。為了獲得此等特性,所揭示之含氮蝕刻組成物可在蝕刻期間沉積抗蝕刻聚合物層,以幫助在蝕刻製程期間減少氧及氟自由基之直接影響。所揭示之含氮蝕刻組成物亦可減少蝕刻期間對p-Si或結晶Si通道結構之損壞。較佳地,所揭示之含氮蝕刻組成物在蝕刻製程期間具有適當揮發性及穩定性以遞送至反應器/腔室中。
材料相容性測試為重要的,以確定所揭示之含氮蝕刻組成物中之任一者是否與腔室材料反應及降低腔室短期或長期使用之效能。腔室、閥門等部件所涉及之關鍵材料包括不鏽鋼、鋁、鎳、PCTFE、PVDF、PTFE及其他金屬及聚合物。有時此等材料曝露於例如高於20℃之高溫及例如高於1atm之高壓,此等條件可能促進其降解。計量方法可包括目視檢查、重量量測、在掃描電子顯微法(SEM)中量測奈米尺度改變、抗拉強度、硬度等。
所揭示之含氮蝕刻組成物可用於電漿蝕刻基板上之含矽膜。所揭示之電漿蝕刻方法可適用於製造半導體裝置,諸如NAND或3D NAND閘極或閃存或DRAM記憶體或電晶體,諸如鰭狀場效電晶體(fin-shaped field-effect transistor,FinFET)、塊體互補金屬-氧化物-半導體(Bulk complementary metal-oxide-semiconductor,塊狀CMOS)、完全耗盡型絕緣體上矽(fully depleted silicon-on-insulator,FD-SOI)結構。所揭示之含氮蝕刻組成物可用於其他領域之應用,諸如不同前段製程(front end of the line;FEOL)及後段製程(back end of the line;BEOL)蝕刻應用。較佳地,由於在低k值蝕刻製程期間發生的側壁損壞最小,所以所揭示之含氮蝕刻組成物用於在3D矽穿孔(TSV)蝕刻應用中蝕刻Si以將記憶體互連至基板上之邏輯電路。
電漿蝕刻方法包括提供其中安置基板之反應室。反應室可為其中進行諸如且不限於以下蝕刻方法之任何裝置內之殼體或腔室:反應性離子蝕刻(reactive ion etching;RIE)、具有單一或多個頻率RF源之電容耦合式電漿 (capacitively coupled plasma;CCP)、感應耦合式電漿(inductively coupled plasma;ICP)或微波電漿反應器或者能夠選擇性地移除含矽膜之一部分或產生活性物種的其他類型之蝕刻系統。一般技術者將認識到不同電漿反應室設計提供不同電子溫度控制。適合之市售電漿反應室包括但不限於以商標eMAXTM出售之Applied Materials磁場增強之反應性離子蝕刻器或以商標2300® FlexTM出售之Lam Research雙重CCP反應性離子蝕刻器介電蝕刻產品家族。在此類電漿反應室中之RF功率可為脈衝式以控制電漿特性且因此進一步改良蝕刻效能(選擇性及損壞)。
可替代地,經電漿處理之反應物可在反應腔室外部產生。MKS Instruments之ASTRONi®反應氣體產生器可用於在反應物傳送至反應室中之前處理反應物。在2.45GHz、7kW電漿功率及範圍為約0.5托至約10托之壓力下操作,反應物O2可分解成兩個O自由基。較佳地,可藉由約1kW至約10kW,更佳約2.5kW至約7.5kW範圍內之功率產生遠程電漿。
反應室可含有一個或超過一個基板。舉例而言,反應室可含有1至200個具有25.4mm至450mm直徑之矽晶圓。基板可為用於半導體、光伏、平板或LCD-TFT裝置製造之任何適合之基板。適合基板之實例包括晶圓,諸如矽、二氧化矽、玻璃或GaAs晶圓。晶圓上面將具有來自先前製造步驟之多個膜或層,包括含矽膜或層。層可經圖案化或未經圖案化。適合層之實例包括但不限於矽(諸如非晶矽、p-Si、結晶矽,其中任一者可進一步經B、C、P、As及/或Ge進行p摻雜或n摻雜)、二氧化矽、氮化矽、氧化矽、氮氧化矽、SiaObHcCdNe(其中a>0;b、c、d、e
Figure 106145725-A0202-12-0027-58
0)、遮罩層材料(諸如非晶碳、抗反射塗層、光致抗蝕劑材料、鎢、氮化鈦、氮化鉭或其組合)、蝕刻終止層材料(諸如氮化矽、多晶矽、結晶矽、碳化矽、SiCN或其組合)、裝置通道材料(諸如結晶矽、磊晶矽、摻雜矽、SiaObHcCdNe(其中a>0;b、c、d、e
Figure 106145725-A0202-12-0027-59
0)或 其組合)。氧化矽層可形成介電材料,諸如基於有機物或基於氧化矽之低k值介電材料(例如多孔SiCOH膜)。一種示例性低k值介電材料係由Applied Materials以商標名Black Diamond II或III出售。另外,可使用包含鎢或貴金屬(例如鉑、鈀、銠或金)之層。另外,含矽膜之實例可為SiaObHcCdNe(其中a>0;b、c、d、e
Figure 106145725-A0202-12-0028-60
0)。遍及本說明書及申請專利範圍,晶圓及上面之任何締合層稱為基板。
以下為所揭示之含氮蝕刻組成物可用於蝕刻之基板的示例性具體實例。
在一個具體實例中,如圖1a中所示,基板100可包括多層堆疊。圖1a為在低k值層蝕刻之前後段金屬化中示例性層100之橫截面側視圖。圖1a中,銅線101由低k值層102a包圍。蝕刻終止層103a位於銅線101與第二低k值層102b之間。第二蝕刻終止層103b位於第二低k值層102b與硬遮罩104之間。有機平面化層105位於硬遮罩104上。底部抗反射塗層106位於有機平面化層105上。光致抗蝕劑107位於底部抗反射塗層106上。在所揭示之製程前底部抗反射塗層106、有機平面化層105、硬遮罩104及第二蝕刻終止層103b均進行蝕刻以暴露第二低k值層102b之表面。一般技術者將認識到圖1a中之示例性層僅僅出於例示性目的而提供且所揭示之含氮蝕刻組成物可用於蝕刻其他類型之層堆疊。
圖1b為在第二低k值層102b蝕刻之後在後段金屬化中示例性層之橫截面側視圖。低k值蝕刻製程在第二低k值層102b之側壁上產生損壞102c。更特定言之,低k值蝕刻製程移除低k值層之甲基封端,留下懸空鍵或羥基(Si-OH)。因此,膜親水性更強且容易吸收水分。損壞102c為電漿引起之損壞,其由含氮蝕刻組成物之電漿物種與側壁材料之間的化學反應引起。此又引起介電常數增加,介電常數增加程度視損壞製程之嚴重程度而定。在電漿製程期間所揭示之含氮蝕刻組成物產生適合於各向異性地蝕刻第二低k值層102b與將含N聚 合物鈍化層(未顯示)沉積在第二低k值層102b之側壁上的碎片。因此,如以下實施例中所示,所揭示之含氮蝕刻組成物對第二低k值層102b產生的損壞比先前技術蝕刻化合物少。
一般技術者將認識到圖1a1b中之層並未按比例繪製。
所揭示之含氮蝕刻組成物之蒸氣引入至含有基板及含矽膜之反應室中。可在約0.1sccm至約1slm範圍內之流速下將蒸氣引入至腔室。舉例而言,對於200mm晶圓大小,可在約5sccm至約50sccm範圍內之流動速率將蒸氣引入至腔室。可替代地,對於450mm晶圓大小,可在約25sccm至約250sccm範圍內之流速下將蒸氣引入至腔室。一般技術者應認識到流速可隨工具不同而不同。
所揭示之含氮蝕刻組成物可呈純形式或呈與諸如N2、Ar、He、Xe等惰性氣體或溶劑之摻合物供應。所揭示之含氮蝕刻組成物可在摻合物中以不同的濃度存在。對於含液氮組成物,含氮蝕刻組成物之蒸氣形式可藉由習知汽化步驟(諸如直接汽化)來汽化純或摻合之含氮蝕刻組成物溶液或藉由鼓泡來產生。純或摻合之含氮蝕刻組成物可呈液態饋至汽化器,在汽化器中其經汽化,之後將其引入反應器中。
可替代地,純或摻合之含氮蝕刻組成物可藉由使載氣通過含有所揭示之含氮蝕刻組成物之容器或藉由將載氣鼓泡至所揭示之含氮組成物中而汽化。載氣可包括(但不限於)Ar、He、N2及其混合物。利用載氣鼓泡亦可移除純或摻合之含氮蝕刻組成物溶液中存在之任何溶解氧。載氣及所揭示之含氮蝕刻組成物接著作為蒸氣引入反應器中。
必要時,含有所揭示之含氮蝕刻組成物之容器可加熱至准許含氮蝕刻組成物處於液相且具有足夠蒸氣壓以便遞送至蝕刻工具中的溫度。容器可維持在例如約0℃至約150℃,較佳約25℃至約100℃,更佳約25℃至約50℃ 之範圍內的溫度下。更佳地,容器維持在室溫(約25℃)下以便避免加熱通往蝕刻工具之管線。熟習此項技術者認識到可以已知方式調節容器之溫度以控制含氮組成物汽化量。
另外,含氮蝕刻組成物以在95體積%至99.999體積%範圍內之純度遞送且可利用已知標準純化技術來純化以移除CO、CO2、N2、H2O、HF、H2S、SO2、鹵化物及其他烴或氫鹵化碳。
亦將惰性氣體引入反應室中以維持電漿。惰性氣體可為He、Ar、Xe、Kr、Ne、N2或其組合。蝕刻氣體與惰性氣體可在引入腔室中之前混合,其中惰性氣體佔所得混合物之約0.01% v/v與約99.9% v/v之間。可替代地,可向腔室中連續引入惰性氣體,同時向腔室中以脈衝形式引入蝕刻氣體。
藉由電漿活化所揭示之蝕刻氣體之蒸氣及惰性氣體以產生活化之蝕刻氣體。電漿將蝕刻氣體分解成自由基形式(亦即活化之蝕刻氣體)。電漿可藉由施加RF或DC功率產生。電漿可用在約25W至約10,000W範圍內之RF功率產生。電漿可遠程產生或在反應器自身內產生。電漿可用在兩個電極處施加之RF以雙重CCP或ICP模式產生。電漿之RF頻率可在200KHz至1GHz範圍內。可在同一電極處耦合及施加不同頻率之不同RF源。電漿RF脈衝可進一步用於控制基板處之分子碎裂及反應。熟習此項技術者將認識到適用於此類電漿處理之方法及裝置。
四極質譜儀(quadrupole mass spectrometer,QMS)、光學發射光譜儀、FTIR或其他自由基/離子量測工具可自腔室排出物量測經活化蝕刻氣體以測定所產生物種之類型及數目。必要時,可調節蝕刻氣體及/或惰性氣體之流速以增加或減少所產生之自由基物種之數目。
所揭示之蝕刻氣體可在引入反應室中之前或在反應室內與其他氣體混合。較佳地,可在引入至腔室之前混合該等氣體以便使輸入氣體濃度均 一。
在另一替代方案中,諸如當兩種或更多種氣體反應時,可將含氮組成物之蒸氣獨立於其他氣體引入腔室中。
在另一替代方案中,蝕刻氣體及惰性氣體為蝕刻製程期間所用之僅兩種氣體。
例示性其他氣體包括(但不限於)諸如O2、O3、CO、CO2、COS、NO、N2O、NO2、SO2及其組合之氧化劑。所揭示之蝕刻氣體及氧化劑可在引入反應室之前混合在一起。
可替代地,可向腔室中連續引入氧化劑,且向腔室中以脈衝形式引入蝕刻氣體。氧化劑可佔引入腔室中之混合物之約0.01% v/v至約99.99% v/v之間(其中對於連續引入替代方案而言,99.99% v/v表示引入幾乎純的氧化劑)。
可與蝕刻氣體混合之其他例示性氣體包括另外的蝕刻氣體,諸如cC4F8、C4F8、C4F6、CF4、CH3F、CF3H、CH2F2、COS、CS2、CF3I、C2F3I、C2F5I、SO2、反式-1,1,1,4,4,4-六氟-2-丁烯(反式-C4H2F6)、順式-1,1,1,4,4,4-六氟-2-丁烯(順式-C4H2F6)、六氟異丁烯(C4H2F6)、反式-1,1,2,2,3,4-六氟環丁烷(反式-C4H2F6)、1,1,2,2,3-五氟環丁烷(C4H3F5)、1,1,2,2-四氟環丁烷(C4H4F4)或順式-1,1,2,2,3,4-六氟環丁烷(順式-C4H2F6)。
蝕刻氣體之蒸氣及另外的氣體可在引入反應室之前混合。另外的蝕刻氣體可佔引入腔室中之混合物之約0.01% v/v至約99.99% v/v之間。
在一個非限制性示例性電漿蝕刻製程中,使用可控的氣流裝置將2,3,3,3-四氟丙腈之蒸氣引入200mm雙重CCP電漿蝕刻工具中。可控的氣流裝置可為質量流量控制器或鼓泡器設計,其中惰性氣體流遞送所需分子之蒸氣。在高沸點分子之情況下,可使用來自Brooks Automation(編號GF120XSD)、 MKS Instruments等之特定低壓降質量流量控制器。設定反應室之壓力為約30毫托。無需氣體源加熱,因為2,3,3,3-四氟丙腈之蒸氣壓在室溫下為約498托。將兩個CCP電極之間的距離保持在1.35cm且使頂部電極RF功率固定在750W。改變底部電極RF功率以分析分子效能。反應室含有用於後段金屬化之基板,該基板具有類似於圖1a中所示之層。第二低k值層102b藉由所揭示之含氮蝕刻組成物(例如2,3,3,3-四氟丙腈)、氧氣及氬氣之電漿圖案化。以250sccm流速向腔室中獨立地引入氬氣。以15sccm向腔室中獨立地引入2,3,3,3-四氟丙腈。O2獨立地引入腔室中且在0sccm至20sccm間變化以確定最佳蝕刻條件。產生具有在約1:1至約20:1範圍內之縱橫比的孔,其中可形成銅線。使用Piranha(H2SO4+H2O2+H2O)、SC1(1:1:100 NH4OH:H2O2:H2O)、SC2(1:1000 HCl:H2O)或HF自基板移除任何殘餘聚合物及/或任何殘餘反應產物及/或一部分或整個損壞低k值層102c。孔在清洗後應維持其縱橫比。
在另一非限制性示例性電漿蝕刻製程中,使用可控的氣體流動裝置向200mm雙重CCP電漿蝕刻工具中引入二氟乙腈。可控的氣體流動裝置可為質量流量控制器。在高沸點分子之情況下,可使用來自Brooks Automation(編號GF120XSD)、MKS Instruments等之特定低壓降質量流量控制器。設定反應室之壓力為約30毫托。不需要氣體源加熱,因為二氟乙腈之蒸氣壓在20℃下為約900托。將兩個CCP電極之間的距離保持在1.35cm且使頂部電極RF功率固定在750W。改變底部電極RF功率以分析二氟乙腈之效能。反應室含有用於後段金屬化之基板,其上面具有厚的低k值介電層,類似於圖1a中所示之第二低k值層102a。該低k值介電層具有式SiwOxCyHz,其中w在0.01至1範圍內,x在0.01至1範圍內,y在0.01至1範圍內,且z在0.01至1範圍內。低k值層可包含細孔以幫助降低其介電常數。低k值層具有在約2.0至約3.0範圍內之介電常數。在低k值蝕刻製程後,低k值層具有介於2.0與3.5之間的增加之介電常數。在低k值蝕 刻製程後低k值層具有在約0至約10GPa範圍內的楊氏模數(Young's modulus)。
在此示例性電漿蝕刻製程前,底部抗反射塗層107、有機平面化層106、硬遮罩105及第二蝕刻終止層103b層藉由碳氟化合物(例如CF4)及/或含氧氣體(例如O2)圖案化。一般技術者將認識到遮罩層106可為非晶碳、氮化鈦、光致抗蝕劑或氮化矽。以250sccm流速向腔室中獨立地引入氬氣。以15sccm向腔室中獨立地引入二氟乙腈。以0-20sccm向腔室中獨立地引入O2以確定最佳蝕刻條件。產生具有在約1:1至約20:1範圍內之縱橫比的孔,其中可形成銅線。
低k值層與經活化蝕刻氣體反應,形成揮發性副產物,將其自反應室移除。a-C遮罩、抗反射塗層及光致抗蝕劑層較少與經活化蝕刻氣體之反應。因此,經活化蝕刻氣體選擇性地與低k值層反應,形成揮發性副產物。使用Piranha(H2SO4+H2O2+H2O)、SC1(1:1:100 NH4OH:H2O2:H2O)、SC2(1:1000 HCl:H2O)或HF自基板清洗或移除任何殘餘聚合物及/或任何殘餘反應產物及/或一部分或整個損壞低k值層102c。孔在清洗後應維持其縱橫比,同時維持低k值層之低k值介電常數及楊氏模數。孔之長度或寬度應保持在其初始尺寸之約0nm至約11nm內,較佳約0nm至約5nm內,且更佳約0nm至約1nm內。
使反應室內之溫度及壓力保持在適用於含矽膜與經活化蝕刻氣體反應之條件下。舉例而言,視蝕刻參數所需,可使腔室中之壓力保持在約0.1毫托與約1000托之間,較佳地在約1毫托與約10托之間,更佳在約10毫托與約1托之間,且更佳在約10毫托與約100毫托之間。同樣地,腔室中之基板溫度可在約-196℃至約500℃之間,較佳在約-120℃至約300℃之間,更佳在約-100℃至約50℃之間;且更佳在約-10℃至約40℃之間範圍內。室壁溫度可視製程要求 而定,介於約-196℃至約300℃範圍內。
低k值層與經活化蝕刻氣體之間的反應引起低k值層之所需部分自基板各向異性地移除。碳原子亦可存在於低k值層。移除係歸因於利用電漿離子物理濺鍍低k值層(藉由電漿加速)及/或藉由電漿物種之化學反應將Si轉化成揮發性物質,諸如SiFX,其中x在1-4範圍內。
所揭示之含氮蝕刻組成物之電漿活化蒸氣較佳展現相對於遮罩之高選擇性,且穿過低k值層蝕刻,產生無彎曲或粗糙之垂直蝕刻輪廓。另外,電漿活化蒸氣使聚合物沉積在側壁上,從而使特徵輪廓變形最小化。對於其他應用,諸如DRAM及2D NAND,例如電漿活化蝕刻氣體可在不同製程條件下自SiN選擇性地蝕刻SiO。電漿活化蝕刻氣體可自以下各層選擇性地蝕刻SiO及/或SiN:遮罩層,諸如a-C、光致抗蝕劑、p-Si或碳化矽;或金屬接觸層,諸如Cu;或由SiGe或多晶矽區域組成之通道區域。
使用所揭示之含氮蝕刻組成物作為蝕刻氣體的所揭示之蝕刻製程在含矽膜中產生通道孔、閘極溝槽、階梯接點、電容器孔、接觸孔等。所得孔口可具有在約10:1至約200:1範圍內之縱橫比及在約5nm至約50nm範圍內之直徑。舉例而言,一般技術者將認識到通道孔蝕刻在含矽膜中產生具有超過60:1之縱橫比的孔口。
需要蝕刻之典型材料可為SiO。蝕刻SiO之製程可與硼磷矽玻璃(Borophosphosilicateglass;BPSG)、正矽酸四乙酯(Tetraethylorthosilicate;TEOS)或低沉積速率TEOS(low deposition rate TEOS;LDTEOS)中之溝槽蝕刻相關。蝕刻終止層可為氮化矽或氮化矽氧(SiON)或多晶矽。所用遮罩材料可為a-C、p-Si或光致抗蝕劑材料。本文中,所揭示之含氮蝕刻組成物用於蝕刻SiO、SiN、p-Si及/或a-C基板膜。
實施例
提供以下非限制性實例以進一步說明本發明之具體實例。然而,該等實施例並不意欲包括所有且並不意欲限制本文所描述之發明範圍。
在以下實施例中,評估C2HF2N(CAS No.359-12-6)、C3HF4N(CAS No.431-32-3)及C2H4F3N(CAS No.753-90-2)含氮組成物之蝕刻效能且與CF4對比。結果顯示C2HF2N、C3HF4N及C2H4F3N含氮蝕刻組成物提供側壁保護以維持蝕刻輪廓,同時防止低k值材料損壞,且可用於蝕刻半導體結構,諸如低k值蝕刻。
如將展示,化合物C3HF4N及C2HF2N之結果顯示較大前景,因為其滿足用於低k值蝕刻應用之所有所要求之蝕刻目標。
圖2為在以下沉積及蝕刻測試中應用的示例性反應器系統之橫截面側視圖;如所示,反應器800包括反應器腔室802。在反應器腔室802內部,附接於底部電極804之頂部上的晶圓806可置放於反應器腔室802之底部部分中,且矽頂部電極噴頭808可置放於反應器腔室802之頂部上。底部電極804可為向其中施加偏壓功率之靜電卡盤。舉例而言,可將2MHz RF偏壓功率施加至底部電極804。晶圓806可具有多個需要蝕刻之層。矽頂部電極噴頭808在噴頭中具有複數個氣體通過之孔810。氣體可經由進氣口812引入反應器腔室802中,且隨後穿過噴頭808中之孔810以使氣體均一分佈。電源功率可施加至矽頂部電極噴頭808。舉例而言,27MHz RF電源功率可施加至矽頂部電極噴頭808。矽頂部電極噴頭808與底部電極804之間的為電漿區。通過噴頭808中之孔810的氣體可在電漿區中電離且接著在晶圓806上進行蝕刻。可藉由抽吸氣體,自出口814離開反應器腔室802來移除氣體
實施例1
對四個1×1cm2試片進行蝕刻實驗,各試片具有500nm低k值氧化矽(Black DiamondII)、SiCN、SiN或TiN。使用橢圓偏光計及/或掃描電子 顯微鏡(SEM)藉由量測蝕刻厚度隨蝕刻時間之變化來量測蝕刻速率。試片置放於200mm直徑載體晶圓上且藉由使用獲自2spi製造商之雙面碳膠帶保持接觸。可替代地,熱膏可用於將試片黏附於載體晶圓上。反應器條件為:RF功率(200W)、偏壓功率(200W)、腔室壓力(40毫托)、Ar流速(250sccm)、蝕刻氣體流速(5sccm)及所蝕刻之基板量(50%或約250nm)。反應器溫度為約室溫(亦即,約20℃至約25℃)。
圖3為證實利用CF4、C3HF4N與O2、C2HF2N與O2或C2H4F3N與O2或C3H2F3N與O2蝕刻低k值(Black Diamond II或BDII)(實心黑色條柱)、SiCN(中空黑實線條柱)、SiN(中空黑點線條柱)及TiN(中空黑虛線條柱)之速率的圖。在圖3中,y軸表示蝕刻速率,以nm/min為單位,且x軸指示蝕刻氣體。CF4蝕刻不需要氧氣。含N蝕刻氣體之N可在基板上產生聚合物層,而非對其蝕刻,無需使用氧氣,且因此使用此等化合物將氧氣添加至蝕刻製程。10sccm O2用於C3HF4N蝕刻;14sccm O2用於C2HF2N蝕刻;3sccm O2用於C2H4F3N蝕刻,且10sccm用於C3H2F3N蝕刻。
圖4為證實所指定之蝕刻氣體對SiCN(中空實線條柱)、SiN(中空點線條柱)及TiN(灰色實線條柱)之低k值選擇性的圖。在圖4中,y軸表示選擇性(亦即低k值蝕刻速率/除以其他膜之蝕刻速率)且x軸表示所比較之化合物。圖4顯示C2HF2N及C3HF4N展現低k值對比TiN之高選擇性(分別約120及約70)。
實施例2
平面低k值晶圓藉由不同蝕刻氣體蝕刻。在1×1cm2試片上對500nm BDII進行蝕刻實驗。試片置放於200mm直徑載體晶圓上且藉由使用獲自2spi製造商之雙面碳膠帶保持接觸。可替代地,熱膏可用於將試片黏附於載體晶圓上。反應器條件為:RF功率(200W)、偏壓功率(200W)、腔室壓力 (40毫托)、Ar流速(250sccm)、蝕刻氣體流速(5sccm)及所蝕刻之基板量(50%或約250nm)。
在蝕刻前後獲得低k值晶圓之FTIR光譜。圖5為展示在所指定之氣體蝕刻前後在約1300-900cm-1下低k值晶圓之FTIR光譜的圖。在約1270cm-1處之峰為Si-CH3,且在約1050cm-1處之峰為Si-O-Si。圖6為聚焦在所指定之氣體蝕刻前後在約1270cm-1圖5之FTIR光譜的圖。光譜前至光譜後之峰高度的降低表明在蝕刻製程期間電漿自由基使Si-CH3鍵破裂,對低k值膜造成損壞。CF4蝕刻引起峰高度最大程度降低。
藉由將Si-O-Si峰標準化,圖5及6之光譜可用於計算在蝕刻製程期間損失之C的百分比。更特定言之,降低百分比可藉由以下來計算:將(a)經蝕刻膜在1270cm-1下之Si-CH3峰強度除以在1050cm-1下之Si-O-Si峰強度除以(b)未蝕刻膜在1270cm-1下之Si-CH3峰強度除以在約1050cm-1下之Si-O-Si峰強度,自100%減去前面結果[亦即C-損失%=100%-[(SiCH3/SiOSi蝕刻)/(SiCH3/SiOSi未蝕刻)]。來自圖5之SI-O-Si標準化之C-損失%結果為14(CF4)、2(C3HF4N)、3(C2HF2N)、4(C2H4F3N)及13(C3H2F3N)。因此,所揭示之含N蝕刻組成物在蝕刻製程期間引起之C損失比CF4少。
可替代地,圖5及6之光譜可用於藉由標準化殘餘膜厚度來計算在蝕刻製程期間損失之C之百分比。更特定言之,減少百分比可藉由以下來計算:(a)自100減去經蝕刻Si-CH3峰強度與未經蝕刻Si-CH3峰強度之間的差;及(b)乘以殘餘薄膜厚度除以250[亦即,C-損失%=[100%-(I1-I2)](Tfilm/250)]。來自圖5之殘餘膜厚度標準化之C-損失%結果為22(CF4)、10(C3HF4N)、9(C2HF2N)、9(C2H4F3N)及25(C3H2F3N)。參見下表3。
Figure 106145725-A0202-12-0038-38
實施例3
藉由X射線光電子光譜法(XPS)分析來自實例2之相同低k值晶圓。圖7為顯示在所指定之氣體蝕刻低k值層前後的C1百分比的XPS圖。藉由在2.5奈米/循環下對低k值層重複離子濺鍍來進行XPS分析。在圖7中,y軸表示碳百分比,且x軸表示在2.5奈米/循環下離子濺鍍循環次數。
損壞層之厚度可藉由確定至碳百分比恢復至與原始膜中碳百分比相同水準時的循環次數來確定。為避免來自波動雜訊之任何影響,選擇95%恢復點來確定厚度。圖7之以奈米為單位的損壞層之厚度為53(CF4-在2.5奈米/循環下恢復至95%碳水準大約21.2個循環)、38(C3HF4N)、43(C2HF2N)、38(C2H4F3N)及50(C3H2F3N)。
實施例4
對自上至下在Si基板上具有光致抗蝕劑、底部抗反射塗層、氮化矽蝕刻終止層及低k值層的經圖案化晶圓進行蝕刻實驗以確定低k值層之側壁損壞。低k值蝕刻之反應器條件為:RF功率(200W)、偏壓功率(200W)、腔室壓力(40毫托)、Ar流速(250sccm)及蝕刻氣體流速(5sccm)。反應器溫度為約室溫(亦即,約20℃至約25℃)。10sccm O2添加至C3HF4N蝕刻氣體且14sccm O2添加至C2HF2N氣體。整個300奈米低k值層進行蝕刻。使用SEM量測HF浸漬前後溝槽寬度之差除以二來量測損壞[亦即以nm為單位之側壁損壞=(HF前寬度-HF後寬度)/2]。圖8a為在HF浸漬之前由C3HF4N蝕刻之經圖案化 晶圓的掃描電子顯微照片。圖8b為在HF浸漬之後由C3HF4N蝕刻之經圖案化晶圓的掃描電子顯微照片。基於三個溝槽資料點,使用C3HF4N之平均化側壁損壞為9.3nm±1.5nm。使用CF4之平均化側壁損壞為13.8nm±3.3nm。使用C2HF2N之平均化側壁損壞為10.5nm±0.5nm。
實施例5
在比實施例4中更高的功率條件下對自上至下在Si基板上具有光致抗蝕劑、底部抗反射塗層、氮化矽蝕刻終止層及低k值層的經圖案化晶圓進行蝕刻實驗以確定低k值層之側壁損壞。低k值蝕刻之反應器條件為:RF功率(750W)、偏壓功率(750W)、腔室壓力(40毫托)、Ar流速(250sccm)、蝕刻氣體流速(5sccm)及所蝕刻之基板量(50%或約150nm)。反應器溫度為約室溫(亦即,約20℃至約25℃)。8sccm O2添加至C3HF4N蝕刻氣體。使用SEM量測HF浸漬前後溝槽寬度之差除以二來量測損壞[亦即以nm為單位之側壁損壞=(HF前寬度-HF後寬度)/2]。使用CF4之平均化側壁損壞為23nm。使用C3HF4N之平均化側壁損壞為13nm。使用CH2F2之平均化側壁損壞為24nm。
實施例6
在30毫托及750W(27MHz)之電源功率下對1×1cm2低k值試片進行沉積測試,其中在基板處無偏壓功率。製程饋入混合物含有250sccm Ar及5sccm蝕刻氣體。接著輸送沉積測試樣品進行X射線光電子光譜分析(XPS)以研究基板上形成之聚合膜之類型。
圖9為由C3HF4N形成之聚合物膜之XPS圖。圖9清晰顯示聚合物膜中存在C、F及N。存在於聚合物膜中之氮可形成聚合物,該聚合物充當更佳的側壁鈍化層且有助於在高縱橫比蝕刻期間建立垂直輪廓。
圖10為由C2H4F3N形成之聚合物膜之XPS圖。圖10清晰顯示聚合 物膜中存在C、N及F。存在於聚合物膜中之氮可形成聚合物,該聚合物充當更佳的側壁鈍化層且有助於在高縱橫比蝕刻期間建立垂直輪廓。
C2H4F3N之C-NH2結構在所得到之聚合物膜中產生比C3HF4N之C≡N結構更多的N。申請者認為其他C-NH2及C≡N分子行為類似。
比較實施例1
並非所有含氮化合物均產生所需蝕刻結果。如實施例2中,藉由2,2,2-三氟乙醯胺(C2H3F3N2 CAS No.354-37-0)蝕刻平面低k值晶圓。反應器條件為:RF功率(200W)、偏壓功率(200W)、腔室壓力(40毫托)、Ar流速(250sccm)及蝕刻氣體流速(5sccm)。反應器溫度為約室溫(亦即,約20℃至約25℃)。蝕刻30秒後未觀測到低k值層厚度變化。
在蝕刻前後獲得低k值晶圓之FTIR光譜。圖11為顯示在C2H3F3N2蝕刻前後在約1300-1200cm-1下之FTIR光譜的圖。在約1270cm-1處之峰為Si-CH3。光譜前至光譜後之峰高度的降低表明在蝕刻製程期間電漿自由基使Si-CH3鍵破裂,對低k值膜造成損壞。CF4蝕刻引起峰高度最大程度降低。來自圖11之Si-O-Si標準化的C-損失%結果為19%。此高於實施例2中之CF4結果-14%。
圖12為顯示在C2H3F3N2蝕刻低k值層前後的C1百分比的XPS圖。藉由在2.5奈米/循環下對低k值層重複離子濺鍍來進行XPS分析。在圖12中,y軸表示碳百分比且x軸表示離子濺鍍循環次數。圖12之損壞層之厚度為40nm,小於實施例3之CF4(53nm),而在頂表面碳極大地耗盡。
比較實施例2
另外,不含N之聚合物行為與所揭示之含氮蝕刻組成物不同。CH2N2(CAS No.75-10-5)為一種已知之形成聚合物之化合物。
平面低k值晶圓藉由CH2F2蝕刻。在1×1cm2試片上對500nm BDII進行蝕刻實驗。試片置放於200mm直徑載體晶圓上且藉由使用獲自2spi製造商之雙面碳膠帶保持接觸。可替代地,熱膏可用於將試片黏附於載體晶圓上。反應器條件為:RF功率(750W)、偏壓功率(750W)、腔室壓力(40毫托)、Ar流速(250sccm)、蝕刻氣體流速(5-15sccm)及O2流速(0-10sccm)。
在蝕刻前後獲得低k值晶圓之FTIR光譜。圖13為展示在CH2F2及CF4蝕刻前後在約1350-1200cm-1下低k值晶圓之FTIR光譜的圖。在約1270cm-1處之峰為Si-CH3。如圖13中可見,CH2F2與CF4一樣多地影響Si-CH3峰,不管任何聚合物形成。如實施例2中所示,CF4引起低k值膜碳損失超過所揭示之含N蝕刻組成物。因此,所揭示之含N蝕刻組成物比CF4及CH2F2兩者對低k值膜的損壞小。
亦藉由XPS分析低k值晶圓。圖14為顯示在CH2F2及CF4蝕刻低k值層前後的C1百分比的XPS圖。藉由在2.5奈米/循環下對低k值層重複離子濺鍍來進行XPS分析。在圖14中,y軸表示碳百分比,且x軸表示在2.5奈米/循環下離子濺鍍循環次數。
損壞層之厚度可藉由確定至碳百分比恢復至與原始膜中碳百分比相同水準時的循環次數來確定。如圖14中可見,CH2F2引起之碳損失超過CF4,再次證明藉由CH2F2沉積之聚合物層不保護下面低k值層。
使用與以上在平面晶圓上所用相同的反應器條件對自上至下在Si基板上具有氮化矽蝕刻終止層及低k值層的經圖案化晶圓進行蝕刻實驗以確定低k值層之側壁損壞。僅僅蝕刻一半低k值層。使用SEM量測HF浸漬前後溝槽寬度之差除以二來量測損壞[亦即以nm為單位之側壁損壞=(HF前寬度-HF後寬度)/2]。使用CF4,平均化側壁損壞為23nm,且使用CH2F2,為24nm。
概言之,對用含氮蝕刻組成物電漿乾式蝕刻低k值膜之評估表明 與先前技術氟碳化物相比,所揭示之含氮蝕刻組成物對遮罩及蝕刻終止層相對於低k值膜的選擇性改良,以及側壁損壞更少。側壁損壞更少的原因在於在經蝕刻表面上形成其中具有N之保護性聚合物。
雖然已展示且描述本發明之具體實例,但熟習此項技術者可在不偏離本發明之精神或教示下進行修改。本文所述之具體實例僅具例示性而無限制性。可對組成物及方法進行諸多改變及修改且此等改變及修改在本發明之範圍內。因此,保護範圍不限於本文所述之具體實例,而僅受隨附申請專利範圍限制,該範圍應包括申請專利範圍之主題之所有同等物。
100‧‧‧基板
101‧‧‧銅線
102a‧‧‧低k值層
102b‧‧‧第二低k值層
103a‧‧‧蝕刻終止層
103b‧‧‧第二蝕刻終止層
104‧‧‧硬遮罩
105‧‧‧有機平面化層
106‧‧‧底部抗反射塗層
107‧‧‧光致抗蝕劑

Claims (9)

  1. 一種用於低k值蝕刻製程期間最小化側壁損壞至在HF沖洗前後差異小於11nm的方法,該方法包含以下步驟:將經圖案化之遮罩層沉積在低k值層上,該經圖案化之遮罩層具有開口,該開口界定在該低k值層中產生孔口之區域;用含氮蝕刻組成物之電漿活化蒸氣蝕刻該低k值層,以在該低k值層中產生該孔口,該孔口具有第一界定側壁寬度,其中該含氮蝕刻組成物包含具有下式的有機氟化合物:R(3-x)-N-Hx,其中x=1-2,且各R獨立地具有式HaFbCc,其中a=0-11,b=0-11,且c=0-5;以及自該孔口移除電漿引起之損壞以產生第二界定側壁寬度,該第一界定側壁寬度與該第二界定側壁寬度之間的差異在0nm至11nm之間的範圍內。
  2. 如申請專利範圍第1項之方法,其中該低k值層具有式SiwOxCyHz,其中w在0.01至1範圍內,x在0.01至1範圍內,y在0.01至1範圍內,且z在0.01至1範圍內。
  3. 如申請專利範圍第1項之方法,其中在該低k值蝕刻製程之前該低k值層包含細孔及介於2.0與3.0之間的介電常數。
  4. 如申請專利範圍第2項及第3項中任一項之方法,其中在該低k值蝕刻製程後,該低k值層具有介於2.0與3.5之間的增加之介電常數。
  5. 如申請專利範圍第1項至第3項中任一項之方法,其中在該蝕刻製程後該低k值層之硬度自0變成10GPa。
  6. 如申請專利範圍第1項至第3項中任一項之方法,其中該遮罩層為氮化鈦、非晶碳、光致抗蝕劑或氮化矽層。
  7. 如申請專利範圍第1項至第3項中任一項之方法,其中在HF沖洗之後該孔口具有介於約1:1與約20:1之間的縱橫比。
  8. 如申請專利範圍第1項至第3項中任一項之方法,其中該有機氟化合物具有式R(3-a)-N-Ha,其中a=1-2且R獨立地具有式HaFbCc,其中a=1-11,b=1-11且c=1-5。
  9. 如申請專利範圍第8項之方法,其中該有機氟化合物為2,2,2-三氟乙胺(C2H4F3N)、2,2,3,3,3-五氟丙胺(C3H4F5N)、2,2,3,3,4,4,4-七氟丁胺(C4H4F7N)、1,1,1,3,3,3-六氟異丙胺(C3H3F6N)或雙(2,2,2-三氟乙基)胺(C4H5F6N)。
TW106145725A 2016-12-31 2017-12-26 用於低k值蝕刻製程期間最小化側壁損壞的方法 TWI746753B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/396,486 2016-12-31
US15/396,486 US20170110336A1 (en) 2016-12-31 2016-12-31 Methods for minimizing sidewall damage during low k etch processes

Publications (2)

Publication Number Publication Date
TW201841879A TW201841879A (zh) 2018-12-01
TWI746753B true TWI746753B (zh) 2021-11-21

Family

ID=58524213

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106145725A TWI746753B (zh) 2016-12-31 2017-12-26 用於低k值蝕刻製程期間最小化側壁損壞的方法

Country Status (5)

Country Link
US (2) US20170110336A1 (zh)
JP (1) JP6974468B2 (zh)
KR (1) KR102546860B1 (zh)
TW (1) TWI746753B (zh)
WO (1) WO2018126202A1 (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6875811B2 (ja) * 2016-09-16 2021-05-26 株式会社Screenホールディングス パターン倒壊回復方法、基板処理方法および基板処理装置
KR102311328B1 (ko) * 2017-08-18 2021-10-14 오씨아이 주식회사 실리콘 질화막 식각 방법 및 이를 이용한 반도체 소자의 제조 방법
JP6883495B2 (ja) * 2017-09-04 2021-06-09 東京エレクトロン株式会社 エッチング方法
US10410878B2 (en) * 2017-10-31 2019-09-10 American Air Liquide, Inc. Hydrofluorocarbons containing —NH2 functional group for 3D NAND and DRAM applications
US10615037B2 (en) * 2018-08-17 2020-04-07 International Business Machines Corporation Tone reversal during EUV pattern transfer using surface active layer assisted selective deposition
SG11202107622UA (en) * 2019-01-23 2021-08-30 Central Glass Co Ltd Dry etching method, and dry etching agent and storage container therefor
US11515203B2 (en) * 2020-02-05 2022-11-29 Tokyo Electron Limited Selective deposition of conductive cap for fully-aligned-via (FAV)
WO2022080274A1 (ja) * 2020-10-15 2022-04-21 昭和電工株式会社 フルオロ-2-ブテンの保管方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005142255A (ja) * 2003-11-05 2005-06-02 Ulvac Japan Ltd 低誘電率層間絶縁膜のドライエッチング方法
US20150371869A1 (en) * 2015-08-31 2015-12-24 American Air Liquide, Inc. Nitrogen-containing compounds for etching semiconductor structures

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2730543A (en) 1950-05-20 1956-01-10 Minnesota Mining & Mfg Fluorinated nitriles
US2704769A (en) 1951-05-31 1955-03-22 Minnesota Mining & Mfg Preparation of alpha-hydroperfluoronitriles
US3585218A (en) 1967-05-24 1971-06-15 Minnesota Mining & Mfg Preparation of difluoramines by oxidation with 1,1-bis(fluoroxy)perfluoroalkane
US3671509A (en) 1971-03-22 1972-06-20 Du Pont Copolymers of fluoroketone imines with polymerizable unsaturated compounds
US5242538A (en) 1992-01-29 1993-09-07 Applied Materials, Inc. Reactive ion etch process including hydrogen radicals
US5814563A (en) 1996-04-29 1998-09-29 Applied Materials, Inc. Method for etching dielectric using fluorohydrocarbon gas, NH3 -generating gas, and carbon-oxygen gas
US5843847A (en) 1996-04-29 1998-12-01 Applied Materials, Inc. Method for etching dielectric layers with high selectivity and low microloading
US6387287B1 (en) 1998-03-27 2002-05-14 Applied Materials, Inc. Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
US6569774B1 (en) 2000-08-31 2003-05-27 Micron Technology, Inc. Method to eliminate striations and surface roughness caused by dry etch
US6413877B1 (en) 2000-12-22 2002-07-02 Lam Research Corporation Method of preventing damage to organo-silicate-glass materials during resist stripping
US20020121500A1 (en) 2000-12-22 2002-09-05 Rao Annapragada Method of etching with NH3 and fluorine chemistries
US6777344B2 (en) 2001-02-12 2004-08-17 Lam Research Corporation Post-etch photoresist strip with O2 and NH3 for organosilicate glass low-K dielectric etch applications
JP2002289577A (ja) * 2001-03-27 2002-10-04 Ulvac Japan Ltd 基板上に堆積した有機珪素化合物を含有する材料の薄膜のエッチング法
US7311852B2 (en) 2001-03-30 2007-12-25 Lam Research Corporation Method of plasma etching low-k dielectric materials
US6508948B2 (en) 2001-06-13 2003-01-21 Air Products And Chemicals, Inc. Cyanuric fluoride and related compounds for anisotropic etching
US20060062914A1 (en) 2004-09-21 2006-03-23 Diwakar Garg Apparatus and process for surface treatment of substrate using an activated reactive gas
US20060183055A1 (en) 2005-02-15 2006-08-17 O'neill Mark L Method for defining a feature on a substrate
US7939422B2 (en) 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US20100105595A1 (en) 2008-10-29 2010-04-29 Wai Mun Lee Composition comprising chelating agents containing amidoxime compounds
KR101660488B1 (ko) 2010-01-22 2016-09-28 삼성전자주식회사 3차원 반도체 장치 및 그 제조 방법
FR2972452B1 (fr) 2011-03-09 2013-03-15 Rhodia Operations Procede de preparation du difluoroacetonitrile et de ses derives
US9093389B2 (en) 2013-01-16 2015-07-28 Applied Materials, Inc. Method of patterning a silicon nitride dielectric film
TWI612182B (zh) * 2013-09-09 2018-01-21 液態空氣喬治斯克勞帝方法研究開發股份有限公司 用蝕刻氣體蝕刻半導體結構的方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005142255A (ja) * 2003-11-05 2005-06-02 Ulvac Japan Ltd 低誘電率層間絶縁膜のドライエッチング方法
US20150371869A1 (en) * 2015-08-31 2015-12-24 American Air Liquide, Inc. Nitrogen-containing compounds for etching semiconductor structures

Also Published As

Publication number Publication date
KR102546860B1 (ko) 2023-06-22
WO2018126202A1 (en) 2018-07-05
JP6974468B2 (ja) 2021-12-01
TW201841879A (zh) 2018-12-01
US20170110336A1 (en) 2017-04-20
KR20190099458A (ko) 2019-08-27
US20190326126A1 (en) 2019-10-24
JP2020503677A (ja) 2020-01-30
US11024513B2 (en) 2021-06-01

Similar Documents

Publication Publication Date Title
TWI716443B (zh) 用於蝕刻半導體結構的含氮化合物
TWI746753B (zh) 用於低k值蝕刻製程期間最小化側壁損壞的方法
TWI756330B (zh) 用於蝕刻半導體結構之含碘化合物
US10347498B2 (en) Methods of minimizing plasma-induced sidewall damage during low K etch processes
TW202204297A (zh) 用於蝕刻半導體結構之含碘的氟碳化合物及氫氟烴化合物
TW202331840A (zh) 用於蝕刻半導體結構的含氧和碘的氫氟烴化合物
TW202321513A (zh) 使用含矽氫氟烴之蝕刻方法