US20170110336A1 - Methods for minimizing sidewall damage during low k etch processes - Google Patents

Methods for minimizing sidewall damage during low k etch processes Download PDF

Info

Publication number
US20170110336A1
US20170110336A1 US15/396,486 US201615396486A US2017110336A1 US 20170110336 A1 US20170110336 A1 US 20170110336A1 US 201615396486 A US201615396486 A US 201615396486A US 2017110336 A1 US2017110336 A1 US 2017110336A1
Authority
US
United States
Prior art keywords
layer
low
etching
formula
approximately
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US15/396,486
Other languages
English (en)
Inventor
Chih-Yu Hsu
Peng Shen
Nathan Stafford
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Air Liquide Electronics US LP
Original Assignee
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude filed Critical LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Priority to US15/396,486 priority Critical patent/US20170110336A1/en
Publication of US20170110336A1 publication Critical patent/US20170110336A1/en
Assigned to L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude reassignment L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HSU, CHIH-YU, SHEN, PENG
Assigned to AIR LIQUIDE ELECTRONICS U.S. LP reassignment AIR LIQUIDE ELECTRONICS U.S. LP ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: STAFFORD, NATHAN
Priority to TW106145725A priority patent/TWI746753B/zh
Priority to JP2019533634A priority patent/JP6974468B2/ja
Priority to KR1020197020539A priority patent/KR102546860B1/ko
Priority to US16/474,667 priority patent/US11024513B2/en
Priority to PCT/US2017/069075 priority patent/WO2018126202A1/en
Priority to US15/923,722 priority patent/US10347498B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate

Definitions

  • the transistors on a microprocessor are connected to form very complex circuitry using copper wiring.
  • the copper wires are insulated using a low-k dielectric material.
  • the low-k dielectric material is typically a hydrogenated carbon-doped silicon oxide (SiCOH), wherein the free surfaces are terminated with methyl groups (CH 3 ) bound to silicon.
  • Processing steps such as etching or chemical mechanical polishing, effectively remove the methyl terminations, leaving either dangling bonds or hydroxyl groups (Si—OH).
  • Si—OH hydroxyl groups
  • the film becomes more hydrophilic and can readily absorb moisture. This in turn leads to an increase in the dielectric constant, the degree of which depends on the severity of the damaging process.
  • Ji et al. U.S. Pat. No. 5,814,563 disclose using a mixture of a fluorohydrocarbon, carbon-oxygen, and NH 3 -generating gases to achieve high selectivity of dielectric (such as SiO and SiN) to p-Si layers.
  • Shane US Pat App Pub No 2003/0162395 discloses addition of a nitrogen-comprising gas to a fluorocarbon to deposit a polymer on the mask to improve selectivity while etching silicon dioxide layer.
  • Nemani et al. (US Pat App Pub No 2014/0199851) disclose using a plasma process performed by flowing NF 3 and NH 3 to remove the modified portion of silicon nitride layer to pattern a silicon nitride dielectric film.
  • Hamrah et al. U.S. Pat. No. 5,242,538 discloses using CF 4 and NH 3 etching gases and selectivity of silicon oxide to polysilicon of up to 100:1 was observed.
  • Pu et al. U.S. Pat. No. 5,843,847 also discloses adding an additional nitrogen gas to a fluorinated etching gas to assist in feature dimensional control.
  • Nitrogen containing compounds have been used as etching gases.
  • Khandelwal, et al. (“Dry removal technology for advanced CMOS devices”, Nanochip Tech. J., vol. 11, issue 2, 2013, p 17-19) disclose an in-situ dry removal process using NH 4 F as etchant.
  • Garg et al. (US Pat App Pub No 2006/0062914) disclose an activated reactive gas to treat the surface of a substrate.
  • the activated reactive gas may include a large variety of fluorine-containing gases, including C 3 F 3 N 3 , fluoroamines such as CF 5 N, fluoronitriles such as C 2 F 3 N, C 3 F 6 N, and CF 3 NO.
  • Felker et al. disclose perfluorinated heteroaromatic amine etching compounds, including cyanuric fluoride compounds.
  • One disclosed cyanuric fluoride compound is pentafluoropyridine C 5 F 5 N.
  • U.S. Pat. Nos. 6,569,774 and 7,153,779 to Trapp disclose a plasma etch process for forming a high aspect ratio contact opening through a silicon oxide layer. At least one etch gas is used that includes one or more nitrogen-comprising gases to deposit a polymeric surface material during the etching for maintaining a masking layer over the silicon oxide layer.
  • U.S. Pat. No. 6,413,877 to Annapragada discloses a method for making an etched organo-silicate-glass (OSG) layer over a substrate.
  • the patterned resist mask is stripped without stripping the sidewalls using a medium density plasma of N 2 /O 2 , N 2 /H 2 , or N 2 /NH 3 .
  • U.S. Pat. No. 6,777,344 and US Pat App Pub No 2004/0211517 to Annapragada et al. disclose a process for stripping photoresist from a semiconductor wafer formed with at least one layer of OSG dielectric and a method of etching a stack using a fluorine-containing gas and an ammonia-containing gas, respectively.
  • Nitrogen plasma or co-reactant (N 2 , NH 3 ) with fluorocarbon gases for low-k etching/stripping are also report in several studies. See, e.g., Y. Miyawaki et al., JJAP 52 (2013) 020204; S K Yang et al., JKPS 52 (2008) 1786; H. Nagai et al., JJAP 42 (2003) L212; and X. Su, JVST B 25 (2007) 156.
  • a patterned mask layer is deposited on a low k layer.
  • the patterned mask layer has an opening that defines an area to produce an aperture in the low k layer.
  • the low k layer is etched with a plasma activated vapor of a nitrogen containing etching composition to produce an etched low k layer having the aperture having a first defined sidewall width.
  • a patterned mask layer is deposited on a low k layer.
  • the patterned mask layer has an opening that defines an area to produce an aperture in the low k layer.
  • the low k layer is etched with a plasma activated vapor of a nitrogen containing etching composition to produce an etched low k layer having the aperture having a first defined sidewall width.
  • Either of the disclosed processes may include one or more of the following aspects:
  • nitrogen containing etching compositions comprising an organofluorine compound having a C—N functional group.
  • the disclosed nitrogen containing etching compositions include one or more of the following aspects:
  • etch refers to a plasma etch process (i.e., a dry etch process) in which ion bombardment accelerates the chemical reaction in the vertical direction so that vertical sidewalls are formed along the edges of the masked features at right angles to the substrate (Manos and Flamm, Plasma Etching An Introduction, Academic Press, Inc. 1989 pp. 12-13).
  • the etching process produces apertures, such as vias, trenches, channel holes, gate trenches, staircase contacts, capacitor holes, contact holes, etc., in the substrate.
  • pattern etch or “patterned etch” refers to etching a non-planar structure, such as a patterned mask layer on a stack of silicon-containing films.
  • mask refers to a layer that resists etching.
  • the mask layer may be located above the layer to be etched.
  • etch stop refers to a layer that resists etching located below the layer to be etched that protects layers underneath.
  • device channel refers to layers that are part of actual device and any damage to it will affect device performance.
  • the term “aspect ratio” refers to a ratio of the height of a trench (or via) to the width of the trench (or the diameter of the via).
  • selectivity means the ratio of the etch rate of one material to the etch rate of another material.
  • selective etch or “selectively etch” means to etch one material more than another material, or in other words to have a greater or less than 1:1 etch selectivity between two materials.
  • R groups independently selected relative to other R groups bearing the same or different subscripts or superscripts, but is also independently selected relative to any additional species of that same R group.
  • R groups may, but need not be identical to each other or to R 2 or to R 3 .
  • values of R groups are independent of each other when used in different formulas.
  • film and “layer” may be used interchangeably. It is understood that a film may correspond to, or related to a layer, and that the layer may refer to the film. Furthermore, one of ordinary skill in the art will recognize that the terms “film” or “layer” used herein refer to a thickness of some material laid on or spread over a surface and that the surface may range from as large as the entire wafer to as small as a trench or a line.
  • NAND refers to a “Negated AND” or “Not AND” gate
  • 2D refers to 2 dimensional gate structures on a planar substrate
  • 3D refers to 3 dimensional or vertical gate structures, wherein the gate structures are stacked in the vertical direction.
  • CAS unique CAS registry numbers assigned by the Chemical Abstract Service are provided to identify the specific molecules disclosed.
  • the silicon-containing films such as silicon nitride and silicon oxide, are listed throughout the specification and claims without reference to their proper stoichoimetry.
  • the silicon-containing films may include pure silicon (Si) layers, such as crystalline Si, poly-silicon (p-Si or polycrystalline Si), or amorphous silicon; silicon nitride (Si k N l ) layers; or silicon oxide (Si n O m ) layers; or mixtures thereof, wherein k, l, m, and n, inclusively range from 0.1 to 6.
  • silicon nitride is Si k N l , where k and l each range from 0.5 to 1.5.
  • silicon nitride is Si 3 N 4 .
  • silicon oxide is Si n O m , where n ranges from 0.5 to 1.5 and m ranges from 1.5 to 3.5. More preferably, silicon oxide is SiO 2 .
  • SiO or silicon oxide in the following description represents Si n O m containing layers.
  • the silicon-containing film could also be a silicon oxide based dielectric material such as organic based or silicon oxide based low-k dielectric materials such as the Black Diamond II or III material by Applied Materials, Inc. with a formula of SiOCH. Silicon-containing film may also include Si a O b N c where a, b, c range from 0.1 to 6.
  • the silicon-containing films may also include dopants, such as B, C, P, As and/or Ge.
  • FIG. 1 a is a cross-sectional side view of exemplary layers in back end metallization before etch of the low k layer;
  • FIG. 1 b is a cross-sectional side view of exemplary layers in back end metallization after etch of the low k layer;
  • FIG. 2 is a cross-sectional side view of an exemplary reactor system utilized in the exemplary deposition and etching tests;
  • FIG. 3 is a graph demonstrating etch rates of low k, SiCN, SiN, and TiN by CF 4 , C 3 HF 4 N with O 2 , C 2 HF 2 N with O 2 , or C 2 H 4 F 3 N with O 2 ;
  • FIG. 4 is a graph demonstrating the low k selectivity of the specified etch gases to SiCN, SiN, and TiN;
  • FIG. 5 is a graph showing the FTIR spectra of a low k layer at approximately 1300-900 cm ⁇ 1 before and after etching by the specified gases;
  • FIG. 6 is a graph focusing on the FTIR spectra of FIG. 5 at approximately 1270 cm ⁇ 1 before and after etching by the specified gases;
  • FIG. 7 is an X-ray Photoelectron Spectrometric (XPS) graph demonstrating the percent C1 before and after etching of a low k layer by the specified gases;
  • FIG. 8 a is a scanning electron microscopic picture of the patterned wafer etched by C 3 HF 4 N before HF dipping;
  • FIG. 8 b is a scanning electron microscopic picture of the patterned wafer etched by C 3 HF 4 N after HF dipping;
  • FIG. 9 is an XPS graph of a polymer film formed by plasma deposition using C 3 HF 4 N;
  • FIG. 10 is an XPS graph of a polymer film formed by plasma deposition using C 2 H 4 F 3 N;
  • FIG. 11 is a graph showing the FTIR spectra of a low k layer at approximately 1300-1200 cm ⁇ 1 before and after etching by C 2 H 3 F 3 N 2 ;
  • FIG. 12 is an XPS graph demonstrating the percent C1 before and after etching of the low k layer by C 2 H 3 F 3 N 2 ;
  • FIG. 13 is a graph showing the FTIR spectra of the low k wafer at approximately 1350-1200 cm ⁇ 1 before and after etching by CH 2 F 2 and CF 4 ;
  • FIG. 14 is an XPS graph demonstrating the percent C1 before and after etching of a low k layer by CH 2 F 2 and CF 4 .
  • the low k etch process may be used for plasma etching low k films during back end metallization.
  • the disclosed methods include the steps of i) introducing a vapor of a nitrogen containing etching composition into a reaction chamber containing a silicon-containing film on a substrate, wherein the nitrogen containing etching composition is an organofluorine compound containing a C ⁇ N, C ⁇ N, or C—N functional group; ii) optionally introducing an inert gas into the reaction chamber; and iii) activating a plasma to produce an activated nitrogen containing etching composition capable of etching the silicon-containing film from the substrate.
  • organofluorine compounds comprising a C ⁇ N functional group have the general formulae:
  • the H a F b C c functional group may be linear, branched, or cyclic.
  • the H a F b C functional group may be saturated or unsaturated.
  • the C ⁇ N functionality may provide improved etch resistant polymer deposition benefits compared to C x F y polymers, wherein x ranges from 0.01 to 1 and y ranges from 0.01 to 4.
  • the C ⁇ N functional group may provide less nitrogen in any resulting polymer passivation layer than provided by the C—N functional group, which may be desirable in smaller structures.
  • the etch rate of SiN may be enhanced while maintaining high selectivity to the mask layer.
  • organofluorine compounds comprising a C ⁇ N functional group include trifluoroacetonitrile (C 2 F 3 N), nonafluoropentanitrile (C 5 F 9 N), pentafluoroallyl cyanide (C 4 F 5 N), difluoroacetonitrile (C 2 HF 2 N), 2,3,3,3-tetrafluoropropionitrile (C 3 HF 4 N), 2,2,3,3-tetrafluoropropionitrile, 4,4,4-Trifluorocrotononitrile, 3,3,3-Trifluoropropionitrile, fluoroacetonitrile, octafluorohexane-1,6-dinitrile, 1,1-bis(trifluoromethyl)-2,2-dicyanoethylene, or 2-[1-(difluoromethyl)-2,2,2-trifluoroethylidene]-propanedinitrile.
  • the organofluorine compound is 2,3,3,3-te
  • organofluorine compounds comprising a C ⁇ N functional group have the general formula:
  • the H a F b C c functional group may be linear, branched, or cyclic.
  • the H a F b C c functional group may be saturated or unsaturated. Applicants believe that the C ⁇ N functionality may provide improved selectivity among silicon layers compared to C x F y polymers, wherein x ranges from 0.01 to 1 and y ranges from 0.01 to 4.
  • the etch rate of SiN may be enhanced while maintaining high selectivity to the mask layer.
  • Exemplary organofluorine compounds comprising the C ⁇ N functional group include N,1,1,1,3,3,3-heptafluoro-propanamine, hexafluoroacetone imine (C 3 HF 6 N), or 1,1,1,6,6,6-Hexafluoro-3-azahex-3-ene.
  • organofluorine compounds comprising a C—N functional group have the general formula:
  • the H a F b C c functional group may be linear, branched, or cyclic.
  • the H a F b C c functional group may be saturated or unsaturated.
  • the C—N functionality may provide improved selectivity among silicon layers compared to C x F y polymers, wherein x ranges from 0.01 to 1 and y ranges from 0.01 to 4.
  • the C—N functional group may provide more nitrogen in any resulting polymer passivation layer than provided by the C ⁇ N functional group.
  • the etch rate of SiN may be enhanced while maintaining high selectivity to the mask layer.
  • Exemplary organofluorine compounds comprising the C—N functional group include 2,2,2-trifluoroethylamine (C 2 H 4 F 3 N), 2,2,3,3,3-pentafluoropropylamine (C 3 H 4 F 5 N), 2,2,3,3,4,4,4-heptafluorobutylamine (C 4 H 4 F 7 N), 1,1,1,3,3,3-hexafluoroisopropylamine (C 3 H 3 F 6 N), or bis(2,2,2-trifluoroethyl)amine (C 4 H 5 F 6 N).
  • organofluorine compounds are listed in Table 2. These compounds are commercially available and their structure formula, CAS numbers, and boiling points are also included in Table 2.
  • the disclosed nitrogen-containing etching compositions may provide high selectivity to mask layers, etch stop layers and device channel materials and no profile distortion in high aspect ratio structures, such as those having an aspect ratio ranging from 10:1 to 200:1 such as DRAM and 3D NAND applications.
  • the disclosed nitrogen-containing etching compositions may also provide high selectivity to mask layers or silicon nitride, such as those having an aspect ratio ranging from 1:1 to 50:1 in contact etch applications.
  • the disclosed nitrogen-containing etching compositions may provide infinite selectivity for wide process conditions of etching.
  • the selectivity refers to the etching rate ratio of two different layers.
  • the selectivity for SiO layer vs. an amorphous carbon (a-C) layer is the etch rate of the SiO divided by the etching rate of the a-C layer.
  • the disclosed nitrogen-containing etching compositions may provide improved selectivity between the silicon-containing films and mask materials, less damage to channel region, and reduced bowing in pattern high aspect ratio structures.
  • the disclosed nitrogen-containing etching compositions may also etch through alternating layers of p-Si, SiO, and/or SiN, resulting in a vertical etch profile (i.e., demonstrating selectivity ranging from 2:1 to 1:2 between the alternating layers).
  • the disclosed nitrogen-containing etching compositions may comprise greater than 95% v/v of the organofluorine compound, preferably greater than 99.99% v/v purity, and more preferably greater than 99.999% v/v purity.
  • the disclosed nitrogen-containing etching compositions contain less than 5% by volume trace gas impurities, with less than 150 ppm by volume of impurity gases, such as N 2 and/or H 2 O and/or CO 2 , contained in said trace gaseous impurities.
  • the water content in the plasma etching gas is less than 20 ppmw by weight.
  • the purified product may be produced by distillation and/or passing the gas or liquid through a suitable adsorbent, such as a 4A molecular sieve.
  • the disclosed nitrogen-containing etching compositions contain less than 10% v/v, preferably less than 1% v/v, more preferably less than 0.1% v/v, and even more preferably less than 0.01% v/v of any of the isomers of the organofluorine compound, which may be purified by distillation of the gas or liquid to remove isomers and may provide better process repeatability.
  • the disclosed nitrogen-containing etching compositions may contain between 5% v/v and 50% v/v of isomers of the organofluorine compound, particularly when the isomer mixture provides improved process parameters or if isolation of the target isomer is too difficult or expensive.
  • the disclosed nitrogen-containing etching compositions may comprise between approximately 50% v/v and approximately 75% v/v 2,3,3,3-tetrafluoropropionitrile and between approximately 25% v/v and approximately 50% v/v 2,2,3,3-tetrafluoropropionitrile.
  • the mixture of isomers may reduce the need for two or more gas lines to the reaction chamber.
  • Some of the disclosed nitrogen-containing etching compositions are gaseous at room temperature and atmospheric pressure.
  • their gas form may be produced by vaporizing the compositions through a conventional vaporization step, such as direct vaporization or by bubbling with inert gas (N 2 , Ar, He).
  • the non-gaseous compositions may be fed in liquid state to a vaporizer (direct liquid injection or “DLI”) where it is vaporized before it is introduced into a reactor.
  • a vaporizer direct liquid injection or “DLI”
  • the disclosed nitrogen-containing etching compositions are suitable for plasma etching semiconductor structures, such as, channel holes, gate trenches, staircase contacts, capacitor holes, contact holes, etc., in the silicon-containing films.
  • the disclosed nitrogen-containing etching compositions are not only compatible with currently available mask materials but also compatible with the future generations of mask materials because the disclosed nitrogen-containing etching compositions induce little to no damage on the mask along with good profile of high aspect ratio structures.
  • the disclosed nitrogen-containing etching compositions may produce vertical etched patterns having minimal to no bowing, pattern collapse, or roughness.
  • the disclosed nitrogen-containing etching compositions may deposit an etch-resistant polymer layer during etching to help reduce the direct impact of the oxygen and fluorine radicals during the etching process.
  • the disclosed nitrogen-containing etching compositions may also reduce damage to p-Si or crystalline Si channel structure during etching.
  • the disclosed nitrogen-containing etching compositions are suitably volatile and stable during the etching process for delivery into the reactor/chamber.
  • Material compatibility tests are important to determine if any of the disclosed nitrogen-containing etching compositions will react with chamber materials and degrade the performance of the chamber with short term or long term use.
  • Key materials involved in parts of the chamber, valves, etc. include stainless steel, aluminum, nickel, PCTFE, PVDF, PTFE and other metals and polymers. At times these materials are exposed to high temperatures, for example, higher than 20° C., and high pressures, for example, higher than 1 atm, which may enhance their degradation.
  • the metrology methods may include visual inspection, weight measurement, measuring nanometer scale changes in scanning electron microscopy (SEM), tensile strength, hardness, etc.
  • the disclosed nitrogen-containing etching compositions may be used to plasma etch silicon-containing films on a substrate.
  • the disclosed plasma etching method may be useful in the manufacture of semiconductor devices such as NAND or 3D NAND gates or Flash or DRAM memory or transistors such as fin-shaped field-effect transistor (FinFET), Bulk complementary metal-oxide-semiconductor (Bulk CMOS), fully depleted silicon-on-insulator (FD-SOI) structures.
  • the disclosed nitrogen-containing etching compositions may be used in other areas of applications, such as different front end of the line (FEOL) and back end of the line (BEOL) etch applications.
  • the disclosed nitrogen-containing etching compositions are used for etching Si in 3D through silicon via (TSV) etch applications for interconnecting memory to logic on a substrate.
  • TSV silicon via
  • the plasma etching method includes providing a reaction chamber having a substrate disposed therein.
  • the reaction chamber may be any enclosure or chamber within a device in which etching methods take place such as, and without limitation, reactive ion etching (RIE), capacitively coupled plasma (CCP) with single or multiple frequency RF sources, inductively coupled plasma (ICP), or microwave plasma reactors, or other types of etching systems capable of selectively removing a portion of the silicon-containing film or generating active species.
  • RIE reactive ion etching
  • CCP capacitively coupled plasma
  • ICP inductively coupled plasma
  • microwave plasma reactors or other types of etching systems capable of selectively removing a portion of the silicon-containing film or generating active species.
  • Suitable commercially available plasma reaction chambers include but are not limited to the Applied Materials magnetically enhanced reactive ion etcher sold under the trademark eMAXTM or the Lam Research Dual CCP reactive ion etcher dielectric etch product family sold under the trademark 2300® FlexTM.
  • the RF power in such may be pulsed to control plasma properties and thereby improving the etch performance (selectivity and damage) further.
  • the plasma-treated reactant may be produced outside of the reaction chamber.
  • the MKS Instruments' ASTRONi® reactive gas generator may be used to treat the reactant prior to passage into the reaction chamber.
  • the reactant O 2 Operated at 2.45 GHz, 7 kW plasma power, and a pressure ranging from approximately 0.5 Torr to approximately 10 Torr, the reactant O 2 may be decomposed into two O. radicals.
  • the remote plasma may be generated with a power ranging from about 1 kW to about 10 kW, more preferably from about 2.5 kW to about 7.5 kW.
  • the reaction chamber may contain one or more than one substrate.
  • the reaction chamber may contain from 1 to 200 silicon wafers having from 25.4 mm to 450 mm diameters.
  • the substrates may be any suitable substrates used in semiconductor, photovoltaic, flat panel or LCD-TFT device manufacturing. Examples of suitable substrates include wafers, such as silicon, silica, glass, or GaAs wafers. The wafer will have multiple films or layers on it from previous manufacturing steps, including silicon-containing films or layers. The layers may or may not be patterned.
  • suitable layers include without limitation silicon (such as amorphous silicon, p-Si, crystalline silicon, any of which may further be p-doped or n-doped with B, C, P, As, and/or Ge), silica, silicon nitride, silicon oxide, silicon oxynitride, Si a O b H c C d N e , (wherein a>0; b, c, d, e ⁇ 0), mask layer materials such as amorphous carbon, antireflective coatings, photoresist materials, tungsten, titanium nitride, tantalum nitride or combinations thereof, etch stop layer materials such as silicon nitride, polysilicon, crystalline silicon, silicon carbide, SiCN or combinations thereof, device channel materials such crystalline silicon, epitaxial silicon, doped silicon, Si a O b H c C d N e , (wherein a>0; b, c, d, e ⁇ 0
  • the silicon oxide layer may form a dielectric material, such as an organic based or silicon oxide based low-k dielectric material (e.g., a porous SiCOH film).
  • a dielectric material such as an organic based or silicon oxide based low-k dielectric material (e.g., a porous SiCOH film).
  • An exemplary low-k dielectric material is sold by Applied Materials under the trade name Black Diamond II or III.
  • layers comprising tungsten or noble metals e.g. platinum, palladium, rhodium or gold
  • examples of the silicon-containing films may be Si a O b H c C d N e , (wherein a>0; b, c, d, e ⁇ 0).
  • the wafer and any associated layers thereon are referred to as substrates.
  • a substrate 100 may include a stack of multiple layers as shown in FIG. 1 a .
  • FIG. 1 a is a cross-sectional side view of exemplary layers 100 in back end metallization before etch of the low k layer.
  • a copper wire 101 is surrounded by a low k layer 102 a .
  • An etch stop 103 a is located between the copper wire 101 and a second low k layer 102 b .
  • a second etch stop 103 b is located between the second low k layer 102 b and a hard mask 104 .
  • An organic planarized layer 105 is located on the hard mask 104 .
  • a bottom anti-reflective coating layer 106 is located on the organic planarized layer 105 .
  • a photoresist 107 is located on the bottom anti-reflective coating layer 106 .
  • the bottom anti-reflective coating 106 , organic planarized 105 , hard mask 104 , and second etch stop 103 b layers are all etched to expose the surface of the second low k layer 102 b prior to the disclosed process.
  • the exemplary layers in FIG. 1 a are provided for exemplary purposes only and that the disclosed nitrogen-containing etching compositions may be used to etch other types of stacks of layers.
  • FIG. 1 b is a cross-sectional side view of exemplary layers in back end metallization after etch of the second low k layer 102 b .
  • the low k etch process produces damage 102 c on the sidewalls of the second low k layer 102 b . More particularly, the low k etch process removes the methyl terminations of the low k layer, leaving either dangling bonds or hydroxyl groups (Si—OH). As a result, the film becomes more hydrophilic and can readily absorb moisture. This in turn leads to an increase in the dielectric constant, the degree of which depends on the severity of the damaging process.
  • the disclosed nitrogen containing etching compositions produce fragments during the plasma process that are suitable for both anisotropically etching the second low k layer 102 b and depositing a N-containing polymer passivation layer (not shown) on sidewalls of the second low k layer 102 b .
  • the disclosed nitrogen containing etching compositions produce less damage to the second low k layer 102 b than prior art etching compounds.
  • FIGS. 1 a and 1 b are not drawn to scale.
  • the vapor of the disclosed nitrogen-containing etching compositions is introduced into the reaction chamber containing the substrate and silicon-containing films.
  • the vapor may be introduced to the chamber at a flow rate ranging from approximately 0.1 sccm to approximately 1 slm.
  • the vapor may be introduced to the chamber at a flow rate ranging from approximately 5 sccm to approximately 50 sccm.
  • the vapor may be introduced to the chamber at a flow rate ranging from approximately 25 sccm to approximately 250 sccm.
  • the flow rate may vary from tool to tool.
  • the disclosed nitrogen-containing etching compositions may be supplied either in neat form or in a blend with an inert gas, such as N 2 , Ar, He, Xe, etc, or solvent.
  • the disclosed nitrogen-containing etching compositions may be present in varying concentrations in the blend.
  • the vapor form of the nitrogen-containing etching compositions may be produced by vaporizing the neat or blended nitrogen-containing etching composition solution through a conventional vaporization step such as direct vaporization or by bubbling.
  • the neat or blended nitrogen-containing etching compositions may be fed in liquid state to a vaporizer where it is vaporized before it is introduced into the reactor.
  • the neat or blended nitrogen-containing etching compositions may be vaporized by passing a carrier gas into a container containing the disclosed nitrogen-containing etching compositions or by bubbling the carrier gas into the disclosed nitrogen-containing compositions.
  • the carrier gas may include, but is not limited to, Ar, He, N 2 , and mixtures thereof. Bubbling with a carrier gas may also remove any dissolved oxygen present in the neat or blended nitrogen-containing etching compositions solution.
  • the carrier gas and disclosed nitrogen-containing etching compositions are then introduced into the reactor as a vapor.
  • the container containing the disclosed nitrogen-containing etching compositions may be heated to a temperature that permits the nitrogen-containing etching compositions to be in liquid phase and to have a sufficient vapor pressure for delivery into an etching tool.
  • the container may be maintained at temperatures in the range of, for example, approximately 0° C. to approximately 150° C., preferably from approximately 25° C. to approximately 100° C., more preferably from approximately 25° C. to approximately 50° C. More preferably, the container is maintained at room temperature (approximately 25° C.) in order to avoid heating lines to the etch tool.
  • the temperature of the container may be adjusted in a known manner to control the amount of nitrogen-containing composition vaporized.
  • the nitrogen-containing etching compositions are delivered in purity ranging from 95% to 99.999% by volume and may be purified with known standard purification techniques for removal of CO, CO 2 , N 2 , H 2 O, HF, H 2 S, SO 2 , halides, and other hydrocarbons or hydrohalocarbons.
  • An inert gas is also introduced into the reaction chamber in order to sustain the plasma.
  • the inert gas may be He, Ar, Xe, Kr, Ne, N 2 or combinations thereof.
  • the etching gas and the inert gas may be mixed prior to introduction to the chamber, with the inert gas comprising between approximately 0.01% v/v and approximately 99.9% v/v of the resulting mixture.
  • the inert gas may be introduced to the chamber continuously while the etching gas is introduced to the chamber in pulses.
  • the vapor of the disclosed etching gas and inert gas are activated by plasma to produce an activated etching gas.
  • the plasma decomposes the etching gas into radical form (i.e., the activated etching gas).
  • the plasma may be generated by applying RF or DC power.
  • the plasma may be generated with a RF power ranging from about 25 W to about 10,000 W.
  • the plasma may be generated remotely or within the reactor itself.
  • the plasma may be generated in dual CCP or ICP mode with RF applied at both electrodes.
  • RF frequency of plasma may range from 200 KHz to 1 GHz. Different RF sources at different frequency may be coupled and applied at same electrode. Plasma RF pulsing may be further used to control molecule fragmentation and reaction at substrate.
  • One of skill in the art will recognize methods and apparatus suitable for such plasma treatment.
  • a quadrupole mass spectrometer may measure the activated etching gas from the chamber exhaust to determine the types and numbers of species produced. If necessary, the flow rate of the etching gas and/or the inert gas may be adjusted to increase or decrease the number of radical species produced.
  • the disclosed etching gases may be mixed with other gases either prior to introduction into the reaction chamber or inside the reaction chamber.
  • the gases may be mixed prior to introduction to the chamber in order to provide a uniform concentration of the entering gas.
  • the vapor of the nitrogen-containing composition may be introduced into the chamber independently of the other gases such as when two or more of the gases react.
  • the etching gas and the inert gas are the only two gases that are used during the etching process.
  • Exemplary other gases include, without limitation, oxidizers such as O 2 , O 3 , CO, CO 2 , COS, NO, N 2 O, NO 2 , SO 2 , and combinations thereof.
  • oxidizers such as O 2 , O 3 , CO, CO 2 , COS, NO, N 2 O, NO 2 , SO 2 , and combinations thereof.
  • the disclosed etching gases and the oxidizer may be mixed together prior to introduction into the reaction chamber.
  • the oxidizer may be introduced continuously into the chamber and the etching gas introduced into the chamber in pulses.
  • the oxidizer may comprise between approximately 0.01% v/v to approximately 99.99% v/v of the mixture introduced into the chamber (with 99.99% v/v representing introduction of almost pure oxidizer for the continuous introduction alternative).
  • exemplary gases with which the etching gas may be mixed include additional etching gases, such as cC 4 F 5 , C 4 F 8 , C 4 F 6 , CF 4 , CH 3 F, CF 3 H, CH 2 F 2 , COS, CS 2 , CF 3 I, C 2 F 3 I, C 2 F 5 I, SO 2 , trans-1,1,1,4,4,4-hexafluoro-2-butene (trans-C 4 H 2 F 6 ), cis-1,1,1,4,4,4-hexafluoro-2-butene (cis-C 4 H 2 F 6 ), hexafluoroisobutene (C 4 H 2 F 6 ), trans-1,1,2,2,3,4-hexafluorocyclobutane (trans-C 4 H 2 F 6 ), 1,1,2,2,3-pentafluorocyclobutane (C 4 H 3 F 5 ), 1,1,2,2-tetrafluorocyclobutane (C 4 H 4 F
  • the vapor of the etching gas and the additional gas may be mixed prior to introduction to the reaction chamber.
  • the additional etching gas may comprise between approximately 0.01% v/v to approximately 99.99% v/v of the mixture introduced into the chamber.
  • the vapor of 2,3,3,3-Tetrafluoropropionitrile is introduced into a 200 mm Dual CCP plasma etch tool using a controlled gas flow device.
  • the controlled gas flow device may be a mass flow controller or a bubbler design with inert gas flow to deliver the vapor of the desired molecule.
  • a special low pressure drop mass flow controller from Brooks Automation (No. GF120XSD), MKS Instruments, etc., may be used.
  • the pressure of the reaction chamber is set at approximately 30 mTorr. No gas source heating is necessary, as the vapor pressure of 2,3,3,3-Tetrafluoropropionitrile is approximately 498 torr at room temperature.
  • the distance between the two CCP electrodes is kept at 1.35 cm and the top electrode RF power is fixed at 750 W.
  • the bottom electrode RF power is varied to analyze the performance of the molecule.
  • the reaction chamber contains a substrate for back end metallization having layers similar to those shown in FIG. 1 a .
  • the second low k layer 102 b is patterned by the plasma of the disclosed nitrogen containing etching compositions (e.g., 2,3,3,3-Tetrafluoropropionitrile), oxygen, and Argon.
  • Argon is independently introduced into the chamber at a 250 sccm flow rate.
  • 2,3,3,3-Tetrafluoropropionitrile is independently introduced into the chamber at 15 sccm.
  • O 2 is independently introduced into the chamber and varied from 0 sccm to 20 sccm to determine optimum etching conditions.
  • An aperture having an aspect ratio ranging from approximately 1:1 to approximately 20:1 is produced, in which the copper lines may be formed. Any residual polymer and/or any residual reaction products are removed from the substrate using Piranha (H 2 SO 4 +H 2 O 2 +H 2 O), SC1 (1:1:100 NH 4 OH:H 2 O 2 :H 2 O), SC2 (1:1000 HCl:H2O), or HF.
  • Piranha H 2 SO 4 +H 2 O 2 +H 2 O
  • SC1 (1:1:100 NH 4 OH:H 2 O 2 :H 2 O
  • SC2 (1:1000 HCl:H2O
  • HF HF
  • difluoroacetonitrile is introduced into a 200 mm Dual CCP plasma etch tool using a controlled gas flow device.
  • the controlled gas flow device may be a mass flow controller. In case of high boiling point molecules, a special low pressure drop mass flow controller from BrooksAutomation (No. GF120XSD), MKS Instruments, etc., may be used.
  • the pressure of the reaction chamber is set at approximately 30 mTorr. No gas source heating is necessary, as the vapor pressure of difluoroacetonitrile is approximately 900 Torr at 20° C.
  • the distance between the two CCP electrodes is kept at 1.35 cm and the top electrode RF power is fixed at 750 W.
  • the bottom electrode RF power is varied to analyze the performance of difluoroacetonitrile.
  • the reaction chamber contains a substrate for back end metallization having a thick low k dielectric layer thereon, similar to the second low k layer 102 a shown in FIG. 1 a .
  • the low k dielectric layer has the formula Si w O x C y H z , wherein w ranges from 0.01 to 1, x range from 0.01 to 1, y ranges from 0.01 to 1, and z ranges from 0.01 to 1.
  • the low k layer may comprise pores to help lower its dielectric constant.
  • the low k layer has a dielectric constant ranging from approximately 2.5 to approximately 3.0.
  • the low k layer has a Young's modulus ranging from approximately 0 to approximately 10 GPa.
  • the bottom antireflective coating 107 , organic planarized 106 , hard mask 105 , and second etch stop 103 b layers are patterned by a fluorocarbon (e.g., CF 4 ) and/or oxygen-containing gas (e.g., O 2 ).
  • a fluorocarbon e.g., CF 4
  • oxygen-containing gas e.g., O 2
  • the mask layer 106 may be amorphous carbon, titanium nitride, photoresist, or silicon nitride.
  • Argon is independently introduced into the chamber at a 250 sccm flow rate.
  • Difluoroacetonitrile is independently introduced into the chamber at 15 sccm.
  • O 2 is independently introduced into the chamber at 0-20 sccm to determine optimum etching conditions.
  • An aperture having an aspect ratio ranging from approximately 1:1 to approximately 20:1 is produced, in which the copper lines may be formed.
  • the low k layers and the activated etching gas react to form volatile by-products that are removed from the reaction chamber.
  • the a-C mask, antireflective coating, and photoresist layer are less reactive with the activated etching gas.
  • the activated etching gas selectively reacts with the low k layers to form volatile by-products.
  • Any residual polymer and/or any residual reaction products are cleaned or removed from the substrate using Piranha (H 2 SO 4 +H 2 O 2 +H 2 O), SC1 (1:1:100 NH 4 OH:H 2 O 2 :H 2 O), SC2 (1:1000 HCl:H2O), or HF. Removal of the residual polymer may remove only a portion of or the entire damaged low k layer 102 c .
  • the aperture should maintain its aspect ratio after cleaning, while simultaneously maintaining the low k dielectric constant and Young's modulus of the low k layer.
  • the length or width of the aperture should remain within approximately 0 nm to approximately 11 nm of its original dimensions, preferably within approximately 0 nm to approximately 5 nm, and more preferably within approximately 0 nm to approximately 1 nm.
  • the temperature and the pressure within the reaction chamber are held at conditions suitable for the silicon-containing film to react with the activated etching gas.
  • the pressure in the chamber may be held between approximately 0.1 mTorr and approximately 1000 Torr, preferably between approximately 1 mTorr and approximately 10 Torr, more preferably between approximately 10 mTorr and approximately 1 Torr, and more preferably between approximately 10 mTorr and approximately 100 mTorr, as required by the etching parameters.
  • the substrate temperature in the chamber may range between about approximately ⁇ 196° C. to approximately 500° C., preferably between approximately ⁇ 120° C. to approximately 300° C., more preferably between approximately ⁇ 100° C. to approximately 50° C.; and more preferably between approximately ⁇ 10° C. to approximately 40° C.
  • Chamber wall temperatures may range from approximately ⁇ 196° C. to approximately 300° C. depending on the process requirements.
  • the reactions between the low k layers and the activated etching gas result in anisotropic removal of a desired section of the low k layers from the substrate.
  • Atoms of carbon may also be present in the low k layers.
  • the removal is due to a physical sputtering of the low k layer from plasma ions (accelerated by the plasma) and/or by chemical reaction of plasma species to convert Si to volatile species, such as SiF x , wherein x ranges from 1-4.
  • the plasma activated vapor of the disclosed nitrogen-containing etching compositions preferably exhibits high selectivity toward the mask and etches through the low k layer resulting in a vertical etch profile with no bowing or roughness. Additionally, the plasma activated vapor deposits polymer on sidewall to minimize feature profile deformation.
  • the plasma activated etching gas under different process conditions may selectively etch SiO from SiN.
  • the plasma activated etching gas may selectively etch SiO and/or SiN from mask layers, such as a-C, photoresist, p-Si, or silicon carbide; or from metal contact layers, such as Cu; or from channel regions consisting of SiGe or polysilicon regions.
  • the disclosed etch processes using the disclosed nitrogen-containing etching compositions as the etching gases produce channel holes, gate trenches, staircase contacts, capacitor holes, contact holes, etc., in the silicon-containing films.
  • the resulting aperture may have an aspect ratio ranging from approximately 10:1 to approximately 200:1 and a diameter ranging from approximately 5 nm to approximately 50 nm.
  • a channel hole etch produces apertures in the silicon-containing films having an aspect ratio greater than 60:1.
  • Typical materials that need to be etched may be SiO.
  • a process of etching SiO may be relevant to etching trenches in Borophosphosilicateglass (BPSG), Tetraethylorthosilicate (TEOS), or low deposition rate TEOS (LDTEOS).
  • An etch stop layer may be silicon nitride or silicon oxygen nitride (SiON) or poly silicon.
  • a mask material used may be a-C, p-Si, or photo resist materials.
  • the disclosed nitrogen-containing etching compositions are applied to etch SiO, SiN, p-Si and/or a-C substrate films.
  • etch performance of C 2 HF 2 N (CAS No. 359-12-6), C 3 HF 4 N (CAS No. 431-32-3), and C 2 H 4 F 3 N (CAS No. 753-90-2) nitrogen containing compositions are evaluated and compared against CF 4 .
  • the results show that C 2 HF 2 N, C 3 HF 4 N, and C 2 H 4 F 3 N nitrogen-containing etching compositions offer sidewall protection to maintain etch profile while preventing damage to the low k material and could be used for etching semiconductor structures, such as low k etch.
  • FIG. 2 is a cross-sectional side view of an exemplary reactor system applied in the following deposition and etching tests.
  • the reactor 800 includes a reactor chamber 802 . Inside the reactor chamber 802 , a wafer 806 attached on the top of a bottom electrode 804 may be placed in the bottom portion of the reactor chamber 802 , and a silicon top electrode showerhead 808 may be placed on the top portion of the reactor chamber 802 .
  • the bottom electrode 804 may be an electrostatic chuck having bias power applied thereto. For example, 2 MHz RF bias power may be applied to the bottom electrode 804 .
  • the wafer 806 may have multiple layers that need to be etched.
  • the silicon top electrode showerhead 808 has a plurality of holes 810 in the showerhead through which the gases pass.
  • the gases may be introduced into the reactor chamber 802 through gas inlet 812 and then pass through holes 810 in the showerhead 808 for uniform gas distribution.
  • Source power may be applied to the silicon top electrode showerhead 808 .
  • 27 MHz RF source power may be applied to the silicon top electrode showerhead 808 .
  • Between the silicon top electrode showerhead 808 and the bottom electrode 804 is the plasma region.
  • the gases passing through the holes 810 in the showerhead 808 may be ionized in the plasma region and then perform etching on the wafer 806 .
  • the gases may be removed by pumping the gases out of the reactor chamber 802 from outlet 814 .
  • Etching experiments were performed on four 1 ⁇ 1 cm 2 coupons, each having 500 nm of low k silicon oxide (Black Diamond II), SiCN, SiN, or TiN. Etch rates are measured using an ellipsometer and/or scanning electron microscope (SEM) by measuring the change in etch thickness as a function of etching time.
  • the coupons are placed on 200 mm diameter carrier wafer and held in contact by using double sided carbon tape obtained from 2spi manufacturer. Alternatively, thermal paste could be used to stick coupons on carrier wafer.
  • Reactor conditions were: RF power (200 W), bias power (200 W), chamber pressure (40 mTorr), Ar flow (250 sccm), etch gas flow (5 sccm), and amount of substrate etched (50% or approximately 250 nm).
  • the temperature in the reactor was approximately room temperature (i.e., approximately 20° C. to approximately 25° C.).
  • FIG. 3 is a graph demonstrating etch rates of low k (Black Diamond II or BDII) (filled solid black bar), SiCN (hollow solid black bar), SiN (hollow dotted black bar), and TiN (hollow dashed black bar) by CF 4 , C 3 HF 4 N with O 2 , C 2 HF 2 N with O 2 , or C 2 H 4 F 3 N with O 2 .
  • the y-axis represents etch rates in nm/min and the x-axis specifies the etch gas. No oxygen was needed for the CF 4 etch.
  • the N of the N containing etch gases may produce polymer layers on the substrate, rather than etch it, without the use of oxygen and therefore oxygen was added to the etch process using these compounds.
  • 10 sccm O 2 was used for the C 3 HF 4 N etch
  • 14 sccm O 2 was used for the C 2 HF 2 N etch
  • 3 sccm O 2 was used for the C 2 H 4 F 3 N etch.
  • FIG. 4 is a graph demonstrating the low k selectivity of the specified etch gas to SiCN (hollow solid bar), SiN (hollow dotted bar), and TiN (solid grey bar).
  • the y-axis represents the selectivity (i.e., low k etch rate/divided by etch rate of other film) and the x-axis represents the compounds compared.
  • FIG. 4 shows that C 2 HF 2 N and C 3 HF 4 N exhibit high selectivity (approximately 120 and approximately 70, respectively) of low k to TiN.
  • a planar low k wafer was etched by differing etch gases. Etching experiments were performed on 500 nm of BDII on 1 ⁇ 1 cm 2 coupons. The coupons are placed on 200 mm diameter carrier wafer and held in contact by using double sided carbon tape obtained from 2spi manufacturer. Alternatively, thermal paste could be used to stick coupons on carrier wafer. Reactor conditions were: RF power (200 W), bias power (200 W), chamber pressure (40 mTorr), Ar flow (250 sccm), etch gas flow (5 sccm), and amount of substrate etched (50% or approximately 250 nm).
  • FIG. 5 is a graph showing the FTIR spectra of the low k wafer at approximately 1300-900 cm ⁇ 1 before and after etching by the specified gases.
  • the peak at approximately 1270 cm ⁇ 1 is for Si—CH 3 and the peak at approximately 1050 cm ⁇ 1 is for Si—O—Si.
  • FIG. 6 is a graph focusing on the FTIR spectra of FIG. 5 at approximately 1270 cm ⁇ 1 before and after etching by the specified gases.
  • the decrease in height of that peak from the before spectrum to the after spectra demonstrates that the Si—CH 3 bond is broken by plasma radicals during the etch process, causing damage to the low k film.
  • the CF 4 etch results in the largest decrease in peak height.
  • the C-loss % results from Si—O—Si normalization of FIG. 5 are 14 (CF 4 ), 2 (C 3 HF 4 N), 3 (C 2 HF 2 N), and 4 (C 2 H 4 F 3 N).
  • the disclosed N-containing etching compositions result in less C loss during the etching process than CF 4 .
  • the C-loss % results from residual film thickness normalization of FIG. 5 are 22 (CF 4 ), 10 (C 3 HF 4 N), 9 (C 2 HF 2 N), and 9 (C 2 H 4 F 3 N).
  • FIG. 7 is an XPS graph demonstrating the percent C1 before and after etching of a low k layer by the specified gases.
  • the XPS analysis was performed by repeated ion sputtering of the low k layer at 2.5 nm/cycle.
  • the y-axis represents the percentage of Carbon and the x-axis represents the number of ion sputtering cycles, at 2.5 nm/cycle.
  • the thickness of the damaged layer may be determined by determining the number of cycles until the carbon percentage returns to the same level as the carbon percentage in the pristine film. To avoid any effect from fluctuated noise, a 95% recovery point is chosen to determine the thickness.
  • the thickness of the damage layer in nm of FIG. 7 are 53 (CF 4 —which is approx. 21.2 cycles at 2.5 nm/cycle to return to a 95% carbon level), 38 (C 3 HF 4 N), 43 (C 2 HF 2 N), and 38 (C 2 H 4 F 3 N).
  • Etching experiments were performed on a patterned wafer having, from top down, a photoresist, bottom anti-reflective coating, silicon nitride etch stop, and low k layer on a Si substrate to determine sidewall damage of the low k layer.
  • the reactor conditions for the low k etch were: RF power (200 W), bias power (200 W), chamber pressure (40 mTorr), Ar flow (250 sccm), and etch gas flow rate (5 sccm).
  • the temperature in the reactor was approximately room temperature (i.e., approximately 20° C. to approximately 25° C.). 10 sccm O 2 was added to the C 3 HF 4 N etch gas and 14 sccm O 2 was added to the C 2 HF 2 N gas.
  • FIG. 8 a is a scanning electron microscopic picture of the patterned wafer etched by C 3 HF 4 N before HF dipping.
  • FIG. 8 b is a scanning electron microscopic picture of the patterned wafer etched by C 3 HF 4 N after HF dipping.
  • the averaged sidewall damage using C 3 HF 4 N was 9.3 nm ⁇ 1.5 nm based upon three trench data points.
  • the averaged sidewall damage using CF 4 was 13.8 nm ⁇ 3.3 nm.
  • the averaged sidewall damage using C 2 HF 2 N was 10.5 nm ⁇ 0.5 nm.
  • Etching experiments were performed on a patterned wafer having, from top down, a photoresist, bottom anti-reflective coating, silicon nitride etch stop, and low k layer on a Si substrate to determine sidewall damage of the low k layer at higher power conditions than in Example 4.
  • the reactor conditions for the low k etch were: RF power (750 W), bias power (750 W), chamber pressure (40 mTorr), Ar flow (250 sccm), etch gas flow rate (5 sccm), and amount of substrate etched (50% or approximately 150 nm).
  • the temperature in the reactor was approximately room temperature (i.e., approximately 20° C. to approximately 25° C.).
  • Deposition tests are performed on 1 ⁇ 1 cm 2 low k coupon at 30 mTorr, and source power of 750 W (27 MHz), with no bias power at the substrate.
  • the process feed mixture contains 250 sccm of Ar and 5 sccm of etch gas.
  • the deposition test sample is then sent for X-ray Photoelectron spectrometry (XPS) analysis to study the type of polymerizing film formed on the substrate.
  • XPS X-ray Photoelectron spectrometry
  • FIG. 9 is an XPS graph of a polymer film formed from C 3 HF 4 N.
  • FIG. 9 clearly shows the presence of C, F, and N present in the polymer film.
  • the nitrogen present in the polymer film may form polymers that act as a better sidewall passivation layer and help create vertical profiles during high aspect ratio etching.
  • FIG. 10 is an XPS graph of a polymer film formed from C 2 H 4 F 3 N.
  • FIG. 10 clearly shows the presence of C, N, and F present in the polymer film.
  • the nitrogen present in the polymer film may form polymers that act as a better sidewall passivation layer and help create vertical profiles during high aspect ratio etching.
  • Example 2 a planar low k wafer was etched by 2,2,2-trifluoroacetamidine (C 2 H 3 F 3 N 2 CAS No. 354-37-0). Reactor conditions were: RF power (200 W), bias power (200 W), chamber pressure (40 mTorr), Ar flow (250 sccm), and etch gas flow rate (5 sccm). The temperature in the reactor was approximately room temperature (i.e., approximately 20° C. to approximately 25° C.). No low k layer thickness change was observed after 30 seconds of etching.
  • FIG. 11 is a graph showing the FTIR spectra at approximately 1300-1200 cm ⁇ 1 before and after etching by C 2 H 3 F 3 N 2 .
  • the peak at approximately 1270 cm ⁇ 1 is for Si—CH 3 .
  • the decrease in height of that peak from the before spectrum to the after spectra demonstrates that the Si—CH 3 bond is broken by plasma radicals during the etch process, causing damage to the low k film.
  • the CF 4 etch results in the largest decrease in peak height.
  • the C-loss % result from Si—O—Si normalization of FIG. 11 is 19%. This is higher than that of CF 4 in Example 2, which was 14%.
  • FIG. 12 is an XPS graph demonstrating the percent C1 before and after etching of the low k layer by C 2 H 3 F 3 N 2 .
  • the XPS analysis was performed by repeated ion sputtering of the low k layer at 2.5 nm/cycle.
  • the y-axis represents the percentage of Carbon and the x-axis represents the number of ion sputtering cycles.
  • the thickness of the damage layer of FIG. 12 is 40 nm, which is smaller than Example 3's CF 4 (53 nm), while the carbon depletion at the top surface is large.
  • CH 2 F 2 (CAS No. 75-10-5) is a known polymer forming compound.
  • a planar low k wafer was etched by CH 2 F 2 . Etching experiments were performed on 500 nm of BDII on 1 ⁇ 1 cm 2 coupons. The coupons are placed on 200 mm diameter carrier wafer and held in contact by using double sided carbon tape obtained from 2spi manufacturer. Alternatively, thermal paste could be used to stick coupons on carrier wafer. Reactor conditions were: RF power (750 W), bias power (750 W), chamber pressure (40 mTorr), Ar flow (250 sccm), etch gas flow (5-15 sccm), and O 2 flow (0-10 sccm).
  • FIG. 13 is a graph showing the FTIR spectra of the low k wafer at approximately 1350-1200 cm ⁇ 1 before and after etching by CH 2 F 2 and CF 4 .
  • the peak at approximately 1270 cm ⁇ 1 is for Si—CH 3 .
  • CH 2 F 2 impacts the Si—CH 3 peak as much as CF 4 , notwithstanding any polymer formation.
  • CF 4 produces more carbon loss in the low k film than the disclosed N-containing etching compositions.
  • the disclosed N-containing etching compositions are less damaging to the low k films than both CF 4 and CH 2 F 2 .
  • FIG. 14 is an XPS graph demonstrating the percent C1 before and after etching of a low k layer by CH 2 F 2 and CF 4 .
  • the XPS analysis was performed by repeated ion sputtering of the low k layer at 2.5 nm/cycle.
  • the y-axis represents the percentage of Carbon and the x-axis represents the number of ion sputtering cycles, at 2.5 nm/cycle.
  • the thickness of the damaged layer may be determined by determining the number of cycles until the carbon percentage returns to the same level as the carbon percentage in the pristine film. As can be seen in FIG. 14 , CH 2 F 2 produces more carbon loss than CF 4 , once again demonstrating that the polymer layer deposited by CH 2 F 2 does not protect the underlying low k layer.
  • the evaluation of the dry etching of low k films with nitrogen containing etching composition plasmas shows that the disclosed nitrogen containing etching compositions yield improved selectivity for mask and etch stop versus low k films than the prior art fluorocarbons, as well as less sidewall damage.
  • the reason for the less sidewall damage is due to the formation of a protective polymer having N therein on the etched surface.

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
US15/396,486 2016-12-31 2016-12-31 Methods for minimizing sidewall damage during low k etch processes Abandoned US20170110336A1 (en)

Priority Applications (7)

Application Number Priority Date Filing Date Title
US15/396,486 US20170110336A1 (en) 2016-12-31 2016-12-31 Methods for minimizing sidewall damage during low k etch processes
TW106145725A TWI746753B (zh) 2016-12-31 2017-12-26 用於低k值蝕刻製程期間最小化側壁損壞的方法
JP2019533634A JP6974468B2 (ja) 2016-12-31 2017-12-29 低誘電率エッチングプロセスの間の側壁ダメージを最小化する方法
KR1020197020539A KR102546860B1 (ko) 2016-12-31 2017-12-29 저-k 에치 공정 동안 측벽 손상을 최소화하는 방법
US16/474,667 US11024513B2 (en) 2016-12-31 2017-12-29 Methods for minimizing sidewall damage during low k etch processes
PCT/US2017/069075 WO2018126202A1 (en) 2016-12-31 2017-12-29 Methods for minimizing sidewall damage during low k etch processes
US15/923,722 US10347498B2 (en) 2016-12-31 2018-03-16 Methods of minimizing plasma-induced sidewall damage during low K etch processes

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US15/396,486 US20170110336A1 (en) 2016-12-31 2016-12-31 Methods for minimizing sidewall damage during low k etch processes

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US16/474,667 Continuation US11024513B2 (en) 2016-12-31 2017-12-29 Methods for minimizing sidewall damage during low k etch processes
US15/923,722 Continuation-In-Part US10347498B2 (en) 2016-12-31 2018-03-16 Methods of minimizing plasma-induced sidewall damage during low K etch processes

Publications (1)

Publication Number Publication Date
US20170110336A1 true US20170110336A1 (en) 2017-04-20

Family

ID=58524213

Family Applications (2)

Application Number Title Priority Date Filing Date
US15/396,486 Abandoned US20170110336A1 (en) 2016-12-31 2016-12-31 Methods for minimizing sidewall damage during low k etch processes
US16/474,667 Active US11024513B2 (en) 2016-12-31 2017-12-29 Methods for minimizing sidewall damage during low k etch processes

Family Applications After (1)

Application Number Title Priority Date Filing Date
US16/474,667 Active US11024513B2 (en) 2016-12-31 2017-12-29 Methods for minimizing sidewall damage during low k etch processes

Country Status (5)

Country Link
US (2) US20170110336A1 (zh)
JP (1) JP6974468B2 (zh)
KR (1) KR102546860B1 (zh)
TW (1) TWI746753B (zh)
WO (1) WO2018126202A1 (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190019719A (ko) * 2017-08-18 2019-02-27 오씨아이 주식회사 실리콘 질화막 식각 방법 및 이를 이용한 반도체 소자의 제조 방법
US20190074191A1 (en) * 2017-09-04 2019-03-07 Tokyo Electron Limited Etching method and workpiece processing method
WO2019089766A1 (en) * 2017-10-31 2019-05-09 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Hydrofluorocarbons containing -nh2 functional group for 3d nand and dram applications
US10615037B2 (en) * 2018-08-17 2020-04-07 International Business Machines Corporation Tone reversal during EUV pattern transfer using surface active layer assisted selective deposition
WO2020153066A1 (ja) * 2019-01-23 2020-07-30 セントラル硝子株式会社 ドライエッチング方法、ドライエッチング剤、及びその保存容器
US20220262622A1 (en) * 2016-09-16 2022-08-18 SCREEN Holdings Co., Ltd. Method of restoring collapsed pattern, substrate processing method, and substrate processing device
US11515203B2 (en) * 2020-02-05 2022-11-29 Tokyo Electron Limited Selective deposition of conductive cap for fully-aligned-via (FAV)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022080274A1 (ja) * 2020-10-15 2022-04-21 昭和電工株式会社 フルオロ-2-ブテンの保管方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150371869A1 (en) * 2015-08-31 2015-12-24 American Air Liquide, Inc. Nitrogen-containing compounds for etching semiconductor structures

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2730543A (en) 1950-05-20 1956-01-10 Minnesota Mining & Mfg Fluorinated nitriles
US2704769A (en) 1951-05-31 1955-03-22 Minnesota Mining & Mfg Preparation of alpha-hydroperfluoronitriles
US3585218A (en) 1967-05-24 1971-06-15 Minnesota Mining & Mfg Preparation of difluoramines by oxidation with 1,1-bis(fluoroxy)perfluoroalkane
US3671509A (en) 1971-03-22 1972-06-20 Du Pont Copolymers of fluoroketone imines with polymerizable unsaturated compounds
US5242538A (en) 1992-01-29 1993-09-07 Applied Materials, Inc. Reactive ion etch process including hydrogen radicals
US5814563A (en) 1996-04-29 1998-09-29 Applied Materials, Inc. Method for etching dielectric using fluorohydrocarbon gas, NH3 -generating gas, and carbon-oxygen gas
US5843847A (en) 1996-04-29 1998-12-01 Applied Materials, Inc. Method for etching dielectric layers with high selectivity and low microloading
US6387287B1 (en) 1998-03-27 2002-05-14 Applied Materials, Inc. Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
US6569774B1 (en) 2000-08-31 2003-05-27 Micron Technology, Inc. Method to eliminate striations and surface roughness caused by dry etch
US20020121500A1 (en) 2000-12-22 2002-09-05 Rao Annapragada Method of etching with NH3 and fluorine chemistries
US6413877B1 (en) 2000-12-22 2002-07-02 Lam Research Corporation Method of preventing damage to organo-silicate-glass materials during resist stripping
US6777344B2 (en) 2001-02-12 2004-08-17 Lam Research Corporation Post-etch photoresist strip with O2 and NH3 for organosilicate glass low-K dielectric etch applications
JP2002289577A (ja) * 2001-03-27 2002-10-04 Ulvac Japan Ltd 基板上に堆積した有機珪素化合物を含有する材料の薄膜のエッチング法
US7311852B2 (en) 2001-03-30 2007-12-25 Lam Research Corporation Method of plasma etching low-k dielectric materials
US6508948B2 (en) 2001-06-13 2003-01-21 Air Products And Chemicals, Inc. Cyanuric fluoride and related compounds for anisotropic etching
JP4889199B2 (ja) * 2003-11-05 2012-03-07 株式会社アルバック 低誘電率層間絶縁膜のドライエッチング方法
US20060062914A1 (en) 2004-09-21 2006-03-23 Diwakar Garg Apparatus and process for surface treatment of substrate using an activated reactive gas
US20060183055A1 (en) 2005-02-15 2006-08-17 O'neill Mark L Method for defining a feature on a substrate
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US20100105595A1 (en) 2008-10-29 2010-04-29 Wai Mun Lee Composition comprising chelating agents containing amidoxime compounds
KR101660488B1 (ko) 2010-01-22 2016-09-28 삼성전자주식회사 3차원 반도체 장치 및 그 제조 방법
FR2972452B1 (fr) 2011-03-09 2013-03-15 Rhodia Operations Procede de preparation du difluoroacetonitrile et de ses derives
US9093389B2 (en) 2013-01-16 2015-07-28 Applied Materials, Inc. Method of patterning a silicon nitride dielectric film
TWI642809B (zh) * 2013-09-09 2018-12-01 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 用蝕刻氣體蝕刻半導體結構的方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150371869A1 (en) * 2015-08-31 2015-12-24 American Air Liquide, Inc. Nitrogen-containing compounds for etching semiconductor structures

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220262622A1 (en) * 2016-09-16 2022-08-18 SCREEN Holdings Co., Ltd. Method of restoring collapsed pattern, substrate processing method, and substrate processing device
KR20190019719A (ko) * 2017-08-18 2019-02-27 오씨아이 주식회사 실리콘 질화막 식각 방법 및 이를 이용한 반도체 소자의 제조 방법
KR102311328B1 (ko) 2017-08-18 2021-10-14 오씨아이 주식회사 실리콘 질화막 식각 방법 및 이를 이용한 반도체 소자의 제조 방법
US20190074191A1 (en) * 2017-09-04 2019-03-07 Tokyo Electron Limited Etching method and workpiece processing method
US10566209B2 (en) * 2017-09-04 2020-02-18 Tokyo Electron Limited Etching method and workpiece processing method
KR20200064145A (ko) * 2017-10-31 2020-06-05 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 3d nand 및 dram 응용을 위한 -nh2 작용기를 함유하는 수소화불화탄소
KR102398458B1 (ko) * 2017-10-31 2022-05-13 레르 리키드 쏘시에떼 아노님 뿌르 레??드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 3d nand 및 dram 응용을 위한 -nh2 작용기를 함유하는 수소화불화탄소
CN111316405A (zh) * 2017-10-31 2020-06-19 乔治洛德方法研究和开发液化空气有限公司 用于3d nand和dram应用的含有-nh2官能团的氢氟烃
JP2021503172A (ja) * 2017-10-31 2021-02-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 3d nand及びdram応用のための−nh2官能基を含有するヒドロフルオロカーボン
US10410878B2 (en) * 2017-10-31 2019-09-10 American Air Liquide, Inc. Hydrofluorocarbons containing —NH2 functional group for 3D NAND and DRAM applications
TWI744559B (zh) * 2017-10-31 2021-11-01 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 用於3d nand和dram應用的含有–nh2官能基之氫氟烴
WO2019089766A1 (en) * 2017-10-31 2019-05-09 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Hydrofluorocarbons containing -nh2 functional group for 3d nand and dram applications
US10615037B2 (en) * 2018-08-17 2020-04-07 International Business Machines Corporation Tone reversal during EUV pattern transfer using surface active layer assisted selective deposition
WO2020153066A1 (ja) * 2019-01-23 2020-07-30 セントラル硝子株式会社 ドライエッチング方法、ドライエッチング剤、及びその保存容器
US20220115240A1 (en) * 2019-01-23 2022-04-14 Central Glass Company, Limited Dry Etching Method, and Dry Etching Agent and Storage Container Therefor
JPWO2020153066A1 (ja) * 2019-01-23 2021-12-02 セントラル硝子株式会社 ドライエッチング方法、ドライエッチング剤、及びその保存容器
US11515203B2 (en) * 2020-02-05 2022-11-29 Tokyo Electron Limited Selective deposition of conductive cap for fully-aligned-via (FAV)

Also Published As

Publication number Publication date
JP6974468B2 (ja) 2021-12-01
KR20190099458A (ko) 2019-08-27
US11024513B2 (en) 2021-06-01
JP2020503677A (ja) 2020-01-30
US20190326126A1 (en) 2019-10-24
KR102546860B1 (ko) 2023-06-22
TWI746753B (zh) 2021-11-21
TW201841879A (zh) 2018-12-01
WO2018126202A1 (en) 2018-07-05

Similar Documents

Publication Publication Date Title
US10256109B2 (en) Nitrogen-containing compounds for etching semiconductor structures
US11430663B2 (en) Iodine-containing compounds for etching semiconductor structures
US11024513B2 (en) Methods for minimizing sidewall damage during low k etch processes
US10347498B2 (en) Methods of minimizing plasma-induced sidewall damage during low K etch processes
US20210407817A1 (en) Iodine-containing fluorocarbon and hydrofluorocarbon compounds for etching semiconductor structures
US20230197465A1 (en) Oxygen and iodine-containing hydrofluorocarbon compound for etching semiconductor structures

Legal Events

Date Code Title Description
AS Assignment

Owner name: L'AIR LIQUIDE, SOCIETE ANONYME POUR L'ETUDE ET L'E

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:HSU, CHIH-YU;SHEN, PENG;REEL/FRAME:042285/0912

Effective date: 20170427

Owner name: AIR LIQUIDE ELECTRONICS U.S. LP, TEXAS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:STAFFORD, NATHAN;REEL/FRAME:042424/0772

Effective date: 20170504

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION